DE69519955D1 - PH-regulierter alkalischer Reiniger mit nicht ionischem Tensid zur Reinigung mikroelektronischer Substrate - Google Patents

PH-regulierter alkalischer Reiniger mit nicht ionischem Tensid zur Reinigung mikroelektronischer Substrate

Info

Publication number
DE69519955D1
DE69519955D1 DE69519955T DE69519955T DE69519955D1 DE 69519955 D1 DE69519955 D1 DE 69519955D1 DE 69519955 T DE69519955 T DE 69519955T DE 69519955 T DE69519955 T DE 69519955T DE 69519955 D1 DE69519955 D1 DE 69519955D1
Authority
DE
Germany
Prior art keywords
microelectronic substrates
ionic surfactant
alkaline cleaner
cleaning microelectronic
cleaning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69519955T
Other languages
English (en)
Other versions
DE69519955T2 (de
Inventor
Joseph M Ilardi
George Schwartzkopf
Gary G Dailey
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AVANTOR PERFORMANCE MATERIALS, INC., PHILLIPSB, US
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of DE69519955D1 publication Critical patent/DE69519955D1/de
Application granted granted Critical
Publication of DE69519955T2 publication Critical patent/DE69519955T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0047Other compounding ingredients characterised by their effect pH regulated compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/046Salts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • C11D2111/22
DE69519955T 1994-04-20 1995-04-18 PH-regulierter alkalischer Reiniger mit nicht ionischem Tensid zur Reinigung mikroelektronischer Substrate Expired - Lifetime DE69519955T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/230,132 US5466389A (en) 1994-04-20 1994-04-20 PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates

Publications (2)

Publication Number Publication Date
DE69519955D1 true DE69519955D1 (de) 2001-03-01
DE69519955T2 DE69519955T2 (de) 2001-08-23

Family

ID=22864064

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69519955T Expired - Lifetime DE69519955T2 (de) 1994-04-20 1995-04-18 PH-regulierter alkalischer Reiniger mit nicht ionischem Tensid zur Reinigung mikroelektronischer Substrate

Country Status (11)

Country Link
US (1) US5466389A (de)
EP (1) EP0678571B1 (de)
JP (1) JP2670987B2 (de)
KR (1) KR0160372B1 (de)
AT (1) ATE198907T1 (de)
CA (1) CA2146036C (de)
DE (1) DE69519955T2 (de)
ES (1) ES2153442T3 (de)
IL (1) IL113036A (de)
MY (1) MY112282A (de)
TW (1) TW301669B (de)

Families Citing this family (158)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6492311B2 (en) * 1990-11-05 2002-12-10 Ekc Technology, Inc. Ethyenediaminetetraacetic acid or its ammonium salt semiconductor process residue removal composition and process
US5498293A (en) * 1994-06-23 1996-03-12 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
FR2722511B1 (fr) * 1994-07-15 1999-04-02 Ontrak Systems Inc Procede pour enlever les metaux dans un dispositif de recurage
KR100360394B1 (ko) * 1995-12-20 2003-01-24 삼성전자 주식회사 반도체기판의세정방법및이에사용되는세정액
KR100207469B1 (ko) * 1996-03-07 1999-07-15 윤종용 반도체기판의 세정액 및 이를 사용하는 세정방법
TW416987B (en) * 1996-06-05 2001-01-01 Wako Pure Chem Ind Ltd A composition for cleaning the semiconductor substrate surface
US6410494B2 (en) 1996-06-05 2002-06-25 Wako Pure Chemical Industries, Ltd. Cleaning agent
AU3482997A (en) * 1996-06-26 1998-01-14 Church & Dwight Company, Inc. Aqueous cleaning composition for removing flux and method of use
US5932021A (en) * 1996-06-26 1999-08-03 Cala; Francis R. Aqueous cleaning composition for removing flux and method of use
US6323168B1 (en) * 1996-07-03 2001-11-27 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US7534752B2 (en) * 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
WO1998004646A1 (en) * 1996-07-25 1998-02-05 Ekc Technology, Inc. Chemical mechanical polishing composition and process
JP2008277848A (ja) * 1996-07-26 2008-11-13 Ekc Technol Inc 化学機械研磨組成物及び化学機械研磨方法
JPH1055993A (ja) * 1996-08-09 1998-02-24 Hitachi Ltd 半導体素子製造用洗浄液及びそれを用いた半導体素子の製造方法
US6224785B1 (en) * 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
WO1998030667A1 (en) * 1997-01-09 1998-07-16 Advanced Technology Materials, Inc. Semiconductor wafer cleaning composition and method with aqueous ammonium fluoride and amine
US6896826B2 (en) * 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6755989B2 (en) * 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
KR100497835B1 (ko) * 1997-01-27 2005-09-08 미쓰비시 가가꾸 가부시키가이샤 표면처리조성물및이를이용한기판의표면처리방법
JP3772456B2 (ja) * 1997-04-23 2006-05-10 三菱電機株式会社 太陽電池及びその製造方法、半導体製造装置
US8092707B2 (en) * 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
US5958144A (en) * 1997-05-20 1999-09-28 Church & Dwight Flux-removing aqueous cleaning composition and method of use
US6551972B1 (en) * 1997-07-10 2003-04-22 Merck Patent Gesellschaft Solutions for cleaning silicon semiconductors or silicon oxides
KR100510440B1 (ko) * 1997-08-20 2005-10-21 삼성전자주식회사 세정용액및이를이용한반도체소자의세정방법
JP3039493B2 (ja) * 1997-11-28 2000-05-08 日本電気株式会社 基板の洗浄方法及び洗浄溶液
US5989354A (en) * 1997-12-22 1999-11-23 Lucent Technologies, Inc. Method for removing thin, organic materials from semiconductor dies and micro-lenses
US6884721B2 (en) * 1997-12-25 2005-04-26 Shin-Etsu Handotai Co., Ltd. Silicon wafer storage water and silicon wafer storage method
US6231677B1 (en) 1998-02-27 2001-05-15 Kanto Kagaku Kabushiki Kaisha Photoresist stripping liquid composition
KR100287173B1 (ko) * 1998-03-13 2001-06-01 윤종용 포토레지스트제거방법및이들을이용한반도체장치의제조방법
US6057240A (en) * 1998-04-06 2000-05-02 Chartered Semiconductor Manufacturing, Ltd. Aqueous surfactant solution method for stripping metal plasma etch deposited oxidized metal impregnated polymer residue layers from patterned metal layers
MXPA00011391A (es) * 1998-05-18 2005-06-20 Mallinckrodt Inc Composiciones alcalinas que contienen silicato para limpiar substratos microelectronicos.
TW436881B (en) * 1998-05-26 2001-05-28 Nittou Chemical Ind Ltd Cleaning liquid and cleaning method for component parts of semiconductor processing apparatus
US5964953A (en) * 1998-05-26 1999-10-12 Memc Electronics Materials, Inc. Post-etching alkaline treatment process
US6280527B1 (en) 1998-06-12 2001-08-28 International Business Machines Corporation Aqueous quaternary ammonium hydroxide as a screening mask cleaner
US5980643A (en) * 1998-06-18 1999-11-09 Semitool, Inc. Alkaline water-based solution for cleaning metallized microelectronic
US6432214B2 (en) * 1998-07-10 2002-08-13 Semitool, Inc. Cleaning apparatus
US6152148A (en) * 1998-09-03 2000-11-28 Honeywell, Inc. Method for cleaning semiconductor wafers containing dielectric films
JP2000091289A (ja) 1998-09-10 2000-03-31 Hitachi Ltd 半導体集積回路装置の製造方法
JP3180779B2 (ja) 1998-10-05 2001-06-25 日本電気株式会社 半導体装置の製造方法
JP4170482B2 (ja) * 1998-12-03 2008-10-22 シャープ株式会社 液晶パネル用ガラス基板の洗浄方法
US20030092171A1 (en) * 1998-11-16 2003-05-15 Steven Henck Surface treatments for DNA processing devices
JP4356962B2 (ja) * 1998-11-27 2009-11-04 昭和電工株式会社 サイドウォール除去用組成物及びサイドウォール除去方法
US6103680A (en) * 1998-12-31 2000-08-15 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition and method for removing photoresist and/or plasma etching residues
EP1039518A1 (de) * 1999-03-24 2000-09-27 Interuniversitair Micro-Elektronica Centrum Vzw Chemische Lösung und Verfahren zur Verminderung der Metallkontamination auf der Oberfläche eines Halbleitersubstrats
US6592676B1 (en) 1999-01-08 2003-07-15 Interuniversitair Micro-Elektronica Centrum Chemical solution and method for reducing the metal contamination on the surface of a semiconductor substrate
EP1018759A3 (de) * 1999-01-08 2000-08-30 Interuniversitair Micro-Elektronica Centrum Vzw Chemische Lösung und Verfahren zur Verminderung der Metallkontamination auf der Oberfläche eines Halbleitersubstrats
GB2349984A (en) * 1999-03-04 2000-11-15 Ibm Decontamination of electronic cards from copper salts
JP4224652B2 (ja) * 1999-03-08 2009-02-18 三菱瓦斯化学株式会社 レジスト剥離液およびそれを用いたレジストの剥離方法
JP4516176B2 (ja) 1999-04-20 2010-08-04 関東化学株式会社 電子材料用基板洗浄液
US6248704B1 (en) 1999-05-03 2001-06-19 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductors devices
US7348300B2 (en) * 1999-05-04 2008-03-25 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US7208049B2 (en) * 2003-10-20 2007-04-24 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US7129199B2 (en) * 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
JP3433156B2 (ja) 1999-05-07 2003-08-04 エア プロダクツ アンド ケミカルズ インコーポレイテッド 前もって湿らせたクリーニングワイパー
US6277799B1 (en) * 1999-06-25 2001-08-21 International Business Machines Corporation Aqueous cleaning of paste residue
US6537381B1 (en) * 1999-09-29 2003-03-25 Lam Research Corporation Method for cleaning and treating a semiconductor wafer after chemical mechanical polishing
US6492308B1 (en) * 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6652659B1 (en) * 1999-11-30 2003-11-25 Honeywell International Inc. Low species buffered rinsing fluids and method
KR100356987B1 (ko) * 2000-01-22 2002-10-18 엘지.필립스 엘시디 주식회사 열경화성 수지 제거용 조성물
KR100758186B1 (ko) * 2000-03-21 2007-09-13 와코 쥰야꾸 고교 가부시키가이샤 반도체 기판 세정제 및 세정 방법
US6927176B2 (en) * 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US7456113B2 (en) * 2000-06-26 2008-11-25 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US6310019B1 (en) * 2000-07-05 2001-10-30 Wako Pure Chemical Industries, Ltd. Cleaning agent for a semi-conductor substrate
US6498131B1 (en) * 2000-08-07 2002-12-24 Ekc Technology, Inc. Composition for cleaning chemical mechanical planarization apparatus
DE10039987A1 (de) * 2000-08-16 2002-03-07 Wilfried Meichelboeck Verfahren zur Oberflächenreinigung
US6558879B1 (en) * 2000-09-25 2003-05-06 Ashland Inc. Photoresist stripper/cleaner compositions containing aromatic acid inhibitors
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
JP4371567B2 (ja) * 2000-11-22 2009-11-25 Nec液晶テクノロジー株式会社 薄膜トランジスタの製造方法
EP1211563B1 (de) * 2000-11-30 2011-12-21 Tosoh Corporation Fotolackentfernerzusammensetzung
US6525009B2 (en) * 2000-12-07 2003-02-25 International Business Machines Corporation Polycarboxylates-based aqueous compositions for cleaning of screening apparatus
KR100874173B1 (ko) * 2001-03-27 2008-12-15 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 반도체 기판 상의 무기 잔류물을 세정하기 위한 구리특이적 부식 억제제를 함유하는 수성 세정 조성물
US6653265B2 (en) * 2001-06-20 2003-11-25 Cornell Research Foundation, Inc. Removable marking system
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
MY131912A (en) * 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
TWI276682B (en) * 2001-11-16 2007-03-21 Mitsubishi Chem Corp Substrate surface cleaning liquid mediums and cleaning method
JP2003171694A (ja) * 2001-12-03 2003-06-20 Mejiro Optica:Kk 洗浄用組成物並びに洗浄方法
US20030148624A1 (en) * 2002-01-31 2003-08-07 Kazuto Ikemoto Method for removing resists
ITMI20020178A1 (it) * 2002-02-01 2003-08-01 Ausimont Spa Uso di additivi fluorurati nell'etching o polishing di circuiti integrati
AU2003218389A1 (en) * 2002-03-25 2003-10-13 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Tantalum barrier removal solution
US20040002430A1 (en) * 2002-07-01 2004-01-01 Applied Materials, Inc. Using a time critical wafer cleaning solution by combining a chelating agent with an oxidizer at point-of-use
US7393819B2 (en) 2002-07-08 2008-07-01 Mallinckrodt Baker, Inc. Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
AU2003286616A1 (en) * 2002-10-25 2004-05-25 Intersurface Dynamics, Inc. Method for using additives in the caustic etching of silicon for obtaining improved surface characteristics
JP4752270B2 (ja) * 2002-11-08 2011-08-17 和光純薬工業株式会社 洗浄液及びそれを用いた洗浄方法
KR100536593B1 (ko) * 2002-12-05 2005-12-14 삼성전자주식회사 선택적인 막 제거를 위한 세정 용액 및 그 세정 용액을사용하여 실리사이드 공정에서 막을 선택적으로 제거하는방법
US7163018B2 (en) * 2002-12-16 2007-01-16 Applied Materials, Inc. Single wafer cleaning method to reduce particle defects on a wafer surface
WO2005001016A1 (en) * 2003-06-27 2005-01-06 Interuniversitair Microelektronica Centrum (Imec) Semiconductor cleaning solution
US7306663B2 (en) * 2003-08-05 2007-12-11 Halox, Division Of Hammond Group, Inc. Corrosion inhibitor
US7241725B2 (en) 2003-09-25 2007-07-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Barrier polishing fluid
US7300480B2 (en) 2003-09-25 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. High-rate barrier polishing composition
US7192910B2 (en) * 2003-10-28 2007-03-20 Sachem, Inc. Cleaning solutions and etchants and methods for using same
CA2544198C (en) * 2003-10-29 2011-07-26 Mallinckrodt Baker, Inc. Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
US7129182B2 (en) * 2003-11-06 2006-10-31 Intel Corporation Method for etching a thin metal layer
US7262140B2 (en) * 2003-11-24 2007-08-28 Intel Corporation Method of smoothing waveguide structures
US7432233B2 (en) * 2003-12-18 2008-10-07 Interuniversitair Microelektronica Centrum (Imec) Composition and method for treating a semiconductor substrate
US7294610B2 (en) * 2004-03-03 2007-11-13 3M Innovative Properties Company Fluorinated sulfonamide surfactants for aqueous cleaning solutions
US20050205835A1 (en) * 2004-03-19 2005-09-22 Tamboli Dnyanesh C Alkaline post-chemical mechanical planarization cleaning compositions
US7354863B2 (en) * 2004-03-19 2008-04-08 Micron Technology, Inc. Methods of selectively removing silicon
JP4440689B2 (ja) * 2004-03-31 2010-03-24 東友ファインケム株式会社 レジスト剥離剤組成物
JP2006032694A (ja) * 2004-07-16 2006-02-02 Toshiba Corp 半導体装置の製造方法
EP1628336B1 (de) * 2004-08-18 2012-01-04 Mitsubishi Gas Chemical Company, Inc. Reinigungsmittel und Methode zur Reinigung
US20060073997A1 (en) * 2004-09-30 2006-04-06 Lam Research Corporation Solutions for cleaning silicon semiconductors or silicon oxides
US20060148666A1 (en) * 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) * 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
TWI538033B (zh) * 2005-01-27 2016-06-11 安堤格里斯公司 半導體基板處理用之組成物
US7923423B2 (en) * 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7365045B2 (en) * 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
KR101088568B1 (ko) * 2005-04-19 2011-12-05 아반토르 퍼포먼스 머티리얼스, 인크. 갈바닉 부식을 억제하는 비수성 포토레지스트 스트립퍼
US7700533B2 (en) * 2005-06-23 2010-04-20 Air Products And Chemicals, Inc. Composition for removal of residue comprising cationic salts and methods using same
US8772214B2 (en) * 2005-10-14 2014-07-08 Air Products And Chemicals, Inc. Aqueous cleaning composition for removing residues and method using same
US20070093406A1 (en) * 2005-10-24 2007-04-26 Omoregie Henryson Novel cleaning process for masks and mask blanks
US8263539B2 (en) 2005-10-28 2012-09-11 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and methods for its use
US7632796B2 (en) 2005-10-28 2009-12-15 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US9329486B2 (en) 2005-10-28 2016-05-03 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
KR100678482B1 (ko) * 2006-01-17 2007-02-02 삼성전자주식회사 실리콘 표면의 세정용액 및 이를 사용하는 반도체 소자의제조방법들
TW200734448A (en) * 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
KR100729235B1 (ko) * 2006-06-01 2007-06-15 삼성전자주식회사 프로브 카드용 세정액 조성물 및 이를 이용한 프로브카드의 세정 방법
DE102006025994B3 (de) * 2006-06-02 2008-01-03 Sprügel, Friedrich A. Reinigungsflüssigkeit mit verringerter Entzündbarkeit
CN101495248A (zh) * 2006-07-07 2009-07-29 Fsi国际公司 液体气溶胶颗粒去除方法
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
KR20090076938A (ko) * 2006-09-25 2009-07-13 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 웨이퍼 재작업 적용을 위한 포토레지스트의 제거를 위한 조성물 및 방법
US20080096785A1 (en) * 2006-10-19 2008-04-24 Air Products And Chemicals, Inc. Stripper Containing an Acetal or Ketal for Removing Post-Etched Photo-Resist, Etch Polymer and Residue
KR101160396B1 (ko) 2007-04-25 2012-06-26 파나소닉 주식회사 운동 보조 장치
EP2039748A1 (de) * 2007-09-17 2009-03-25 The Procter and Gamble Company Verfahren zur Behandlung von geneigten harten Oberflächen
US20090120457A1 (en) * 2007-11-09 2009-05-14 Surface Chemistry Discoveries, Inc. Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
EP2215203B1 (de) * 2007-11-13 2012-05-16 Sachem, Inc. Polyedersilsesquioxanzusammensetzung mit hohem negativen zetapotential sowie verfahren zur schadensfreien halbleiternassreinigung
KR20100100841A (ko) * 2007-12-04 2010-09-15 미쓰비시 가가꾸 가부시키가이샤 반도체 디바이스용 기판의 세정 방법 및 세정액
JP5286290B2 (ja) * 2008-02-15 2013-09-11 ライオン株式会社 洗浄剤組成物および電子デバイス用基板の洗浄方法、並びに電子デバイス用基板
JP5813280B2 (ja) * 2008-03-19 2015-11-17 富士フイルム株式会社 半導体デバイス用洗浄液、および洗浄方法
TWI450052B (zh) 2008-06-24 2014-08-21 Dynaloy Llc 用於後段製程操作有效之剝離溶液
US8580656B2 (en) * 2008-07-14 2013-11-12 Air Products And Chemicals, Inc. Process for inhibiting corrosion and removing contaminant from a surface during wafer dicing and composition useful therefor
KR101752684B1 (ko) 2008-10-21 2017-07-04 엔테그리스, 아이엔씨. 구리 세척 및 보호 조성물
US20100178887A1 (en) 2009-01-13 2010-07-15 Millam Michael J Blast shield for use in wireless transmission system
EP2312618B1 (de) * 2009-10-14 2016-02-10 Rohm and Haas Electronic Materials LLC Verfahren zur Reinigung und Mikroätzung von Halbleiter-Wafern
US8298751B2 (en) * 2009-11-02 2012-10-30 International Business Machines Corporation Alkaline rinse agents for use in lithographic patterning
ES2711924T3 (es) 2010-01-25 2019-05-08 Westinghouse Electric Co Llc Procedimiento y composición para eliminar depósitos de cal formados en una superficie metálica dentro de un sistema generador de vapor
TWI539493B (zh) 2010-03-08 2016-06-21 黛納羅伊有限責任公司 用於摻雜具有分子單層之矽基材之方法及組合物
CN103038311B (zh) 2010-06-09 2015-10-07 巴斯夫欧洲公司 含水碱性蚀刻和清洁组合物以及处理硅基材表面的方法
US8883701B2 (en) 2010-07-09 2014-11-11 Air Products And Chemicals, Inc. Method for wafer dicing and composition useful thereof
DE102011050136A1 (de) 2010-09-03 2012-03-08 Schott Solar Ag Verfahren zum nasschemischen Ätzen einer Siliziumschicht
EP2557147B1 (de) 2011-08-09 2015-04-01 Basf Se Wässrige basische Lösung und Verfahren zur Behandlung der Oberfläche von Siliziumsubstraten
SG10201605697UA (en) * 2011-08-09 2016-09-29 Basf Se Aqueous alkaline compositions and method for treating the surface of silicon substrates
US9257270B2 (en) * 2011-08-15 2016-02-09 Ekc Technology Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
JP2015512971A (ja) 2012-02-15 2015-04-30 インテグリス,インコーポレイテッド 組成物を使用したcmp後除去及び使用方法
US10196588B2 (en) * 2012-05-02 2019-02-05 Universiti Putra Malaysia Detergent formulation for dishwashing machine
US8598042B1 (en) * 2012-06-01 2013-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Device manufacturing and cleaning method
US9158202B2 (en) 2012-11-21 2015-10-13 Dynaloy, Llc Process and composition for removing substances from substrates
JP6157895B2 (ja) * 2013-04-01 2017-07-05 株式会社トクヤマ テクスチャー形成用組成物、シリコン基板の製造方法、及びテクスチャー形成用組成物調製キット
US10199564B2 (en) 2015-02-04 2019-02-05 Sumitomo Chemical Company, Limited Method for manufacturing niobate-system ferroelectric thin-film device
US11193059B2 (en) 2016-12-13 2021-12-07 Current Lighting Solutions, Llc Processes for preparing color stable red-emitting phosphor particles having small particle size
CN111386332A (zh) * 2017-11-28 2020-07-07 巴斯夫欧洲公司 用于清洁或清洗产品的包含一级和二级表面活性剂的组合物
CN111936418B (zh) * 2018-03-28 2021-11-23 株式会社德山 多晶硅破碎块及其制造方法
KR102062342B1 (ko) * 2019-03-08 2020-01-03 영창케미칼 주식회사 반도체 웨이퍼 세정액 조성물 및 그를 이용한 세정방법
CN112647084B (zh) * 2020-11-20 2023-02-17 广东致卓环保科技有限公司 一种用于锌镍合金电镀层退镀的除灰剂和使用方法
CN113980580B (zh) * 2021-12-24 2022-04-08 绍兴拓邦新能源股份有限公司 一种单晶硅片的碱刻蚀抛光方法
CN114989901B (zh) * 2022-06-27 2024-01-05 广东韶钢松山股份有限公司 电感耦合等离子体发射光谱仪矩管用清洗剂和清洗方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3886099A (en) * 1972-03-13 1975-05-27 Griffin Bros Inc Water soluble flux remover
DE2541624C2 (de) * 1975-09-18 1982-09-16 Ibm Deutschland Gmbh, 7000 Stuttgart Wässrige Ätzlösung und Verfahren zum Ätzen von Polymerfilmen oder Folien auf Polyimidbasis
US4339340A (en) * 1975-11-26 1982-07-13 Tokyo Shibaura Electric Co., Ltd. Surface-treating agent adapted for intermediate products of a semiconductor device
GB1573206A (en) * 1975-11-26 1980-08-20 Tokyo Shibaura Electric Co Method of trating surfaces of intermediate products obtained in the manufacture of semiconductor devices
GB1573208A (en) * 1975-11-26 1980-08-20 Tokyo Shibaura Electric Ltd Surface treating agent adapted for intermediate products of a semiconductor device
FR2372904A1 (fr) * 1976-11-19 1978-06-30 Ibm Composition de decapage du silicium polycristallin contenant de l'hydroxyde de tetramethylammonium et procede d'application
DE3316876A1 (de) * 1983-05-07 1984-11-08 Henkel KGaA, 4000 Düsseldorf Mittel zur entfernung filbildender polymerer schutzueberzuege
US4784937A (en) * 1985-08-06 1988-11-15 Tokyo Ohka Kogyo Co., Ltd. Developing solution for positive-working photoresist comprising a metal ion free organic base and an anionic surfactant
JPS6232453A (ja) * 1985-08-06 1987-02-12 Tokyo Ohka Kogyo Co Ltd ポジ型ホトレジスト用現像液
US4710449A (en) * 1986-01-29 1987-12-01 Petrarch Systems, Inc. High contrast low metal ion positive photoresist developing method using aqueous base solutions with surfactants
JPS63114132A (ja) * 1986-10-31 1988-05-19 Showa Denko Kk 表面処理液
JP2569574B2 (ja) * 1987-07-09 1997-01-08 三菱瓦斯化学株式会社 半導体処理剤
US5185235A (en) * 1987-09-09 1993-02-09 Tokyo Ohka Kogyo Co., Ltd. Remover solution for photoresist
US4964919A (en) * 1988-12-27 1990-10-23 Nalco Chemical Company Cleaning of silicon wafers with an aqueous solution of KOH and a nitrogen-containing compound
JPH0393229A (ja) * 1989-09-05 1991-04-18 Pure Retsukusu:Kk 半導体用ウェーハの清浄化方法
US5207866A (en) * 1991-01-17 1993-05-04 Motorola, Inc. Anisotropic single crystal silicon etching solution and method
EP0496605B1 (de) * 1991-01-24 2001-08-01 Wako Pure Chemical Industries Ltd Lösungen zur Oberflächenbehandlung von Halbleitern
DE69219998T2 (de) * 1991-10-31 1997-12-18 Sgs Thomson Microelectronics Verfahren zur Entfernung von Polymeren aus Sacklöchern in Halbleitervorrichtungen
US5259888A (en) * 1992-02-03 1993-11-09 Sachem, Inc. Process for cleaning quartz and silicon surfaces
JPH05259066A (ja) * 1992-03-13 1993-10-08 Texas Instr Japan Ltd ポジ型フォトレジスト用剥離液および半導体装置の製造方法
DE69333877T2 (de) * 1992-07-09 2006-06-14 Ekc Technology Inc Reinigungsmittelzusammensetzung, das einem Redox Aminverbindung enthält

Also Published As

Publication number Publication date
EP0678571B1 (de) 2001-01-24
CA2146036C (en) 1999-08-17
IL113036A (en) 1999-09-22
JPH07297158A (ja) 1995-11-10
IL113036A0 (en) 1995-06-29
MY112282A (en) 2001-05-31
CA2146036A1 (en) 1995-10-21
JP2670987B2 (ja) 1997-10-29
KR0160372B1 (ko) 1998-11-16
KR950032597A (ko) 1995-12-22
US5466389A (en) 1995-11-14
ES2153442T3 (es) 2001-03-01
EP0678571A2 (de) 1995-10-25
TW301669B (de) 1997-04-01
DE69519955T2 (de) 2001-08-23
EP0678571A3 (de) 1997-01-15
ATE198907T1 (de) 2001-02-15

Similar Documents

Publication Publication Date Title
DE69519955T2 (de) PH-regulierter alkalischer Reiniger mit nicht ionischem Tensid zur Reinigung mikroelektronischer Substrate
MXPA97003620A (es) Composiciones limpiadoras y metodos para utilizarlas mismas.
DE69529705D1 (de) Verfahren und Lösung zur Reinigung eines Substrats von einer Metallkontamination
CA2333618A1 (en) Microfluidic device
MXPA04003308A (es) Toallita prehumedecida que contiene biguanida polimerica para tratar superficies.
DE69905258T2 (de) Verwendung einer zusammensetzung mit heparin als nicht-thrombogenes oberflächenbeschichtungsmittel zur prophylaxe von arterienthrobosen
EP0392375A3 (de) Verfahren zur Herstellung optischer Wellenleiter
MXPA04003307A (es) Toallitas prehumedecidas para tratar superficies.
DE68917377T2 (de) Wasserabstossende Zusammensetzung für poröse Substrate.
FR2697852B1 (fr) Procédé de formation d'un revêtement céramique sur un substrat en présence d'ozone.
DE69111487D1 (de) Beschichtete Substrate.
MA26237A1 (fr) Composition aqueuse pour l'hydrophobation d'un substrat.
ATE322596T1 (de) Riegelverschluss zur montage in einer dünnen wand
EP0994505A3 (de) Verfahren zur Reinigung von Substraten
NZ332912A (en) rinseable hard surface cleaner containing a silicate, a hydrophobic acrylic polymer and a surfactant
GR3031529T3 (en) Stable aqueous emulsions of nonionic surfactants with a viscosity controlling agent.
PL329925A1 (en) Porous elastic cleaning element having open pores
PL365600A1 (en) Substrate with photocatalytic and/or hydrophilic coating
CA2301488A1 (en) Sheet coated with silicone compounds highly effective in preventing adhesion of stains and process for preparing the same
EP0246802A3 (de) Verfahren zum Reinigen der Oberfläche eines Halbleitersubstrates
SE8802873D0 (sv) A method of controlling microbiological deposits on paper making equipment and paper obtained thereby
MY129124A (en) Glass article having surface coating and method for producing the same
DE69613476T2 (de) Metallspülungsverfahren mit kontrollierter Metallmikrokorrosionsreduktion
DE59901099D1 (de) Wasserwaage
KR920010856A (ko) Tet기판용 그라스의 세정방법

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: AVANTOR PERFORMANCE MATERIALS, INC., PHILLIPSB, US