DE69533928T2 - Kammer für CVD-Behandlungen - Google Patents

Kammer für CVD-Behandlungen Download PDF

Info

Publication number
DE69533928T2
DE69533928T2 DE69533928T DE69533928T DE69533928T2 DE 69533928 T2 DE69533928 T2 DE 69533928T2 DE 69533928 T DE69533928 T DE 69533928T DE 69533928 T DE69533928 T DE 69533928T DE 69533928 T2 DE69533928 T2 DE 69533928T2
Authority
DE
Germany
Prior art keywords
chamber
wafer support
wafer
heating element
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69533928T
Other languages
English (en)
Other versions
DE69533928D1 (de
Inventor
Jun Zhao
Tom Cho
Charles Dornfest
Stefan Wolff
Kevin Fairbairn
Xin Sheng Guo
Alex Schreiber
John M. White
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of DE69533928D1 publication Critical patent/DE69533928D1/de
Publication of DE69533928T2 publication Critical patent/DE69533928T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/909Controlled atmosphere

Description

  • Diese Erfindung betrifft das Gebiet von Vakuum-Bearbeitungskammern, die in der Halbleiterindustrie weit verbreitet verwendet werden, und insbesondere CVD-Bearbeitungskammern, die verwendet werden, um dielektrische, Metall- oder Halbleiterschichten auf Halbleitersubstraten unter Verwendung eines plasmaverstärkten chemischen Gasphasenabscheide-(PECVD-) Prozesses abzuscheiden.
  • Der Prozess eines Abscheidens von Schichten auf einem Halbleiterwafer (oder -substrat) bringt gewöhnlicherweise ein Erwärmen des Substrats und ein Halten desselben in einer kurzen Entfernung von der Quelle eines Stroms von Abscheide- (oder Prozess-) Gas mit sich, das zu dem Substrat hin strömt, wobei es in einen Plasmazustand angeregt ist.
  • Ein nicht-gleichförmiges Gasströmungsmuster verursacht unerwünschte Variationen in der Gleichförmigkeit der Beschichtungsschicht, die über der Oberfläche des Wafers abgeschieden ist.
  • Typischerweise führt die Abgasanschlussöffnung, die von der Bearbeitungskammer zu der Vakuumpumpe verläuft, zu einer Asymmetrie in dem Gasströmungsmuster. In einem Versuch, die Symmetrie wiederherzustellen, stellen viele Auslegungen einen Pumpkanal bereit, der den Wafer umgibt. Jedoch ist in vielen Konfigurationen eine Symmetrie nicht möglich, weil eine vollständige Umschließung des Wafers aufgrund von störenden Strukturen nicht möglich ist, z.B. der Wafer-Einführungsöffnung, durch welche ein Roboterarm den Wafer in die Kammer führt. Derartige Kammern mit einer mechanischen Asymmetrie können eine ausreichend hohe Gasströmungssymmetrie, wie sie auf dem Wafer erwünscht wäre, nicht erreichen.
  • Die Konfiguration des Kanals und sein Mangel an Symmetrie um das Zentrum des Wafers, der verarbeitet wird, herum schränken die Stelle, an welcher die Öffnungen platziert werden können, ein und begrenzen die Auslegung aufgrund des Bedarfs, andere Strukturen und Durchführungen in der Kammer zu vermeiden, z.B. die Wafer-Einführungs- und -Entfernungsöffnung und seinen Pfad in die Kammer und aus ihr heraus. Unter vielen Umständen sind die Öffnungen in dem Pumpkanal in der Kammer an Stellen konfiguriert, die einen weniger idealen Gasströmungsbereich von der Gasverteilungsplatte zu dem Pumpkanal bereitstellen. Eine größere Abscheidung findet statt, wo die größte Anzahl von Molekülen die Substratoberfläche kontaktiert, d.h. um die Vakuumöffnungen herum. Die Prozessgasströmung, die aus einer derartigen Konfiguration herrührt, schließt kleine, aber messbare Variationen in der Dicke des Materials ein, das auf dem Wafer abgeschieden wird.
  • Um die Abscheidung auf einem Substrat zu unterstützen, wird der Substrat-Haltesockel (Aufnehmer) erwärmt. Ein Erwärmen wird benötigt, um eine Reaktionsenergie bereitzustellen, damit die dielektrische Abscheidereaktion auftritt.
  • Während einer CVD-Bearbeitung würde sich injiziertes Prozessgas idealerweise nur auf der Substratoberfläche abscheiden; jedoch verpassen in der Wirklichkeit manche Gasmoleküle die Substratoberfläche und scheiden sich auf den Bearbeitungskammeroberflächen anstelle auf der Oberfläche des Substrats ab. Sämtliche Kammeroberflächen innerhalb der Bearbeitungskammer sind einer Beschichtung durch die Bestandteile des Abscheidungsgases unterworfen.
  • Wenn der Aufbau von Oberflächenabscheidungen auf der Innenseite der Bearbeitungskammeroberflächen zu dick wird, können Flocken oder Partikel abgeschiedenen Materials von der Oberfläche der Kammer auf das Substrat, das verarbeitet wird, fallen, wo durch ein Defekt potenziell herbeigeführt wird. Um dieses Problem zu vermeiden, werden die inneren Oberflächen der Bearbeitungskammer periodisch durch ein Ätzen (Plasmaätzen) ihrer Oberflächen mit einem Fluorgas gereinigt, um das dielektrische Material, das durch das Abscheidungsgas abgeschieden ist, zu entfernen.
  • Es ist schwierig, die Oberflächen-Beschichtungsbedingungen innerhalb der Kammer abzuschätzen. Dies macht es schwierig zu erfassen, wann die Dicke der Beschichtung auf der Innenoberfläche der Bearbeitungskammer zu einem Punkt aufgebaut ist, wo ein Reinigen durchgeführt werden muss. Ein Bestimmen eines optimalen Punktes, wo eine Reinigung notwendig ist, ist ein Prozess aus Versuch und Irrtum, der einen Verlass auf historische Daten anstelle einer direkten Messung oder Beobachtung erfordert. Eine Optimierung bringt ein Ausdehnen der Zeit zwischen den Reinigungen so weit wie möglich mit sich, ohne Defekte einzuführen. Ein Reinigen stört eine normale Produktionsverarbeitung.
  • Erosion, Abrieb und Knollenwachstum auf der eloxierten Aluminium-Sockeloberfläche findet in der Kammer statt, da die poröse und manchmal nicht gleichförmige eloxierte Schicht auf den Oberflächen des Aufnehmers wiederholt rauen Bedingungen ausgesetzt ist, die während einer Waferbearbeitung und während eines chemischen Reinigens vorhanden sind. Überdies können Variationen in der Qualität einer Eloxierung oder einer anderen korrosionsbeständigen Beschichtung auf dem Aufnehmer zu unerwünschten Unterschieden in den elektrischen und chemischen (isolierenden) Eigenschaften über den Aufnehmer führen, die unerwünschte Variationen in dem Plasma verursachen können, die zu einer nicht-gleichförmigen Abscheidung auf der Wafer(Substrat-) Oberfläche beitragen können.
  • Bloße Aluminiumoberflächen können auch für den Aufnehmer verwendet werden, jedoch sind derartige Oberflächen Fluorin-Gasangriffen unterworfen, die zu einem Aluminiumfluorid(AIF)- Filmwachstum führen, was dazu führt, dass die Prozessparameter driften. Um den Prozess wiederherzustellen, wird die Oberfläche des Aufnehmers oft abgeschabt. Der Aluminiumfluoridfilm ist einem Brechen und Abschälen unterworfen, was eine Partikelverunreinigung herbeiführt.
  • An einem Punkt in der Vakuumleitung zwischen der Kammer und der Vakuumpumpe ist ein Vakuumabsperrventil bereitgestellt, welches, wenn es geschlossen ist, als eine Begrenzung des Bearbeitungskammereinschlusses wirkt. Flüchtige Verunreinigungen, die in ihrem Dampfzustand unter den hohen Temperaturen einer aktiven Bearbeitungskammer aufrechterhalten werden, können und werden unerwünscht auf den Wänden der kühlen Vakuumröhren einige Entfernung von dem Bearbeitungsgebiet der Bearbeitungskammer, aber noch innerhalb der Einschlussgrenze der Bearbeitungskammer, die durch das Vakuumventil definiert ist, kondensieren. Während Bedingungen ohne Strömung können diese Verunreinigungen zurück in den Bearbeitungsteil der Kammer migrieren, um in unerwünschter Weise zu ihrer Verunreinigung beizutragen.
  • Andere Quellen einer Verunreinigung in der herkömmlichen Auslegung sind elektrische Verbinder und mit Gewinde versehene mechanische Befestigungselemente innerhalb der Bearbeitungskammer-Vakuumumgebung. Ein Drehen und Befestigen der mit Gewinde versehenen Befestigungselemente oder eine Bogenbildung an den elektrischen Verbindern erzeugt Verunreinigungen, die ihren Weg zu dem Substrat finden können und es verunreinigen. Um den Effekt einer Verunreinigung bei der tatsächlichen Prozesseinstellung zu minimieren, ist eine Prozedur, die oft verwendet wird, nachdem eine Bearbeitungskammer wieder zusammengebaut worden ist, die, einen großen Satz von Probenwafern (beispielsweise zehn, zwanzig oder dreißig) zu verarbeiten, bis Messungen zeigen, dass sich die Prozessbedingungen in der Bearbeitungskammer stabilisiert haben und dass jedwede Verunreinigungen, die als eine Folge des Auseinanderbauens und Wiederzusammenbauens der Bearbeitungskammer eingeführt wurden, eliminiert worden sind. Diese Prozedur verlängert in unerwünschter Weise die Zeit, die benötigt wird, um eine normale Bearbeitung zu beginnen, sobald eine Kammer wieder zusammengebaut worden ist.
  • Eine Eliminierung der Faktoren, die zu Nicht-Gleichförmigkeiten in einer Materialabscheidung und einer Verunreinigung oder möglichen Verunreinigung beitragen, ist wünschenswert.
  • Die vorliegende Erfindung beabsichtigt, die Probleme des oben beschriebenen Standes der Technik zu überwinden. Die Aufgabe wird durch die Vorrichtung nach dem unabhängigen Anspruch 1 gelöst.
  • Weiter Vorteile, Merkmale, Aspekte und Details der Erfindung sind aus den abhängigen Ansprüchen, der Beschreibung und den zugehörigen Zeichnungen offensichtlich. Die Ansprüche sind gedacht, um als ein erster nicht einschränkender Ansatz die Erfindung in allgemeinen Begriffen zu definieren, verstanden zu werden.
  • Die Erfindung stellt eine Vorrichtung zur Verfügung mit: einer Wafer-Auflage, gebildet aus einem thermisch leitfähigen Material mit einem Heizelement, das darin eingebettet ist, mit einer Leitung des Heizelementes, die sich von der Rückseite der Wafer-Auflage erstreckt; die Wafer-Auflage beinhaltet eine Öffnung zum Aufnehmen eins Temperatursensors, die zur Rückseite der Wafer-Auflage geöffnet ist, und wobei das in dem thermisch leitfähigen Material eingebettete Heizelement eine einzige kontinuierliche Heizschleife umfasst, die derart konfigu riert ist, dass Heizelemente im Allgemeinen parallel zueinander sind, im Allgemeinen den Linien konzentrischer Kreise folgen, die im Allgemeinen in der Wafer-Auflage zentriert sind; die Heizelemente kreuzen einander nicht; ein äußerer Teil des Heizelementes verläuft in der Nähe eines Umfangs der Auflage und bildet an seinem Ende eine Schleife zu einem inneren Teil des Heizelementes, der entlang eines Pfades eines Kreises, der konzentrisch zum Pfad des äußeren Elements ist, und eine vorbestimmte Entfernung von dem äußeren Pfad entfernt ist, wobei Heizenergie zu der Wafer-Auflage in einem Muster zugeführt wird, das darin mitwirkt, einem im Allgemeinen gleichförmige Temperatur entlang der Oberfläche der Wafer-Auflage zu erhalten.
  • In dieser Anmeldung wird beschrieben, dass ein 360°-kreisförmiger Pumpkanal/-raum um den Ort des Wafers herum bereitgestellt wird. Der Pumpkanal wird in einem Raum in der Wand der Bearbeitungskammer und insbesondere zwischen dem Umfang der Unterseite des Deckels der Kammer und der Oberseite des inneren Umfangs des unteren Kammerkörpers gebildet. Der kreisförmige Pumpkanal stellt einen Verteiler (d.h. eine beinahe isobare Leitung) bereit, um das Prozessgas um die Bearbeitungskammer herum zu einer einzelnen Vakuumverbindung auf einer Seite der Kammer zu führen. Dies steht in Vergleich zu einem Pumpkanal nach dem Stand der Technik, der die Bearbeitungskammer nur teilweise umgibt.
  • In dieser Anmeldung wird weiter beschrieben, dass der Durchgang für das Prozessgas von dem Plasmaraum der Bearbeitungskammer zu dem Auspuffraum (Pumpkanal) durch einen kontinuierlichen, gleichförmigen, kreisförmigen Spalt (Schlitz) vollständig um die Bearbeitungskammer herum ist. Der Schlitz (eine/ein Gasströmungs-Drosselöffnung/-schlitz) ist zwischen einem unteren Bearbeitungskammerkörper und dem Bearbeitungskammerdeckel gebildet. Prozessgas, das in die Bearbeitungskammer durch die gleichförmig verteilten Öffnungen in der Gasverteilungsendplatte gerichtet ist, wird gleichförmig von dem Umfang der Kammer durch einen Schlitzspalt evakuiert, der eine gleichförmige vorbestimmte Entfernung von der Kante des Substrats vollständig um das Substrat, das verarbeitet wird, herum angeordnet ist, um eine maximale Gleichförmigkeit in der Dicke des abgeschiedenen Materials zu fördern.
  • In dieser Anmeldung wird weiter beschrieben, dass der Zustand (einschließlich einer Dicke) des Materialaufbaus auf den inneren Oberflächen der Bearbeitungskammer direkt beobachtet werden kann, indem das Material, das auf der Innenseite eines Fensters abgeschieden wird, von der Außenseite der Kammer betrachtet wird. Das Plasma in der Kammer kann direkt durch das Fenster betrachtet werden. Das Fenster ist neben einem Konvergenzpunkt der Prozessgasströmung von dem kreisförmigen Auspuffraum zu dem Vakuumsystem angeordnet. Das Fenster ist angeordnet, einen seitlichen Vakuumleitungs-Erweiterungsabschnitt zu betrachten, wenn das Prozessgas in das Vakuumsystem von Auspuffraum gezogen wird, den es vor dem Beobachtungsfensteranschluss passiert, über welchem das Fenster befestigt ist, bevor es ein stromabwärts angeordnetes Vakuumabsperrventil erreicht.
  • Gemäß einem Aspekt der Erfindung ist ein nicht-eloxierter, metallischer, geheizter Sockel/Aufnehmer (Waferhalteplatte) bereitgestellt, um einen Wafer, der verarbeitet wird, zu halten. Der Sockelaufbau stellt ein gleichförmig geerdetes Potenzial über seine volle Breite ohne Änderungen in elektrischen Eigenschaften, z.B. einer Impedanz, aufgrund von Variationen in einer Oberflächenbehandlung, z.B. einer Eloxierung, bereit. Diese Konfiguration beseitigt auch die zusätzliche Ausglühzeit, die benötigt wird, um die porösen Oberflächen, die von der Oberflächenbehandlung herrühren, wirksam zu kühlen, verglichen mit der Zeit, die benötigt wird, um relativ glatt bearbeitete, reine Metalloberflächen auszuglühen.
  • Gemäß einem anderen Aspekt der Erfindung wird die Waferhalteplatte des Sockels (vorzugsweise Aluminium) unter Verwendung einer doppelten Einzelschleife einer vollen Windung, die in ein Heizelement eingebettet ist, das konfiguriert ist, parallel konzentrische Kreise zu bilden, geheizt. Ein äußerer Abschnitt des Heizelements verläuft neben einem Umfang der Halteplatte, während ein innerer Abschnitt auf dem Pfad eines konzentrischen Kreises mit einem kleineren Radius verläuft. Die Verdrahtung des Heizelements läuft durch den Steven des Sockels.
  • Gemäß einem weiteren Aspekt der Erfindung wird eine Waferhalteplatte auch gekühlt, wie erforderlich, durch eine eingebettete Kühlröhre. Die Kühlröhre unterstützt in einem Beschleunigen des Kühlens des Sockels vor dem Durchführen einer Wartung. Die Kühlröhre läuft in einer Schleife auch entlang des Pfades eines Kreises, konzentrisch zu den Pfaden der Heizelemente.
  • Gemäß einem anderen Aspekt der Erfindung wird der Sockel in der Bearbeitungskammer durch einen zentralen Hohlsteven gehalten. Leiter verlaufen durch den Kern des eingebetteten Heizelements in der Waferhalteplatte (Sockelheizelement) zusammen mit einem Thermopaar, um die Sockelheizelement-Temperatur zu erfassen, und, wenn gewünscht, verläuft die Kühlröhre auch durch diesen Kern. Die Vakuumdichtung zu dem Steven hin ist an dem Umfang des unteren Endes des Stevens ausgeführt. Das untere Ende des Stevens ist auch mit Masse verbunden.
  • Gemäß einem weiteren Aspekt der Erfindung ist, um eine Korrosion des unbehandelten Metallaufnehmers zu verhindern, die Oberseite des Sockels mit einer lose passenden, trotzdem präzise angeordneten keramischen Buchse abgedeckt. Der zentrale o bere Abschnitt der Buchse des Sockelheizelements ist eine Abdeckungsbuchse aus einem Material, vorzugsweise einer Aluminiumnitridkeramik mit einer hohen thermischen Leitfähigkeit, so dass die thermische Energie des geheizten Sockels durch das Aluminiumnitrid übertragen werden kann, um die Temperatur eines Wafers, der verarbeitet wird, zu erhöhen. Die Umfangskante der Sockelheizelementbuchse ist eine "L"-geformte Aluminiumoxid-Keramikeinfassung, die den äußeren oberen Umfang des Sockelheizelements vor einer Korrosion während eines PECVD-Prozesses schützt.
  • Gemäß einem spezifischerem Aspekt der Erfindung wird die Position des Wafers, der verarbeitet wird, in der Mitte der Platte trotz des Unterschiedes in Koeffizienten einer thermischen Ausdehnung zwischen der Sockelplatte (aus Aluminium hergestellt) und den abdeckenden Buchsen (aus Keramik hergestellt) aufrechterhalten, und wird erreicht, indem eine feste Mittelverbindung mit einer tangential eingeschränkten, aber einer radial gleitenden Schlitzeinfassung verwendet wird. Der Sockel schließt einen Waferhebestift durch Löcher und Senkerhohlräume ein, um eine keramische Abdeckungsbuchse mit abwärts verlaufenden, Hohlzapfen mit Absenkungen vom Kegelsenkertyp an der Oberfläche der Buchse aufzunehmen, um eine Welle und ein Ende der Wasserhebeanschlüsse (kollektiv bekannt als Rückhaltemerkmale) zu führen und zurückzuhalten. Ein zentraler, abwärts verlaufender Vollzapfen wirkt als der zentrale Anker zwischen der Mitte der Abdeckbuchse und der Mitte des Sockels. Einer der abwärts verlaufenden Hohlzapfen ist tangential eingeschränkt, aber er kann radial entlang einer Linie zwischen einer Stelle und der Mitte des Sockels gleiten. Die anderen abwärts verlaufenden Hohlzapfen sind in großen Stirnsenkerlöchern an ihren jeweiligen Stellen in dem Sockel angeordnet, was eine Störung zwischen Stücken aufgrund einer unterschiedlichen thermischen Ausdehnung während des Bereichs von Prozesstemperaturen verhindert.
  • In dieser Anmeldung wird weiter beschrieben, dass der Sockel auf einer Abstandshalterplatte durch drei triangular platzierte, einstellbare Schraubenverbindungen gehalten wird. Der Abstandshalter gestattet eine Einstellung der Sockelstellung, um seine Waferhaltefläche parallel zu der Gasverteilungsendplatte in der Bearbeitungskammer auszuführen.
  • In dieser Anmeldung wird weiter beschrieben, dass die Wände der Bearbeitungskammer, die das Gebiet umgeben, wo das Plasma während einer Bearbeitung vorhanden ist, mit einem keramischen Dielektrikum überzogen sind, so dass die Metallwand der Kammer vor schädlichen Wirkungen der Aussetzung gegenüber dem Plasma geschützt ist und das Massepotenzial der Wand der Kammer weiter weg von der primären Elektrode (Gasverteilungsendplatte) des Plasmas verschoben wird, um zu unterstützen, dass das Plasma gleichförmiger und stabil zu und über den Radius des Umfangs des Wafers hinaus, der verarbeitet wird, ausgeführt wird.
  • Die im allgemeinen gleichförmige Dicke der Abdeckungsauskleidung, wenn der Wafer in Position ist, stellt eine im allgemeinen gleichförmige Impedanz für den elektrischen Pfad von der primären HF-Elektrode (der Gasverteilungsendplatte) zu Masse (dem Sockel) bereit, diese gleichförmige Impedanz zu Masse vermeidet eine ungleichmäßige Abscheidung aufgrund eines Differentials in der Plasmaqualität zwischen Stellen.
  • Gemäß einem weiteren Aspekt der Erfindung ist die Stelle, wo ein Plasma während einer Bearbeitung vorhanden ist, von keramischen Stücken umgeben, die im allgemeinen undurchlässig gegenüber der Wirkung des Plasmas sind, außer der Gasverteilungsendplatte. Die Oberseite der keramischen Buchse, die den Sockel umgibt, ist gegenüberliegend von und eine kurze Entfernung entfernt von der unteren Oberfläche eines keramischen I solatorrings in dem Deckel der Bearbeitungskammer angeordnet. Diese kurze Entfernung ist der Spalt des kontinuierlichen, kreisförmigen 360°-Schlitzes, durch welchen das Prozessgas in den 360°-kreisförmigen Pumpkanal (Auspuffraum) gezogen wird. Die Wände der Bearbeitungskammer, die den Sockel umgeben, sind in unmittelbarer Nähe zu der Kante des Sockelheizelements, das den Wafer trägt, der verarbeitet wird. Die keramische Buchse, z.B. eine Reihe von keramischen Ringen, kleidet nur die Wände neben der Stelle des Plasmas aus. Diese Ringe, genau wie alle anderen keramischen Stücke, sind für ein Reinigen und/oder einen Ersatz, wenn angemessen, entfernbar.
  • In dieser Anmeldung wird weiter beschrieben, dass der untere Körper der Bearbeitungskammer Vakuumdurchgänge einschließt, die von dem seitlichen Erweiterungsabschnitt des Abgasraumes zu einem Vakuumabsperrventil führen, das innerhalb des unteren Ventilskörpers eingepasst ist und konfiguriert ist, einen Vakuumabschlusssitz in dem unteren Körper derart einzuschließen, dass, wenn das Vakuum zu der Bearbeitungskammer abgeschlossen wird, eine sehr kurze Leitung zwischen dem Ventilsitz, der die Bearbeitungskammer abdichtet, und dem Bearbeitungskammerraum, der das Sockelheizelement umgibt, vorhanden ist, die verunreinigt werden könnte, und in welcher Verunreinigungen während, vor und nach einer Bearbeitung eingefangen werden könnten. Indem man das Vakuumventil innerhalb des Kammerkörpers hat, werden, wenn der Kammerkörper geheizt wird, sämtliche Vakuumdurchgänge vor dem Vakuumventil in dem Kammerkörper auch geheizt. Diese Konfiguration reduziert oder beseitigt die Wahrscheinlichkeit, dass Verunreinigungen auf Oberflächen der Vakuumdurchgänge stromaufwärts des Vakuumventils kondensieren und zurück in die Bearbeitungskammer während einer Zeit, wenn das Ventil geschlossen ist, migrieren könnten.
  • In dieser Anmeldung wird weiter beschrieben, dass eine Verunreinigung aufgrund von HF-Oberflächenkontaktbögen in der Bear beitungskammer durch ein Bereitstellen einer Gasverteilungsplatte aus einem Stück beseitigt wird, die durch die Vakuumdichtung der Bearbeitungskammer läuft, und mit einer HF-Energiequelle außerhalb der Bearbeitungskammer verbindet, so dass ein Bogenziehen, wenn es auftritt, nicht innerhalb der Bearbeitungskammer auftritt. Der Deckel der Bearbeitungskammer schließt eine einstückige, oben offene, hohl geflanschte, zylinderförmige Gaseinlass-Verteilerplatte ein, die den Deckel innerhalb des Hohlzylinders abdichtet und auf der Unterseite ihres Flansches dem Deckel gegenübersteht. Wenn eine HF-Energieverbindung zu der Oberseite des Flansches der Gasverteilungsplatte ausgeführt wird, sind keine "im Vakuum enthaltene" Verbindungen, wie nach dem Stand der Technik bekannt, vorhanden, die Verunreinigungen innerhalb der Bearbeitungskammer während eines PECVD-Prozesses erzeugen könnten.
  • In dieser Anmeldung wird weiter beschrieben, dass eine Konfiguration der Bearbeitungskammer gemäß der Erfindung keine mit Gewinde versehenen Befestigungselemente innerhalb des Einschlussbereiches der Bearbeitungskammer bereitstellt, deren Grenzen außerhalb der Gasverteilungsendplatte beginnen und die zu den Grenzen der Vakuumdichtung der Kammer und der Dichtung des Vakuumsystem-Absperrventils verlaufen. Die Befestigungselemente für den Sockelanhub liegen sämtlich außerhalb der Bearbeitungskammer. Sobald ein Vakuum in der Kammer vorhanden ist, stellt der Außenatmosphärendruck die Kraft bereit, die benötigt wird, um die Vakuumdichtungen in einer gestapelten Reihe von Schichten der Bearbeitungskammer zu halten.
  • Die Erfindung wird unter Bezugnahme auf die folgende Beschreibung der Ausführungsformen der Erfindung, genommen in Verbindung mit den zugehörigen Zeichnungen, besser verstanden werden.
  • In den Zeichnungen zeigen:
  • 1 einen Querschnitt einer PECVD-Bearbeitungskammer verwendbar für die Erfindung;
  • 2 eine Nahansicht der linken Seite der vereinfachten Bearbeitungskammer, die in 1 gezeigt ist;
  • 3 eine Querschnittsansicht des Sockelhebemechanismus, der an der Unterseite der Bearbeitungskammer der 1 und 2 anzubringen ist;
  • 4 einen Querschnitt einer HF-Energieverbindung mit der Oberseite der Gasverteilungsendplatte, verwendbar für die Erfindung;
  • 5 eine Querschnittsansicht der Prozessgaszuführung von dem unteren Körper der Bearbeitungskammer durch ein isoliertes Brückenelement und in den Einlassgasverteilungs in der Mitte des Gasverteilungsendplatte;
  • 6 eine explosionsartige Ansicht des Deckels und seiner Komponenten, wie sie bestehen, um Gas der Bearbeitungskammer durch den Deckel der Bearbeitungskammer zuzuführen;
  • 7 eine explosionsartige Ansicht der unteren Bearbeitungskammer, wie sie zusammengesetzt würde, um eine Konfiguration verwendbar für die Erfindung auszuführen;
  • 8 die Unteransicht einer Bearbeitungskammer wie in 7 gezeigt;
  • 9 das schematische Diagramm des Lochmusters von Einstellschrauben, wie sie verwendet werden, um die Stellung des Sockels einzustellen;
  • 10 einen Querschnitt der 1, genommen entlang 10-10 unter Verwendung von Pfeilen, um das hypothetische Gasströmungsmuster in der Bearbeitungskammer zu zeigen;
  • 11 einen vereinfachten Querschnitt in Nahaufnahme einer Konfiguration eines Sockels;
  • 12 eine Nahaufnahme der Konfiguration der 11;
  • 13 eine Seitenansicht eines Hebestiftes für eine Verwendung in den Konfigurationen, wie sie in den 11 und 12 gezeigt sind;
  • 14 eine Unteransicht einer Aluminiumnitrid-Abdeckplattenbuchse verwendbar für die Erfindung;
  • 15 eine Endansicht eines Sockels (Heizelements), wie in 17 gezeigt;
  • 16 eine Nahaufnahme des länglichen Schlitzführungsmerkmals 72, wie in 15 gezeigt;
  • 17 aufgeschnittene Seiten- und teilweise Querschnittsansichten eines Sockelheizelements;
  • 18 eine untere Endansicht des Sockelheizelements der 17;
  • 19 eine Teilansicht eines Querschnitts, der eine Konfiguration der Verbindung zwischen dem Steven und der Platte des Sockels zeigt;
  • 20 eine Seitenquerschnittsansicht der eingebetteten Stücke und Merkmale einer Sockelheizelement-Waferhalteplatte;
  • 21 eine Unteransicht der Sockelheizelementkonfiguration, wie in 20 gezeigt;
  • 22 eine Seitenquerschnittsansicht einer Sockelheizelement-Waferhalteplatte, einschließlich sowohl eines Heizelements als auch einer Kühlflüssigkeitsröhre, die darin zusammen mit Vorkehrungen für eine Thermopaardurchführung eingebettet sind; und
  • 23 eine untere Ansicht, die die Führung und Orientierung der verschiedenen Heiz-, Kühl- und Thermopaarelemente der 22 zeigt.
  • Eine Gesamtquerschnittsansicht einer bevorzugten Ausführungsform einer Abscheide-Bearbeitungskammer ist in den 1 und 3 gezeigt. (Siehe auch 7, eine explosionsartige perspektivische Ansicht ohne den Kammerdeckel.) Ein geheizter Sockel 136, der innerhalb der Bearbeitungskammer zentriert ist, hält einen Semiconductor-Wafer oder ein Substrat (nicht gezeigt) an der Wafer-Bearbeitungsstelle 141 auf der flachen (oder geringfügig konvexen), kreisförmigen Fläche 145 des Sockels. Ein Hebemechanismus 143 (3) hebt und senkt die Heizelement-Sockelanordnung 135 und ihre Wafer-Hebestifte 162, wenn Wafer in den und aus dem Körper der Kammer durch ein Robotermesser (nicht gezeigt) durch eine Einführungs-/Entfernungsöffnung 142 in der Seite der Kammer transferiert werden.
  • Die Sockelfläche 145 ist parallel und eng beabstandet zu einer Prozessgas-Verteilerendplatte 122, durch welche Prozessgase in die Kammer eintreten. Eine HF-Energieversorgung (nicht gezeigt) legt eine elektrische Energie zwischen der Gasverteilungsendplatte 122 und dem Sockel an, um so die Prozessgasmischung anzuregen, um ein Plasma innerhalb des zylindrischen Bereichs zwischen der Endplatte und dem Sockel zu bilden. Die Bestandteile des Plasmas reagieren, um einen gewünschten Film auf die Oberfläche des Halbleiterwafers abzuscheiden, der auf der Fläche 145 des Sockels gehalten wird. Der Rest der Gasmischung, einschließlich Reaktionsprodukten, wird von der Kammer durch eine Vakuumpumpe (nicht gezeigt) evakuiert.
  • Der Aluminiumsockel 136 und die innere Oberfläche 265 der zylindrischen Wand 265 der Kammer sind mit einem keramischen Material überzogen (Ringe 236, 234), um einer Korrosion zu widerstehen, und stellen den Grad einer Impedanz, die von dem Plasma angetroffen wird, ein, wenn die HF-Schaltung (nicht gezeigt) ihre Schaltung zu einem Massepotenzial zu vervollständigen sucht. Die verbesserte Gleichförmigkeit einer Gasverteilung über den Wafer und die kreisförmige Konfiguration der Kammer 133 und ihrer symmetrischen Buchsen (236, 234) tragen dazu bei, das Plasma in der Kammer gleichförmiger und stabiler auszuführen, um zu einer Verbesserung in der Gleichförmigkeit der Dicke von auf dem Wafer, der verarbeitet wird, abgeschiedenen Material beizutragen.
  • Quellen einer Verunreinigung innerhalb der Kammer werden durch ein Betätigen sämtlicher innerhalb der Kammer beweglichen Komponenten über Bälge 267, 268 verringert oder beseitigt. Eine Verunreinigung von einem Bogenziehen innerhalb des Vakuumeinschlusses der Kammer wird durch ein Bewegen der RF-Energieverbindung aus der Vakuumumgebung heraus und zu der atmosphärischen Seite der Vakuumdichtungen beseitigt. Eine Verunreinigung von Partikeln, die durch mit einem Gewinde versehene Verbinder erzeugt wird, wird auch durch ein Beseitigen von mit Gewinde versehenen Verbindungen innerhalb der Grenzen vermieden, die durch die Fläche der Gasverteilungsendplatte und der Vakuumdichtungen der Kammer 133 definiert ist. Eine Verunreinigung aufgrund einer Flockenbildung eines übermäßigen Abscheidungsaufbaus an den Wänden der Kammer wird minimiert oder eliminiert, indem man in der Lage ist, den Aufbau von Abscheidungen auf der Innenseite der Kammeroberflächen direkt zu be trachten und Reinigungszyklen zu planen, bevor der Aufbau groß genug ist, dass Verunreinigungen abblättern. Ein einziges Kristallsaphirfenster 230 ist über dem Beobachtungsanschluss 232 positioniert, der in dem Gebiet einer Gasströmungskonvergenz von dem Bearbeitungskammer-Auspuffraum zu dem Vakuumanschluss 226 positioniert ist. Der maximale Aufbau abgeschiedenen Materials tritt in dem Gebiet einer Gasströmungskonvergenz auf.
  • 1. Auspuffverteiler
  • Wie oben bemerkt, wird der Halbleiterwafer (nicht gezeigt) während eines Abscheideprozesses auf der Fläche 145 des Sockels 136 gehalten. Die Fläche 145 ist parallel und eng beabstandet zu einer Prozessgasverteilungsendplatte 122 mit Löchern 121, durch welche Prozessgase in die Kammer eintreten. Spezifischer strömen Abscheideprozessgase in die Kammer durch einen Einlassverteiler 126 (angezeigt durch einen Pfeil 123), durch eine herkömmliche perforierte Blockplatte 124 und durch die Löcher 121 in einer herkömmlichen flachen, kreisförmigen Gasverteilungsendplatte 122 (angezeigt durch kleine Pfeile 144 in den 1 und 2). Eine HF-Energieversorgung (nicht gezeigt) legt eine elektrische Energie zwischen der Gasverteilungsendplatte 121 und dem Sockel an, um so die Prozessgasmischung anzuregen, um ein Plasma innerhalb des zylindrischen Bereichs zwischen der Endplatte und dem Sockel zu bilden. (Dieser Bereich wird hierin als der "Reaktionsbereich" bezeichnet werden.) Die Bestandteile des Plasma reagieren, um einen gewünschten Film auf der Oberfläche des Halbleiterwafers, der auf der Fläche 145 des Sockels gehalten wird, abzuscheiden. Der Rest der Gasmischung, einschließlich von Reaktionsprodukten, wird von der Kammer durch eine Vakuumpumpe (nicht gezeigt) evakuiert.
  • Im Speziellen werden die Gase durch eine ringförmige, schlitzartig geformte Öffnung 131, die den Reaktionsbereich ausrei chend, und radial lang genug, umgibt, und in einen ringförmigen Auspuffraum 222 ausgestoßen. Der ringförmige Schlitz 131 und der Raum 222 sind durch den Spalt zwischen der Oberseite der zylindrischen Seitenwand 134 der Kammer (einschließlich des oberen dielektrischen Überzugs 234 auf der Wand) und der Unterseite des kreisförmigen Kammerdeckels 221 (einschließlich des dielektrischen Isolators (Isolator) 120 zwischen dem Deckel 221 und dem Umfangsflansch 125 der Gasverteilungsplatte 122) definiert. Wie untenstehend vollständiger diskutiert werden wird, sind die 360°-kreisförmige Symmetrie und -Gleichförmigkeit der Schlitzöffnung 131 und des Raums 222 wichtig, um eine gleichförmige Strömung von Prozessgas über den Wafer zu erreichen, um so einen gleichförmigen Film auf dem Wafer abzuscheiden.
  • Von dem Auspuffraum 222 strömen die Gase unterhalb eines lateralen Ausdehnungsabschnittes 245 des Auspuffraums (2) an einem Beobachtungsanschluss 232 durch eine sich abwärts erstreckende Gasleitung 239, vorbei an einem Vakuumabsperrventil 240 (dessen Körper mit dem unteren Kammerkörper 134 integriert ist) und in den Auspuffauslass 226, der mit der externen Vakuumpumpe (nicht gezeigt) verbindet.
  • 10 ist eine Schnittansicht der 1, genommen entlang 10-10 durch eine Ebene der Schlitzöffnung 131, indem man herab auf einen Wafer 184 (gezeigt als Phantom) blickt, wobei der Auspuffraum 222 vollständig die Kante des Sockels und Wafers 184 umgebend gezeigt ist. Die Pfeile 223 verdeutlichen, dass die Prozessgasströmung von der Gasverteilungsplatte 122 zu dem Auspuffraum 222 gleichförmig und symmetrisch ist. Das gleichförmige Gasströmungsmuster rührt von zwei wichtigen Auslegungsmerkmalen her.
  • Das erste Auslegungsmerkmal besteht darin, dass der Schlitz 131 und der Auspuffraum 222 eine Kreissymmetrie aufweisen, und der Schlitz 131 ist gleichförmig von dem Umfang des Wafers 184 beabstandet, oder äquivalent, von dem Umfang des Sockels 136. In der veranschaulichten bevorzugten Ausführungsform, die für Bearbeitungswafer vom Durchmesser von 203,2 mm (8 inch) ausgelegt ist, beträgt der Sockeldurchmesser 261,6 mm (10,3 inch) und der innere Durchmesser der Schlitzöffnung 131 beträgt 267 mm (10,5 inch).
  • Das zweite Auslegungsmerkmal überwindet die Asymmetrie, die normalerweise durch den Auslass 239 von dem Raum in die Vakuumkammer geschaffen werden würde. In herkömmlichen Auslegungen neigt das Druckdifferential zwischen den Abschnitten des Raumes, die am nächsten und am weitesten entfernt von dem Auslass 239 sind, dazu, ein nicht-gleichförmiges Prozessgas-Strömungsmuster zu erzeugen; spezifisch neigt die Gasströmungsrate dazu, über dem Bereich des Wafers 184, der sich am nächsten zu dem Auslass 239 befindet, am größten zu sein. In herkömmlichen Auslegungen muss diese Tendenz durch ein Bereitstellen von weniger und kleineren Öffnungen in dem Abschnitt des Raums, der am nächsten an dem Vakuumpumpenauslass ist, kompensiert werden. Die sich ergebende Geometrie ist nicht vollständig gleichförmig.
  • Unsere Vorrichtung erreicht eine symmetrische und gleichförmige Geometrie durch Ausführen des Schlitzes 131 ausreichend eng in der axialen Richtung, und ausreichend lang in der radialen Richtung, um einen Druckabfall über dem Schlitz zu erzeugen, der viel größer ist als das Druckdifferential innerhalb der Kammer 222. Der Druckabfall über dem Schlitz ist vorzugsweise so groß wie möglich, und in noch bevorzugterer Weise zumindest zehnmal oder noch größer als das Druckdifferential innerhalb des Raums 222. Folglich ist die Gasströmungsrate von dem Reaktionsbereich in den Schlitz 131 um den gesamten 360°-Umfang des Schlitzes gleichförmig, wodurch eine kreisförmige Gleich förmigkeit einer Filmabscheidung auf dem Wafer 184 erreicht wird.
  • Der Druckabfall über den Schlitz 131 ist proportional zu der axialen Breite des Schlitzes (Spalt) und der radialen Länge des Schlitzes (Länge der gegenüberliegenden Wände). Die radiale Stelle (Durchmesser) des Schlitzes wird im allgemeinen durch den Durchmesser des Wafers, der in der Kammer zu verarbeiten ist, angenähert. Beispielsweise ist in der veranschaulichten bevorzugten Ausführungsform die Kammer ausgelegt, Wafer eines Durchmessers von 203,2 mm (8 inch) zu verarbeiten, und die Öffnung 131 weist einen inneren Durchmesser von 167 mm (10,5 inch) auf. Um den gewünschten Druckabfall über dem Schlitz 131 zu erreichen, weist der Schlitz eine axiale Breite (Schlitzspalt) von 3,8 mm (0,150 inch) und eine radiale Länge (Länge von gegenüberliegenden Wänden des Schlitzes) von 12,5 mm (0,5 inch) auf. Der Durchmesser eines typischen Wafer-Haltesockels 136 mit einer keramischen Beschichtung beträgt 261,6 mm (10,3 inch). Die Innen- und Außendurchmesser einer typischen Kammerbuchse (z.B. 234, 236) betragen ungefähr 266,7 mm (10,7 inch) bzw. 317,5 mm (12,5 inch). Die Innen- und Außendurchmesser der Isolatoroberflächen, die der keramischen Buchse gegenüberstehen, betragen 254,25 mm (10,01 inch) bzw. 279,4 mm (11,0 inch). Die Länge der engsten Durchführung des radialen Schlitzes beträgt ungefähr 12,7 mm (0,5 inch), wobei dessen Länge durch ein Ändern der relativen Durchmesser der Stücke und ihres Überlapps eingestellt werden kann. Der Schlitzspalt 131 kann in ähnlicher Weise geändert werden. Eine abgeschrägte Oberfläche kann auf der unteren Oberfläche des Isolators 120 bereitgestellt werden, wie durch die gestrichelten Linien 145 in 2 angezeigt.
  • Die oben beschriebene Spalt- (Schlitz-) Konfiguration 131 ist beispielweise nützlich, wenn der interne Kammerdruck bei 600 Pa (4,5 Torr) aufrechterhalten wird und eine Gasströmung von 285 sccm SiH4, 140 sccm NH3 und 4000 sccm N2 einer Bearbeitungskammer, die bei einer Temperatur von ungefähr 400°C arbeitet, bereitgestellt wird.
  • 2. Auspuff-Beobachtungsanschluss
  • Überschüssige Prozessgasbestandteile und Reaktionsprodukte des Abscheideprozesses reagieren im allgemeinen, einen unerwünschten Film von Verunreinigungen auf jedweden Komponenten der Bearbeitungskammer, die den Auspuffgasen ausgesetzt sind, abzuscheiden. Es ist notwendig, die Kammerkomponenten periodisch zu reinigen, um den Verunreinigungsfilm zu entfernen, bevor er dick genug wird, um in Partikel abzublättern, die den Halbleiterwafer verunreinigen könnten.
  • Ein Beobachtungs- oder Betrachtungsanschluss 232 in der Abgasleitung gestattet ein Betrachten des Ausmaßes eines Aufbaus von Verunreinigungen während eines Abscheideprozesses, und gestattet ein Betrachten des Fortschritts der Entfernung der Verunreinigungen während eines Reinigungsprozesses.
  • Eine Gasströmung von dem Auspuffraum 222 in einen lateralen Erweiterungsabschnitt 245 einer Vakuumleitung ist durch die Pfeile 235 (in 10) gezeigt. Unter Bezugnahme nun auf 2 passiert das Gas, das von dem 360°-kreisförmigen Auspuffverteiler (Auspuffraum) 222 in den Gasauslassdurchgang 239 strömt, vor dem konisch geformten Beobachtungsanschluss 232, der in einen lateralen Erweiterungsabschnitt 245 des Pumpkanaldeckels 221 gebohrt ist. Der Anschluss 232 ist mit einem Pumpanschluss-Beobachtungsfenster (vorzugsweise aus Einkristallsaphir ausgeführt) 230 abgedeckt, das abgedeckt und an Ort und Stelle von einem UV-Filterglas 233 gehalten ist, durch welches das Glühen des Gasplasmas an der Substratbearbeitungsstelle indirekt gesehen werden kann.
  • Das Ausmaß einer Verunreinigung oder einer Abscheidung auf den inneren Oberflächen der Bearbeitungskammer kann durch ein direktes Betrachtes des Aufbaus auf der Innenseite des Fensters 230 abgeschätzt werden. Der laterale Erweiterungsabschnitt 245 der Vakuumleitung vor dem Beobachtungsfensteranschluss 232 ist in dem Gebiet einer Konvergenz (stromabwärts angeordnetes Ende) der Gasströmung von der Bearbeitungskammer zu dem Vakuumsystem. Folglich stellt der Aufbau auf seinen Oberflächen eine gute Anzeige des Niveaus einer Verunreinigung (Abscheidung auf der Oberfläche) der Bearbeitungskammer bereit. Wenn die Innenseite des Beobachtungsanschlusses vollständig mit abgeschiedenem Material abgedeckt ist, kann sicher angenommen werden, dass die Oberflächen jedweder Kammerkomponente in der Nähe des Reaktionsbereichs auch mit einer Dicke nicht größer als jene der Abscheidungen auf der Innenseite des Beobachtungsfensters 230 beschichtet sind.
  • Eine Abscheidedicke auf der Oberfläche des Beobachtungsanschlusses ist größer als die Abscheidungsdicke auf Oberflächen in der Kammer, weil die Reste von Abscheidematerial auch existieren, nachdem das Gas die Bearbeitungskammer verlassen hat und in den Auspuffraum eingetreten ist. Diese Gasreste kombinieren und fallen auf Oberflächen des Auspuffraums und stromabwärts angeordneten Oberflächen des Gasdurchgangs als Partikel aus, wodurch ein Verunreinigungsaufbau auf internen Gasdurchgängen geschaffen wird. Die Dicke des abgeschiedenen Films von Verunreinigungen nimmt fortlaufend zu, sowie sich das Gas von dem Reaktionsbereich wegbewegt (wo mehr Moleküle verfügbar sind, um Partikel zu bilden). Dementsprechend nähert sich die Menge eines Films, der auf den Oberflächen abgeschieden ist, die von dem Beobachtungsanschluss (angeordnet in dem Bereich der Gasströmungskonvergenz von dem Auspuffraum zu dem Vakuumsystem) beobachtbar ist, der Menge der größten Dicke des Verunreinigungsfilms an, der auf anderen Komponenten näher bei dem Reaktionsbereich abgeschieden wird.
  • In ähnlicher Weise stellt zusätzlich zu standardisierten Fluor-Erfassungsinstrumenten, die den Endpunkt des Ätzprozesses erfassen, die Reinheit der Innenseite des Pumpanschluss-Beobachtungsfensters 230 eine direkte visuelle Bestätigung von Instrumentenauslesungen bereit.
  • 3. Auspuffventil
  • Ein Auspuffabsperrventil ist innerhalb des Körpers der Bearbeitungskammer unmittelbar außerhalb des Reaktionsbereiches angeordnet. Diese Stelle weist zumindest zwei Vorteile auf. Weil das Ventil integral mit dem Kammerkörper ist, werden das Absperrventil und der Durchgang zwischen dem Ventil und dem Reaktionsbereich zusammen mit den anderen Kammerkomponenten während einer Plasmabearbeitung geheizt. Ein Aufrechterhalten des Ventils und des Durchgangs bei einer erhöhten Temperatur verhindert eine Kondensation der Auspuffgase auf den Oberflächen dieser Komponenten, so dass die Auspuffgase aus der Kammer herausgepumpt werden, bevor sie kondensieren können, um potentiell verunreinigende Partikel zu bilden. Wenn es zugelassen wird, in dem Auspuffdurchgang zwischen dem Reaktionsbereich und dem Auspuffabsperrventil zu kondensieren, könnten derartige Partikel zurück in den Reaktionsbereich während der Perioden diffundieren, wenn die Gasströmung aus ist, wie etwa dann, wenn ein Wafer in die Kammer oder aus der Kammer heraus gebracht wird.
  • Ein zweiter Vorteil unserer Auslegung besteht darin, dass sie das Volumen des Durchgangs zwischen dem Absperrventil und dem Reaktionsbereich minimiert, wodurch das Volumen von Auspuffgasen minimiert wird, die in der Kammer bleiben, wenn das Auspuffabsperrventil an dem Ende eines Abscheideprozesses geschlossen wird. Derartige zurückbleibende Gase können zurück in den Reaktionsbereich migrieren und darauffolgend verarbei tete Wafer verunreinigen, so dass es wichtig ist, ihr Volumen zu minimieren.
  • Wie in den 1 und 2 gezeigt, schließt der Bearbeitungskammerkörper 134 ein abwärts verlaufender Gasdurchgang 239 unmittelbar stromabwärts von und unterhalb des Beobachtungsanschlusses 232 in dem Kammerdeckel 221 ein. Der Gasdurchgang 239 führt die Strömung von Prozessgas in einen zylindrischen Ventilhohlraum 241 in dem Kammerkörper 134. Der Ventilhohlraum 241 weist Ventilsitzoberflächen 246 an der kreisförmigen Öffnung zwischen dem Ventilhohlraum und der Gasdurchführung 239 auf. Ein Ventilkolben 240 bewegt sich axial innerhalb des Hohlraums. In der "Aus"-Position grenzt der Kolben an den Ventilsitz an, und ein O-Ring auf der Fläche des Kolbens bildet eine Dichtung, die eine Gasströmung aus dem Durchgang 239 heraus verhindert. In der "An"-Position zieht sich der Kolben von dem Ventilsitz zurück, um so einen Abgasauslassanschluss 226 freizulegen, der zu einer Vakuumpumpe (nicht gezeigt) führt (siehe 7 für eine externe perspektivische Ansicht.) Ein Balg bildet eine Vakuumdichtung zwischen dem Kolben und einer Platte auf der äußeren Wand der Kammer, auf welcher der Ventilbetätigungsmechanismus befestigt ist. Der Ventilator, der Kolben und die Bälge können von jedweder herkömmlichen Auslegung sein. Während eines Abscheideprozesses heizt das Plasma die gesamte Bearbeitungskammer einschließlich der Wände des Kammerkörpers 134, die den Abgasdurchgang 239 und das Absperrventil umgeben. Wenn das Plasma nicht eingeschaltet ist, wird eine heiße Flüssigkeit durch die Wände der Bearbeitungskammer zirkuliert, um die Kammer auf einer erhöhten Temperatur zu halten. Dieses Heizen verringert oder beseitigt in vorteilhafter Weise eine Kondensation von unerwünschten Reaktanzprodukten und verbessert die Beseitigung flüchtiger Produkte des Prozessgases und andere Verunreinigungen, die den Prozess verunreinigen könnten, wenn sie auf den Wänden von kalten Vakuum durchgängen kondensieren und zurück in die Bearbeitungskammer während Perioden ohne Gasströmung migrieren würden.
  • Ein Ausführen des Vakuumsystem-Absperrventils 240 integral mit dem Körper 134 der Bearbeitungskammer führt auch dazu, dass das Absperrventil 240 zusammen mit der Bearbeitungskammer während eines Verarbeitens geheizt wird. Dies verringert eine Kondensation von flüchtigen Gasprodukten auf Ventiloberflächen. Wenn der Gasströmungsprozess angehalten wird und eine Verbindung des Vakuumsystems abzusperren ist, schließt das Vakuumventil auf den Sitzoberflächen 246, wodurch nur ein sehr kleines Gasvolumen zwischen den Sitzoberflächen 246 und der zentralen Bearbeitungskammer isoliert wird. Diese Anordnung minimiert oder eliminiert annähernd die Möglichkeit, dass flüchtige Verunreinigungen, die auf den Wänden der kühleren, stromabwärts angeordneten Vakuumröhre kondensiert sein könnten, zurück durch das Vakuum-Ein/Aus-Ventil und vorbei an seinen Ventilsitzen 246 migrieren können.
  • 4. Sockelheizeinrichtung
  • 16 zeigt den resistiv geheizten Wafer-Haltesockel 136, der an dem Haltesteven 190 angebracht ist. Die 20 und 21 zeigen das Heizelement detaillierter. Der Sockel oder die Platte 136 ist ein scheibenförmiger Körper, der aus hochreinem, Klasse 100,1, uneloxiertem Gussaluminium gefertigt ist. Eine Heizspule ist in einer hitzebeständigen, elektrisch isolierenden Beschichtung eingehüllt, wie etwa dass eine Edelstahl- oder Inconel-Röhre, die ein Magnesiumoxid-Füllmaterial umgibt, das ein Nichrom-Heizelement umgibt, in den Sockel während des Gießprozesses eingebettet ist. Eine Heizspule 183 schließt ein Heizelement 192 ein, das mit seinen Leitungsdrähten 193 innerhalb der Platte 136 durch eine Kaltverbindung ungefähr bei der gestrichelten Linie, die bei 197 gezeigt ist, verbunden ist. Das heißt, ein Nichrom-Heizdraht 192 ist an ei nen Kupferdraht 193 bei ungefähr dieser Grenze 197 bondiert, so dass das Zentrum der Basis nicht geheizt wird. Jedoch sind sämtliche der Drähte der Heizspule, ob sie primär resistiv oder primär leitend sind, in der kontinuierlichen Isolationsbeschichtung (wie etwa oben beschrieben) eingehüllt, die hohe Temperaturen aushält, um so einem Gießen des Aluminium-Heizkörpers 136 zu widerstehen.
  • Der Sockel 136 schließt eine ringförmige Nut 195 ein. Ein Sockelhaltesteven 190 (16) mit einem Hohlkern 191 ist konfiguriert, mit der kreisförmigen Nut 195 (kreisförmige Nut 20) zusammenzupassen, um einen Steven für den Sockel 136 bereitzustellen. Die Anpassverbindung für diese zwei Stücke kann allgemein in der 19 ersehen werden. Eine Umfangs-Elektronstrahlschweißung an der Verbindung 189 ist zwischen den beiden Stücken ausgeführt, um eine vakuumdichte Verbindung derart auszuführen, dass der Hohlkern 191, der in der aufgeschnittenen Ansicht der 22 gezeigt ist, auf Umgebungs(Atmosphären-)Druck ist. Der Hohlkern 191 zeigt eine Thermopaarröhre 201 und das Ende der Beschichtung 196 auf der Heizspule 183 und seine Leitungsdrähte, die zu den Drahtenden 186 führen. Eine Vakuumdichtung und eine Masseverbindung für den Sockelsteven 190 ist an seiner unteren Oberfläche 187 ausgeführt (18), und Verbindungen mit Heizdrahtenden und Verbindungen 186 und dem Thermopaar-Röhrenende 203 sind bei atmosphärischen Bedingungen ausgeführt.
  • Wie in 21 ersehen werden kann, stellt das Verlegen der Heizspule 183, die in der Sockelbasis 136 eingebettet ist, eine einzige, im allgemeinen paralle1e Schleife bereit, die entlang von Kreislinien konzentrisch zu dem Zentrum des Sockels 136 verläuft. Dieses Schleifenmuster stellt ein Heizen bereit, um eine im allgemeinen gleichförmige Temperatur über die Breite der Platte aufrechtzuerhalten, während Wärmeverluste zugelassen sind. Sorgfalt muss während eines Gießens ausgeübt wer den, um ein Platzieren der Heizspulen an Stellen zu vermeiden, wo die Hebestiftlöcher platziert werden müssen. Der äußere Abschnitt des Heizelements wird bei einem Durchmesser von ungefähr 241,3 mm (9,5 inch) betrieben, der innere Abschnitt des Heizelements wird bei einem Durchmesser von ungefähr 177,8 mm (7,0 inch) betrieben, wobei eine Waferhalteplatte einen typischen Durchmesser von 254 mm (10,0'') aufweist. Das Heizelement ist 38,1 mm (1,5 inch) von der oberen Oberfläche des Sockels 136 angeordnet.
  • 5. Sockelkühlung
  • Die 22 und 23 zeigen eine alternative Konfiguration des Sockels 206, der eine Kühlleitung 204 unterhalb der resistiven Heizspule 207, die gerade beschrieben ist, wie auch ein zentral befestigtes, röhrenförmiges Thermopaar 211 einschließt.
  • Es ist wünschenswert, die Fähigkeit aufzuweisen, die Wafer-Auflage (Waferhalteplatte) 206 zu kühlen, um ihr Kühlen zu beschleunigen, wenn ein Wartungszugriff auf die Bearbeitungskammer und ihre Komponenten gewünscht wird. Zeit wird verschwendet, wenn ein Kühlen langsam durchgeführt werden muss, weil keine Vorkehrung für ein erzwungenes Kühlen der Halteplatte vorhanden ist, um ein Beschleunigen ihres Temperaturabfalls zu unterstützen, außer die Bearbeitungskammer mit kühlen Gasen zu beblasen, was ineffizient ist.
  • Verbindungsschlaufen 209, wie in 22 gezeigt, halten die vorausgebildete Kühl-, Heiz- und Thermopaar-Röhrenanordnung während eines Gießens der Halteplatte 206. Die Schlaufen können entfernt werden, nachdem die Sockelhalteplatte 206 gegossen worden ist, oder sie können an Ort und Stelle belassen werden, wenn sie innerhalb die Hohlöffnung des Sockelstevens passen. Ein Hohlsteven ähnlich zu dem einen zuvor beschriebenen ist für diese Konfiguration bereitgestellt, obwohl er nicht gezeigt ist. Eine unter ebene Ansicht der Kühlspule 204 ist in 23 gezeigt. Wie ersehen werden kann, ist die Kühlspule eine einzige Schleife, wobei ihr Umfang entlang des Pfads eines Kreises konzentrisch zu dem Pfad der Heizspule 207 verläuft. Der Durchmesser des konzentrischen Kühlspulenpfads ist größer als der Durchmesser des inneren Abschnitts der Heizspule und geringer als der Durchmesser des äußeren Abschnitts der Heizspule gezeigt, jedoch können andere Durchmesser auch verwendet werden. Ihr Durchmesser beträgt 203,2 mm (4 inch) und ist 47,5 mm (1,87 inch) von der Oberseite der Waferhalteplatte 206 angeordnet. Die Kühlspule ist in einem Versuch konfiguriert, eine annähernd gleiche Kühlung über die volle Fläche der Waferhalteplatte bereitzustellen.
  • Kühlfluide, die in der Kühlröhre verwendet werden, schließen typische Fluidtypen ein, d.h. ein wasserbasierter Ethylenglykol oder ölbasierte thermische Transferflüssigkeiten. Wenn eine Kühlflüssigkeit gewählt wird, sei die Betriebstemperatur der Waferhalteplatte 206 berücksichtigt, und ob es wichtig ist, die Kühlröhre mit Kühlflüssigkeit gefüllt zu halten. Die Kühlröhre ist unterhalb der Heizspule angeordnet, wie in 22 ersehen werden kann.
  • 6. Korrosionsbeständige Abschirmung über dem Sockel
  • Plasmaätzkammern schließen gewöhnlich eine korrosionsbeständige, dielektrische Abschirmung über dem Metallwafer-Haltesockel ein, um den Sockel vor einer Korrosion durch Ätzgase zu schützen. Korrosionsbeständige Abschirmungen werden im allgemeinen in Abscheidekammern nicht verwendet, weil die Abscheideprozessgase im allgemeinen nicht korrosiv sind, aber der Wafer-Haltesockel (und andere Kammerkomponenten) erleiden eine Korrosion während des Reinigungsprozesses, der periodisch in einer Abscheidekammer durchgeführt werden muss. Unsere plasmagestützte Abscheidekammer schließt vorteilhafterweise eine Ab schirmung ein, um den Sockel vor einer Korrosion während derartiger Reinigungsprozesse zu schützen, wodurch die Lebensdauer des Sockels verlängert wird.
  • Unsere Abschirmung dichtet den Sockel von den Reaktanzgasen so gut ab, dass der Sockel keine Eloxierung oder eine andere korrosionsbeständige Beschichtung benötigt. Die reine Aluminiumoberfläche des Sockels kann auf eine größere Glattheit als eloxierte Oberflächen hin poliert werden, wodurch eine bessere und gleichförmigere thermische und elektrische Kopplung zwischen dem Sockel und dem darauf gehaltenen Halbleiterwafer zugelassen wird. Eine bessere Temperatur- und elektrische Gleichförmigkeit führt zu einer besseren Gleichförmigkeit des Films, der auf dem Wafer abgeschieden wird.
  • Materialien, die für einen Schutz des Sockels vor einer Korrosion geeignet sind, weisen im allgemeinen unterschiedliche thermische Ausdehnungskoeffizienten als der Aluminiumsockel auf. Unsere Abschirmung schließt Justiereinrichtungen ein, die es gestatten, dass sich die Abschirmung und der Sockel bei unterschiedlichen Raten ausdehnen und kontrahieren, während die rotationsmäßige Justierung des darauf gehaltenen Halbleitersubstrats aufrechterhalten wird.
  • Die 11, 12 und 13 zeigen Merkmale in Nahaufnahme einer Querschnittsansicht des Wafer-Haltesockels 136 (Heiz- und Kühlelemente sind nicht gezeigt). Die 14, 15, 16 und 17 verdeutlichen verschiedene allgemeine Aspekte des Aufbaus der Sockelanordnung 136. Die Sockelplatte 136 ist ein gegossenes Stück eines Aluminiums hoher Reinheit, in welchem vier angesenkte Durchlöcher 164 (16) gebildet worden sind, die konfiguriert sind, hinuntergehenden Hohlzapfen-Waferhebestiftführungen aufzunehmen, die Waferhebestifte 162 mit einem vergrößerten oberen Ende aufnehmen (siehe 12, 13). Ein typischer keramischer Hebstift 162, wie in 13 gezeigt, passt in das Waferhebestiftloch 164 und wird von ihm gehalten. Die Hebestifte 162 sind aus einem keramischen Material, wie etwa Aluminiumoxid, ausgeführt, und weisen einen doppelt gestutzten konusförmigen Kopf auf, wie in 3 zu ersehen. Das untere Ende des Stiftes ist abgerundet. Der Stift 162 weist einen Durchmesser von 3,53 mm (0,139 inch) auf, und die Hohlzapfenführung weist einen inneren Durchmesser von 3,99 mm (0,157 inch) auf.
  • Die Abdeckplatte oder Abschirmung 148, die den Aluminiumsockel 136 abdeckt, ist eine keramische (vorzugsweise Aluminiumnitrid-)Scheibe, die in ihrem Zentrum einen abwärts verlaufenden Hohlzapfen (Führungsmerkmale) 166 aufweist, der angeordnet ist, sich winkelmäßig an den vier Stellen der Löcher 164 in der Sockelheizplatte 136 (siehe 14, 20) auszurichten. Die Aluminiumnitrid-Abschirmscheibe 148 ist auf der Oberseite des Wafer-Haltesockels 136 platziert und schließt in ihrer Mitte einen Abwärtsvorsprung oder eine Zinke 168 ein, die mit einem engen Freiraum, ungefähr 0,38 mm (0,015 inch) in ein eine Zinke aufnehmendes Loch 171 in der Mitte der Oberseite des Sockels 136 passt. Die vier Hebestiftlöcher 164 (siehe 14) sind über den Sockel nicht gleichförmig verteilt, bilden aber ein Rechteck mit zumindest einer Seite, die breiter als die Breite eines Robotermessers (ein dünner, flacher Stab) ist, um welches die Hebestifte 162 den Wafer heben müssen. Die Aluminiumnitrid-Abdeckplatte 148 schließt eine obere zentrale Oberfläche 154 (ungefähr 0,040'' oder 1,02 mm dick) ein, auf welcher der Wafer (nicht gezeigt) gehalten wird. Die Wafer-Halteoberfläche 154 ist von einem ringförmig erhobenen Umfangsflansch 155 umgeben, so dass der Wafer während einer Bearbeitung genau angeordnet ist.
  • Der Unterschied in Raten einer thermischen Ausdehnung zwischen dem Aluminiumsockel oder der platte 136 (hohe thermische Ausdehnungsrate) und der keramischen Abdeckung oder Abschirmung 148 (niedrige Ausdehnungsrate) erfordert es, dass eine Bewegung zwischen diesen beiden Stücken zugelassen wird. Zurückliegende Auslegungen erfuhren eine relative Bewegung zwischen der dielektrischen Abdeckung und dem Sockel in unvorhersagbaren Richtungen als Reaktion auf Temperaturänderungen. In unserer Abschirmung 148 (14) und unserem Sockel 136 (1516) passt ein ringförmiger zentraler Stift 168, der unterhalb des Zentrums der Abschirmung vorsteht, satt in ein zentrales Loch 171 auf dem Sockel, um die Abschirmung 148 auf dem Sockel präzise zu zentrieren, und ein ringförmiger Justierstift 166, der unter einer weiteren Stelle auf der Abschirmung 148 vorsteht, passt mit einem länglichen Loch 172 in dem Sockel 136 zusammen, um die Abschirmung 148 an einer Drehbewegung zu hindern, während einer Radialbewegung zwischen der Abschirmung 148 und dem Sockel 136 in Reaktion auf Temperaturänderungen zugelassen wird.
  • Wie in den 15 und 16 zu ersehen, ist eine lange Achse 176 des länglichen Lochs 172 entlang eines Radius des Sockels orientiert. Die lange Achse ist lang genug, um die maximale erwartete differentielle thermische Ausdehnung zwischen der Abschirmung und dem Sockel aufzunehmen. Die kurze Achse 174 ist senkrecht zu dem Radius orientiert (d.h. in einer tangentialen, azimutalen oder limfangsrichtung orientiert) und ist gerade breit genug, um den Justierstift 166 aufzunehmen.
  • In unserer bevorzugten Ausführungsform ist das längliche Loch 172 eine Absenkung an dem oberen Ende einer der vier Bohrungen 164, die einen Hebestift 162 einschließen (siehe 11), und der ringförmige Justierstift 166 fungiert als eine Führungsmanschette für den Hebestift. Jedoch könnte das längliche Loch 172 an jedweder Stelle auf dem Sockel entfernt von dem Zentrum sein, anstelle dass es mit einer Hebestiftbohrung zusammenfällt, wobei in diesem Fall der der Justierungsstift 166 nicht als eine Hebestift-Führungsmanschette fungieren würde.
  • Jede der drei anderen Hebestiftbohrungen 164 in dem Sockel 136 weist eine Absenkung 170 auf, die mit einer entsprechenden Führungsmanschette 166 zusammenpasst, die unterhalb der Abschirmung 148 vorsteht. Jede dieser drei Absenkungen 170 stellt einen großen Freiraum um die Anpassführungsmanschette 166 bereit, so dass sie in einem Fall einer unterschiedlichen thermischen Expansion der Abschirmung und des Sockels nicht interferieren werden. In unserer bevorzugten Ausführungsform ist der Durchmesser jeder dieser drei Absenkungen 170 gleich der Länge der langen Achse 176 des länglichen Loches 172.
  • Aluminiumnitridkeramik ist das bevorzugte Material für die Abschirmplatte 148, weil sie eine hohe thermische Leitfähigkeit, eine ausgezeichnete Korrosionsbeständigkeit und eine ausgezeichnete Toleranz gegenüber einer thermischen Belastung aufweist. Jedoch ist Aluminiumnitrid sehr teuer zu fertigen, und es ist nicht einfach, ein einziges Stück zu fertigen, das sowohl die Oberseite als auch die Seiten des Sockels oder der Platte 136 bedecken wird, wie es notwendig ist, um sie vor korrosiven Prozessgasen zu schützen. Eine hohe thermische Leitfähigkeit wird in dem Material, das jene Abschnitte des Sockels abschirmt, die dem Halbleiterwafer, d.h. der zylindrischen Seite des Sockels, und dem Umfang der oberen Fläche des Sockels nicht direkt unterliegen, nicht benötigt. Deswegen werden diese Abschnitte des Sockels durch einen äußeren Aluminiumoxid-Abschirmring 150 mit einem "L"-förmigen Querschnitt geschützt. Der innere, horizontale Abschnitt 152 des äußeren Abschirmrings 150 überlappt den Umfang 156 der Aluminiumnitridscheibe 148 außerhalb des erhobenen Umfangsflansches 155. Der äußere Abschirmring 150 weist auch einen abhängenden Rand 158 auf, der teilweise herab an der zylindrischen Seite des Sockels 136 verläuft. Der Freiraum zwischen dem Rand 158 und dem Umfang des Sockels 148 ist groß genug, um eine unterschiedliche thermische Ausdehnung aufzunehmen, aber klein ge nug, um den Sockel substanziell vor einem Kontakt mit korrosiven Prozessgasen abzuschirmen.
  • Der äußere Ring 150 ruht auf dem Umfang der oberen Fläche des Sockels, außerhalb des Umfangs der Abschirmplatte 148. Ein vertikaler Spalt zwischen dem horizontalen Überlappungsbereich 152 und dem Umfangsabschnitt 156 der Abschirmplatte 148 verhindert eine vertikale Störung und ein unbeabsichtigtes Anheben der Stücke. Der zentrale Bereich der Abschirmplatte 148 weist typischerweise eine Dicke von 0,5 mm (0,020 inch) bis 2 mm (0,080 inch) auf, oder spezifisch 1 mm (0,04 inch) in der veranschaulichten Implementierung. Der erhobene Umfangsabschnitt 156 weist typischerweise eine Dicke von 0,8 mm (0,03 inch) bis 2,5 mm (0,1 inch) auf, oder spezifisch 1 mm (0,040 inch) in der veranschaulichten Implementierung.
  • 7. Dielektrische Auskleidung auf der Kammerwand
  • Wie oben beschrieben, ist unser Wafer-Haltesockel oder unsere -platte 136 von einer korrosionsbeständigen Abschirmung 148 abgedeckt, um den Sockel vor einer Korrosion durch Prozessgase zu schützen, insbesondere während des Plasmaätzprozesses, der für eine Reinigung der Kammer zwischen Abscheideprozessen verwendet wird. Wie auch oben beschrieben, wird das Plasma während sowohl einem Ätzen als auch einer Abscheidung durch ein Anlegen einer HF-Spannung zwischen dem Wafer-Haltesockel und der Gasverteilungsplatte 122 angeregt. Die Abschirmung 148 ist im allgemeinen ein Dielektrikum, so dass es die elektrische Impedanz zwischen dem Plasma und dem Sockel erhöht. Wenn die Aluminiumkammer-Seitenwand 134 dem Plasma ausgesetzt wäre, würde sie einen niedrigeren Impedanzpfad für einen Strom aus dem Plasma darstellen, und deswegen würde sie das Plasma, das die Abscheideprozess-Reagenzien enthält, in unerwünschter Weise von dem Halbleiterwafer weg ablenken, wodurch die Rate einer Abscheidung auf dem Wafer abgesenkt wird, und in uner wünschter Weise Material auf den Kammerwänden abgeschieden wird.
  • Dieses Problem kann durch ein Abdecken der inneren Oberfläche der zylindrischen Kammerwand mit einem dielektrischen Überzug überwunden werden, der eine elektrische Impedanz zwischen der Kammerwand und dem Plasmakörper auferlegt, die substanziell größer als die elektrische Impedanz zwischen dem Sockel und dem Plasmakörper ist. Eine hohe elektrische Impedanz kann erreicht werden, indem der dielektrische Überzug auf der Wand viel dicker als die Abschirmscheibe auf dem Sockel gemacht wird, und wahlweise, auch durch ein Wählen eines Materials für den Wandüberzug mit einer niedrigeren Dielektrizitätskonstante als das Material der Abschirmplatte.
  • In unserer Abscheidekammer ist die Innenseite der Kammer von oberen und unteren ringförmigen dielektrischen Auskleidungen 234 und 236 (obwohl eine einzige Auskleidung verwendet werden könnte). (Seihe 1 und 2.) Die obere Auskleidung ruht auf der unteren Auskleidung, die auf einem Absatz 251 auf der Kammerwand ruht. Zusätzlich ist die innere Oberfläche des Kammerdeckels 221 von dem Isolator 120 abgedeckt, der oben hinsichtlich seiner Funktion eines Isolierens der Gasverteilungsplatte 122 von dem Kammerdeckel beschrieben wurde.
  • In unserer Implementierung bestehen die oberen und unteren Wandauskleidungen 234 und 236 jeweils aus Aluminium, ungefähr 25 mm (ein inch) dick, und der Deckelisolator 120 ist ungefähr 25 mm (ein inch) dick. Im Gegensatz dazu ist die Sockelabschirmplatte 148 nur ungefähr 1 mm (0,040 inch) dick. Zusätzlich ist, wie oben beschrieben, die Abschirmplatte 148 von einer sehr gleichförmigen Dicke, und die obere Sockelfläche ist sehr glatt eloxiertes Aluminium, um so die geringst mögliche und räumlich gleichförmigste Impedanz zwischen dem Sockel und dem darauf gehaltenen Wafer bereitzustellen. Deswegen ist die Impedanz zwischen dem Sockel und dem Plasmakörper viel geringer als die Impedanz zwischen der Kammerwand und dem Plasmakörper. Dies fördert eine Effizienz und Gleichförmigkeit einer Abscheidung auf dem Halbleiterwafer. (Um eine Nicht-Gleichförmigkeit zwischen der Kante und dem Zentrum des Wafers zu minimieren, ist die Gasverteilungsplatte auch größer als der Waferdurchmesser, vorzugsweise ungefähr 20 Prozent.)
  • 8. Oberer Aufbau
  • Wie in 1 und 6 gezeigt, ist die Gasverteilungsendplatte 122, die vorzugsweise aus Aluminium ausgeführt ist, elektrisch von dem umgebenden Kammerdeckel 221 durch einen (ein) ringförmigen(es) Isolator oder Trenner 120, vorzugsweise aus Aluminium hergestellt, isoliert. Die kreisförmige Gasverteilungsendplatte 122 wird von einem umgebenden Flansch 124, gezeigt in 6, gehalten. Der Flansch 125 ruht auf der Oberseite des Isolators 120 und wird von ihm mit O-Ringabdichtungen 274 abgedichtet, wie in den 1, 2 und 6 ersehen. Die Unterseite 127 der Endplatte 122 schließt Gasverteilungslöcher 121 ein, durch welche das Prozessgas abwärts zu einem Substrat gerichtet wird, das verarbeitet wird. Der Gaseinlassverteiler 126 schließt einen geringfügig überlappenden Flansch ein, mit welchem ein O-Ring 253 den Verteiler 126 mit der Oberseite des Endplattenflansches 125 abdichtet. Der untere Teil der Endplatte 122 ist den Bearbeitungskammerbedingungen auf einer Vakuum-(Bearbeitungskammer-)Seite der inneren und äußeren Endplattendichtungen ausgesetzt, während die Oberseite des Flansches 125 der Endplatte 122 der Atmosphäre ausgesetzt ist.
  • Die wie oben beschriebene Konfiguration der Bearbeitungskammer stellt geschichtete und verschachtelte Stücke bereit, die nur durch Oberflächen mit O-Ringdichtungen verbunden sind, wodurch sämtliche mit Gewinde versehenen Verbindungen innerhalb der Bearbeitungskammer beseitigt werden und dadurch die Wahr scheinlichkeit einer Verunreinigung der Bearbeitungskammer aufgrund der mit Gewinde versehenen Verbindungen verringert wird, die, wenn sie angezogen werden, Partikel abschälen können, die das Halbleitersubstrat, das in der Kammer verarbeitet wird, verunreinigen könnten. Diese von Gewinden freie Konfiguration minimiert auch die Kammer-Wiederherstellungszeit und die Anzahl von Wafern, die durchgeschickt werden müssen, um die Kammer zu stabilisieren, um stabile Betriebsbedingungen zu erreichen und schwebende Partikel nach einem Kammer-Wiederzusammenbau zu beseitigen.
  • Die HF-Energieversorgungsverbindung durch einen Verbinder 298 (4) zu der Endplatte 122 ist auf der Nicht-Vakuumseite der inneren 274 und äußeren 253 Dichtungen der Endplatte 122 ausgeführt. Insbesondere ist die Verbindung auf der Oberseite des Endplattenflansches 125 ausgeführt. Weil der HF-Verbinder 298 außerhalb des Vakuumeinschlusses der Bearbeitungskammer ist, können jedwede Partikel, die durch ein Reiben oder Bogenziehen innerhalb des HF-Verbinders erzeugt werden, nicht in die Kammer eindringen, und somit können sie die Halbleitersubstrate, die verarbeitet werden, nicht verunreinigen.
  • Die 1, 2 und 6 zeigen den Deckelisolator 120, der in die mittlere Öffnung des Kammerdeckels 221 mit einem O-Ring 270 eingepasst ist, der zwischen den beiden Oberflächen abdichtet. Die Gasverteilungsendplatte 122 ist innerhalb des Isolators 120 angeordnet und mit ihm durch einen O-Ring 274 abgedichtet. Die Gasverteilungsendplatte 122 weist mehrere Löcher auf ihrem Umfangsflansch auf, wobei jedwedes von diesen verwendet werden kann, um mit der HF-Energieversorgung zu verbinden.
  • 1 zeigt den Aufbau der Blockplatte 124 als einen Teil der Einlassverteilers 126. Die Blockplatte 124 ist an dem Einlassverteiler unter Verwendung von mit Gewinde versehenen Befestigungselementen angebracht. Die Blockplatte stellt mehrere Lö cher um und über ihre Oberfläche bereit, um das Gas zuerst zu diffundieren, das durch den Gasverteilungsverteiler 126 zu der Unterseite (Rückseite) der Gasverteilungsendplatte 122 strömt. Ein O-Ring 253 dichtet den Eingangsverteiler 126 gegen die Oberseite des Flansches 125 der Endplatte 122 ab, wie in den 1, 2 und 6 gezeigt.
  • 5 zeigt einen isolierten Einlassgasverteiler 296, der die Einlassöffnungen über Gasdurchgänge auf dem Deckel 221 mit den Einlassgasdurchgängen 284, 285 (6) auf dem Einlassverteiler 126 verbindet. Der Einlassgasverteiler 296 schließt verbolzte metallische Verbindungen an jedem seiner Enden mit einer zentralen Verbindung oder Überbrückung eines nichtleitfähigen temperaturbeständigen Materials, wie etwa Aluminium ein. In dieser Konfiguration wird Gas von dem Bearbeitungskammerkörper über den Deckel 221 in den Einlassgasverteiler 296 und dann in den Endplatten-Einlassverteiler 126 geleitet. Der isolierte Einlassgasverteiler 296 verhindert es, dass die erregte Gasverteilungsendplatte 122 an den Deckel 221 geerdet wird. Eine isolierte Stab-(Träger-)Klammer sichert den Gaseinlassverteiler an dem Deckel 221.
  • Klammerstützen sind an diagonalen Ecken des Deckels 221 positioniert. Ein Isolationsabdeckstück 288, das aus einem nichtleitfähigen Material (wie etwa Teflon) ausgeführt ist, ist über dem Isolator-Einlassgasverteiler 296 positioniert. Eine Klammer drückt und klammert sämtliche der Stücke der Endplattenkonfiguration zusammen.
  • Die 1 und 2 zeigen ein Fenstermaterial 230 (vorzugsweise aus Einkristallsaphir) ausgeführt, da es nicht-reaktiv mit Fluor ist), das in einer Position durch einen O-Ring in dem Deckel 221 über der Beobachtungsanschlussöffnung 232 des Deckels 221 durch ein UV-Filter 233 gesichert und abgedichtet ist.
  • 4 zeigt eine Ansicht der HF-Verbindung durch den Deckel 221 zu einem der Umfangslöcher der Gasverteilungsendplatte 122 und ein ähnliches Loch in dem Einlassverteiler 126. Der HF-Versorgungsdurchgang in dem Deckel 286 ist mit einem isolierenden Durchgangselement 263 eingepasst, die einen mit einer Gleitfeder vorgespannten HF-Versorgungsstift 294 in einer ausgesparten Öffnung umgibt. Ein leitfähiger Bügel 298 ist mit dem HF-Versorgungsstift 294 verbunden und auch mit einer mit einem Gewinde versehenen Verbindung mit der Gasverteilungsendplatte 122 und dem Einlassverteiler über den Deckel 221 verbunden. Eine isolierende obere Abdeckung 264 deckt die ausgesparte Öffnung des Isolatorelements 263 ab. Die Stelle des HF-Durchgangs ist auf der ganz rechten Seite der 6 gezeigt.
  • 7 zeigt den unteren Kammerkörper 134 und seine Merkmale. Der Körper 134 weist einen HF-Versorgungsleiterdurchgang 287 in seiner linken hinteren Ecke außerhalb einer O-Ring-Nut 304 und eine HF-Dichtungsnut 305 auf. Innerhalb der Nuten liefert ein Paar von Gasversorgungsdurchgängen 302, 303 Gas zu den Deckeldurchgängen 284, 285 (6). Die Wand des Kammerkörpers 134 und die untere Ringbuchse 236 schließen die Einführungs/Entfernungsöffnung 142 ein, wie zuvor für die 1 diskutiert. Die Konfiguration des Körpers 134 schließt einen Vakuumgasdurchgang 239 und ein Vakuumabsperrventil 240 (1) ein, das in dem Vakuumabsperrventil-Aufnahmeloch 241 angeordnet ist und gegen die Dichtoberflächen 246 abgedichtet ist, wie früher diskutiert und in den 1, 2 abgebildet.
  • 7 zeigt weiter eine explosionsartige Ansicht der Anordnung der inneren Stücke des Kammerkörpers 134. Der Stifthebering 237 passt unter den Sockel 135. Die untere Seitenwandbuchse 236 und die obere Seitenwandeinlage 235, die eine keramische Wandeinlage bilden, werden durch einen Absatz 251 auf der inneren Wand 265 des unteren Bearbeitungskammerkörpers 134 gehalten. Der bereits zusammengesetzte Sockel 135 (Halteplatte, Steven und Abdeckplatten) wird in Position gebracht. Zuletzt werden die Hebestifte 162 an Ort und Stelle abgesenkt.
  • 9. Justierbare Sockelneigung
  • Wie in den 1, 3 und 8 gezeigt, wird ein Halbleiterwafer in einen unteren Kammerkörper 134 durch eine Einführungs/Entfernungsöffnung 142 eingeführt und von ihm entfernt. Während einer Einführung und einer Entfernung ist eine Sockel-Waferhalteplatte 136 (durch einen Sockelhebungs- und -absenkungsmechanismus) unterhalb der Einführungs-/Entfernungsöffnung 142 positioniert, so dass sich ein Roboterarm (nicht gezeigt) in die Kammer bewegen kann, ein Wafer von dem Roboterarm durch Hebestifte 162, die durch einen Hebestiftring 237 gehoben werden, der von einer Hebestiftring-Halteröhre 243 gehalten wird, abgehoben werden kann.
  • Eine Reihe von vier Wafer-Hebestiften 162 ist in Wafer-Hebestiftführungszapfen durch die Aluminiumnitrid-Beschichtung, die die mittlere Oberfläche des Sockelheizelements abdeckt, bereitgestellt. Die Wafer-Hebestifte 162 werden von ihren Führungszapfen in der Abdeckplatte durch einen Wafer-Hebestiftring 237 angehoben und abgesenkt, der die Unterseite der Hebestifte 162 kontaktiert. Der Hebering 237 wird durch die Wafer-Hebestiftring-Halteröhre 243 gehalten und auf- und abbewegt, die sich erhebt und herabsenkt, um die Hebestifte von der Oberfläche des Sockelheizelements zu erheben oder sie abzusenken.
  • Die Wafer-Hebestiftring-Halteröhre 243 umgibt den Sockelsteven 190 und hilft bei einem Isolieren der Bälge 267, 268, die zwischen dem Ende des Stevens 190 und der Unterseite der Bearbeitungskammer angebracht sind, von einer thermischen Energie, die von dem Steven 190, der Sockelplatte 136 und der Innenseite der Bearbeitungskammer abstrahlt.
  • 8 zeigt den unteren Bearbeitungskammerkörper 134 umgedreht, und den Sockelhebemechanismus 143 in einer Position, an dem Körper 134 angebracht zu werden. Eine Reihe von Bälgen 267, 268 erlauben eine freie Bewegung des Sockels aufwärts und abwärts, und erlauben auch eine gewisse winkelmäßige Bewegung. Weil die Dicke einer abgeschiedenen Schicht in einem PECVD-Prozess empfindlich auf eine Entfernung zwischen der Gasverteilungsendplatte und der Oberfläche des Wafers, der verarbeitet wird, ist, ist es wichtig, Justierungen aufzuweisen, um eine Parallelität zwischen diesen beiden Oberflächen aufrechtzuerhalten. Ein Abstandshalter 249 (8, 9) hält die Sockelhalterung und den Hebeantriebsmechanismus 143 auf einer Reihe von drei Justierschrauben oder -elementen 247, von denen nur eine(s) in 8 gezeigt ist. Die Abstandshalterplatte 249 schließt drei weit beabstandete Löcher in einem dreieckförmigen Muster ein, die ein Ausgleichen der Oberseite des Sockels durch ein Justieren einer oder mehrerer der drei Justierschrauben oder -elemente 247 in situ erlauben. Die Anordnung der drei Justierschrauben sollte einen rechten Winkel zur Erleichterung einer Justierung bilden, wie in 9 gezeigt.
  • Während eines Betriebs sind die Bearbeitungskammer-Prozessgas- und HF-Versorgungen dauerhaft fixiert und werden der Unterseite der Bearbeitungskammer zugeführt. Die Gasdurchführungs- und HF-Verbindungsdurchgänge in der Unterseite der Bearbeitungskammer 133 schließen an den Deckel 221 der Bearbeitungskammer an. Wenn der Deckel der Bearbeitungskammer aufgeklappt wird, wird der Gasdurchgang zu der Gasverteilungsendplatte und die HF-Verbindung zu der Gasverteilungsendplatte unterbrochen. Verriegelungssensoren können die Gasströmung und die HF-Energieversorgung automatisch absperren, wenn der Bearbeitungskammerdeckel hochgehoben wird. Unter normalen Bedingun gen, wenn ein Vakuum in der Bearbeitungskammer vorhanden ist, wird es die Kraft des Atmosphärendrucks auf die Außenseite des Deckels verhindern, dass der Deckel angehoben wird.
  • Gemäß einem spezifischen Aspekt stellt die Anmeldung eine Vorrichtung zur Verfügung mit einer Vakuum-Bearbeitungskammer, um darin ein Substrat an einer Substrat-Bearbeitungsstelle zu bearbeiten, einer Substrathalterung zum Haltern des Substrates an der Substrat-Bearbeitungsstelle; und einer Gasverteilungsendplatte gegenüber und im Allgemeinen parallel zu der Substrathalterung, um Prozessgas zu der Substrat-Bearbeitungsstelle zu leiten, wobei die Kammer eine innere Kammeroberfläche beinhaltet, die zu der Substrat-Bearbeitungsstelle benachbart ist und diese umgibt, wobei eine oder mehr Öffnungen in der inneren Kammeroberfläche mit einer Vakuumumleitung in einer Wand der Kammer in Verbindung stehen, die Leitung umgibt im Allgemeinen die Substrat-Bearbeitungsstelle, die Vakuumleitung ist mit einem Vakuumsystem verbunden, die eine oder mehrere Öffnungen sind gleichmäßig auf 360° eines Umfangs um eine zentrale Achse der Substrathalterung bei der Wafer-Bearbeitungsstelle verteilt; und wobei eine Drosselregion für den Fluss durch jede der eine oder mehrere Öffnungen im Allgemeinen gleichmäßig um die zentrale Achse des Substrats verteilt ist.
  • Bevorzugt sind eine oder mehr Öffnungen in der inneren Kammeroberfläche derart konfiguriert, dass sie im Allgemeinen äquidistant vor einer Mitte des Substrates ist, das sich an der Substrat-Bearbeitungsstelle befindet.
  • Bevorzugt sind die eine oder mehreren Öffnungen in der inneren Kammerwand ein 360°-Schlitz zwischen einem Deckel und einem Körper der Kammer, wobei die Vakuumleitung hinter dem Schlitz zwischen einer oberen Fläche des Körpers der Kammer und einer unteren Fläche des Deckels der Kammer konfiguriert ist.
  • Gemäß einem weiteren Aspekt beinhaltet die Anmeldung ein Verfahren zum Steuern einer Prozessgasverteilung über der Oberfläche eines Halbleitersubstrats in einer Halbleiterbearbeitungskammer, die einen oberen und einen unteren Bereich hat mit den Schritten: a) Bereitstellen einer Bearbeitungskammer mit (i) einer Prozessgasquelle; (ii) einer Abgasleitung, die in dem oberen Bereich der Bearbeitungskammer angeordnet ist; und die kontinuierlich entlang des Umfangs des oberen Kammerbereichs verteilt ist und (iii) ein Vakuumanschluss, der mit der Abgasleitung in Verbindung steht, um Prozessgas von der Kammer in die Abgasleitung und aus der Bearbeitungskammer zu ziehen; b) Einführen von Prozessgas in die Bearbeitungskammer und c) Ziehen von Prozessgas direkt von der Bearbeitungskammer in die kontinuierliche Umgangs-Abgasleitung, wobei die Anordnung und Konfiguration der Abgasleitung im Allgemeinen eine Gasströmungsgleichförmigkeit über einer Oberfläche des Substrats während einer Substratbearbeitung zur Verfügung stellt.
  • Bevorzugt hat die kontinuierliche Umfangs-Abgasleitung eine im Allgemeinen runde Konfiguration. In einem spezifischen Ausführungsbeispiel enthält die obere Region die obere Elektrode und die untere Region enthält einen Suszeptor und das Substrat.
  • In einem weiterführenden Aspekt beinhaltet die Anmeldung eine Vorrichtung mit: einer Vakuum-Bearbeitungskammer zum Bearbeiten eines Substrats; eine Substrathalterung zum Haltern des Substrats an einer Substrat-Bearbeitungsstelle in der Vakuum-Bearbeitungskammer; einem Gaseinlass, um Prozessgas in der Bearbeitungskammer zum Bearbeiten des Substrats zur Verfügung zu stellen; wobei die Kammer eine oder mehrere Öffnungen in einer inneren Kammeroberfläche enthält, die mit einer Vakuumleitung in einer Wand der Kammer kommunizieren, die Vakuumleitung ist mit einem Vakuumsystem verbunden, wobei eine Verbindung von der Leitung zu dem Vakuumsystem zumindest teilweise konfigu riert ist, um durch einen lateralen Vakuumsleitungs-Erweiterungsteil der Bearbeitungskammer zu führen, wobei eine Fläche des lateralen Erweiterungsteils einen Beobachtungsfensteranschluss durch eine Wand der Kammer enthält, der Beobachtungsfensteranschluss ist abgedichtet, so dass die Innenseiten des lateralen Erweiterungsteils der Vakuumpassage betrachtet werden kann, indem durch ein Fenster, das als ein Teil der Dichtung des Beobachtungsfensteranschlusses dient, gesehen wird.
  • Bevorzugt ist das Fenster, das als ein Teil der Dichtung dient, durch das geschaut werden kann, aus Saphire.
  • Vorteilhafterweise enthält die Bearbeitungskammer einen Deckel und einen Körper, wobei die Vakuumleitung zwischen dem Deckel und dem Körper ist.
  • In einem spezifischen Aspekt ist die laterale Erweiterung zwischen dem Deckel und dem Körper angeordnet. In einer weiteren Ausführungsform ist der Betrachtungsfensteranschluss in dem Deckel. In einem weiteren Aspekt enthält der laterale Erweitungsteil der Unterseite des Deckels ein Betrachtungsfensteranschluss durch den Deckel, wobei der Betrachtungsfensteranschluss auf einer Oberseite des Deckels abgedichtet ist, so dass die Innenseiten des lateralen Erweiterungsteils der Vakuumpassage betrachtet werden kann, indem durch ein Fenster, das als Teil der Dichtung des Betrachtungsfensteranschlusses dient, gesehen wird.
  • In einem weiteren Aspekt zeigt die Erfindung ein Verfahren zum Bestimmen der Oberflächenbedingung an der Innenseite einer Substrat-Bearbeitungskammer mit den Schritten: Bereitstellen einer Vakuumleitung in der Wand der Bearbeitungskammer durch die Prozessgas in der Kammer in ein Vakuumsystem evakuiert wird; Leiten des Prozessgases von der Vakuumleitung zu dem Va kuumsystem durch einen lateralen Vakuumleitungs-Erweitungsteil; Beobachten der Oberflächenbedingungen auf der Innenseite des lateralen Erweiterungsteils durch das Fenster einer Beobachtungsanschlussöffnung zu dem lateralen Erweiterungsteil.
  • Gemäß einem weiteren Aspekt ist eine Vorrichtung zur Verfügung gestellt, beinhaltend: eine Wafer-Auflage; eine keramische Abdeckeinsatzplatte, die auf der Wafer-Auflage gehalten wird und die einen zentralen Teil einer oberen Fläche der Wafer-Auflage substantiell abdeckt, die Abdeckeinsatzplatte enthält eine zentrale Nabe, die in eine korrespondierende Aufnahmeöffnung in einer oberen Fläche der Wafer-Auflage für eine zentrale Nabe passt, die Abdeckeinsatzplatte enthält Haltemerkmale für Waferanhebestifte an Orten, die mit Anhebestiftlöchern in der Wafer-Auflage korrespondieren, jedes Merkmal der Merkmale enthält eine vorstehende Nabe, die aus der Rückseite der Abdeckeinsatzplatte ragt, zumindest eine der vorstehenden Naben passt in ein Langloch in der Oberseite der Platte mit einer Weite senkrecht zu einer radialen Linie von der Aufnahmeöffnung für die zentrale Nabe zu dem Langloch, die im Allgemeinen ähnlich zu dem Durchmesser der Nabe in derselben Richtung ist, während das Langloch eine Länge entlang der Richtung der zuvor genannten radialen Linie besitzt, die substantiell länger ist als ein Durchmesser des Waferhebe-Haltemerkmals entlang der radialen Linie, so dass der Bereich der unterschiedlichen Bewegung zwischen der Abdeckeinsatzplatte und der Wafer-Auflage bei in dem Aufnahmeloch für die zentrale Nabe positionierter zentraler Nabe sich der Bewegung zwischen der Abdeckeinsatzplatte und der Wafer-Auflage ohne Störung anpasst, bei unterschiedlicher thermischer Ausdehnung zwischen der Abdeckeinsatzplatte und der Wafer-Auflage, wenn die Abdeckeinsatzplatte und die Wafer-Auflage Temperaturbedingungen einer Substratbearbeitungsumgebung ausgesetzt sind.
  • Die Wafer-Auflage ist aus einem thermisch leitfähigen Material mit einem darin eingebetteten Heizelement, mit von dem Heizelement von einer Rückseite der Wafer-Auflage ausgehenden Leitungen, gemacht.
  • Die Wafer-Auflage enthält eine Temperatursensoraufnahmeöffnung an der Rückseite der Wafer-Auflage. In einer weiteren Ausführungsform beinhaltet die Vorrichtung einen Steven mit einer oder mehr Öffnungen um eine Durchführung für die Heizleitung und einen Temperatursensor, der in das Temperatursensoraufnahmeloch ragt, zur Verfügung zu stellen, der Steven ist gasdicht abgedichtet und an der Rückseite der Wafer-Auflage angebracht und enthält den Ort, wo der Heizdraht sich von der Rückseite der Wafer-Auflage erstreckt und das Temperatursensor-Aufnahmeloch offen an der Rückseite der Wafer-Auflage ist, so dass die Vorrichtung an nur einem Vorrichtungshalteteil angebracht sein kann und von diesem gehalten werden kann, das an einem Unterteil des Steven und eines zentralen Kerns des Steven angebracht ist, inklusive der Möglichkeit, dass die eine oder mehrere Öffnungen atmosphärischen Bedingungen ausgesetzt sind, während ein substantieller Teil der äußeren Fläche des Stevens Vakuumbedingungen ausgesetzt ist in einer Vakuumkammer, wenn der Steven abgedichtet in einer Vakuum-Bearbeitungskammer montiert ist.
  • Bevorzugterweise führen alle der vorstehenden Naben, die anders sind als der zumindest eine vorstehende Nabe, die konfiguriert ist, um in das Langloch zu passen, in Wafer-Stiftlöcher, die konfiguriert sind, um die alle der vorstehenden Naben, die anders sind als die zumindest eine vorstehende Nabe aufzunehmen, ohne Kontakt zwischen einer Seite der alle der vorstehenden Naben, die anders sind als die zumindest eine vorstehende Nabe und zwischen Kanten der Löcher für die Wafer-Hebestifte.
  • In einem weiteren Aspekt enthält die Vorrichtung darüber hinaus einen Kantenring, der aus einem keramischen Material gemacht ist, und im Allgemeinen eine „L"-förmige Sektion hat, so dass ein erster Schenkel der „L"-förmigen Sektion einen Ring um den Umfang formt, der mit der äußeren Kante der Abdeckeinsatzplatte überlappt und einen zweiten Schenkel der „L"-förmigen Sektion formt eine Randleiste um die äußere Fläche der Wafer-Auflage.
  • Vorteilhafterweise enthält die keramische Abdeckeinsatzplatte einen erhöhten Ring, der eine Substrataufnahmefläche im zentralen Bereich oben auf der Abdeckeinsatzplatte umgibt.
  • Bevorzugt enthält die Abdeckeinsatzplatte weiter einen Umfangsbereich außerhalb des erhöhten Rings, wobei der erste Schenkel der „L"-geformten Sektion mit dem Umfangbereich außerhalb des erhöhten Rings überlappt.
  • Weiter bevorzugt überlappt der erste Schenkel der „L"-förmigen Sektion nicht mit dem erhöhten Ring. In einem spezifischen Ausführungsbeispiel ist die Abdeckeinsatzplatte aus Aluminiumnitrid. In einem weiteren Ausführungsbeispiel ist der Kantenring aus Aluminiumoxid.
  • In einem weiteren Aspekt wird in der Anmeldung eine Vorrichtung zur Verfügung gestellt, wobei die Vorrichtung ein Substrat an einer Substrat-Bearbeitungsstelle in einer Vakuum-Bearbeitungskammer hält, die gegenüber einer Gasverteilungsendplatte ist, wobei die Kammer eine innere Kammeroberfläche benachbart zu und umgebend die Substrat-Bearbeitungsstelle enthält, wobei eine oder mehr Öffnungen in der inneren Kammeroberfläche mit einer Vakuumleitung kommunizieren, die die Substrat-Bearbeitungsstelle umgeben, die Vakuumleitung ist mit einem Vakuumsystem verbunden, wobei die eine oder mehr Öffnungen in der Kammer konfiguriert sind, um eine im Allgemeinen gleichförmige Verteilung von Gasfluss über das Substrat an der Substrat-Bearbeitungsstelle zur Verfügung zu stellen, wenn Prozessgas von einer Serie von Löchern in der Gasverteilungsendplatte zu der einen oder den mehreren Öffnungen in der Kammer fließt.
  • In einem weiteren Aspekt wird in der Anmeldung eine Vorrichtung zur Verfügung gestellt, beinhaltend: eine Vakuum-Bearbeitungskammer zum Bearbeiten eines Substrates; eine Substrathalterung zum Haltern des Substrats an einer Substrat-Bearbeitungsstelle in der Vakuum-Bearbeitungskammer, ein Gaseinlass, um Prozessgas zu der Bearbeitungskammer zum Bearbeiten des Substrats zu leiten; wobei die Kammer eine oder mehrere Öffnungen in der inneren Kammerfläche enthält, die mit einem Vakuumleitung in einer Wand der Kammer kommunizieren, die Vakuumleitung ist mit einem Vakuumsystem verbunden, wobei die innere Kammeroberfläche eine Keramikauskleidung, die benachbart der Substrat-Bearbeitungsstelle ist, enthält, um zu verhindern, dass ein Umfang der Wand eines Körpers der Bearbeitungskammer, der benachbart zu der Bearbeitungsstelle ist, direkt dem Plasma ausgesetzt ist, das während der Bearbeitung an der Substrat-Bearbeitungsstelle existiert.
  • Bevorzugt ist eine 300°-Schlitzöffnung von der Substrat-Bearbeitungsstelle zu der Vakuumleitung beinhaltend die eine oder mehrere Öffnungen zur Verfügung gestellt, zwischen einem Deckel und einem Körper der Bearbeitungskammer und zwischen der Oberseite einer oberen Fläche der Keramikauskleidung im Körper und einer unteren Fläche eines Isolationsrings im Deckel; und der Isolationsring ist aus keramischen Material ausgebildet.
  • Bevorzugter Weise beinhaltet die keramische Auskleidung ein oder mehrere entnehmbare Ringe, die innerhalb einer Wand der Bearbeitungskammer benachbart zu der Substrat-Bearbeitungsstelle bereitgestellt sind. Gemäß einem weiteren Aspekt stellt die Erfindung ein Verfahren zum Schützen der Wände einer Substrat-Bearbeitungskammer zur Verfügung mit den Schritten: Bereitstellen einer unter Spannung stehenden Gasverteilungsendplatte gegenüber eines Substratsockels, der elektrisch geerdet ist, und Bereitstellen eines entfernbaren keramischen Rings, der die elektrisch geerdeten Wände der Bearbeitungskammer auskleidet.
  • Gemäß einem weiteren Aspekt stellt die Anmeldung eine Vorrichtung zur Verfügung, beinhaltend: eine Vakuum-Bearbeitungskammer zum Bearbeiten eines Substrats; einen Substrathalter zum Haltern des Substrats an einer Substrat-Bearbeitungsstelle in der Vakuum-Bearbeitungskammer; einen Gaseinlass, um Prozessgas in die Bearbeitungskammer zum Bearbeiten des Substrats zu speisen; wobei die Kammer eine oder mehrere Öffnungen in der inneren Kammeroberfläche enthält, die mit einer Vakuumleitung in einer Wand der Kammer kommunizieren, die Vakuumleitung ist mit einem Vakuumsystem verbunden, wobei die Verbindung von der Leitung zu dem Vakuumsystem zumindest teilweise so konfiguriert ist, um in eine Vakuum-Verbindungspassage in dem Körper und zu einer Vakuumventilkörper-Aufnahmeöffnung in dem Körper zu passen; die Vakuumventil-Aufnahmeöffnung enthält einen Ventilsitz zum Dichten der Vakuum-Verbindungspassage in dem Körper von dem Vakuumsystem, wenn ein Vakuumventil in der Vakuumventilkörper-Aufnahmeöffnung angeordnet ist und das Ventil geschlossen ist, so dass die Ventilsitz-Fläche in dem Körper der Kammer abgedichtet ist.
  • Die Anmeldung zeigt weiter ein Verfahren zum Heizen eines Vakuum-Abschaltventils, das, falls geschlossen, zumindest teilweise eine Substratbearbeitungskammer von einem die Bearbei tungskammer evakuierenden Vakuumsystem isoliert, das Verfahren enthält die Schritte: Bereitstellen einer Passage in einem Körper der Substrat-Bearbeitungskammer, durch das Gas von der Bearbeitungskammer zu einer Vakuumkammer evakuiert wird; Bereitstellen eines Ventilsitzes innerhalb des Körpers zum Absperren; Bereitstellen eines Ventils in dem Körper, so dass, wenn das Ventil geschlossen ist, es mit dem Ventilsitz abdichtet und thermische Energie des Körpers zu dem Ventil transferiert wird, als ob es im Allgemeinen Bestandteil des Körpers sei.

Claims (5)

  1. Eine Vorrichtung beinhaltend: eine Wafer – Auflage (136) gebildet aus einem thermisch leitfähigen Material mit einem Heizelement (183), das darin eingebettet ist, mit einer Leitung des Heizelementes (183), die sich von der Rückseite der Wafer-Auflage (136) erstreckt; die Wafer-Auflage (136) beinhaltet eine Öffnung zum Aufnehmen eines Temperatursensors, die zur Rückseite der Wafer-Auflage (136) geöffnet ist, und wobei das in dem thermisch leitfähigen Material eingebettete Heizelement (183) eine einzige kontinuierliche Heizschleife (192) umfasst, die derart konfiguriert ist, dass Heizelemente (183) im allgemeinen parallel zueinander sind, im allgemeinen den Linien konzentrischer Kreise folgen, die im allgemeinen in der Wafer-Auflage (136) zentriert sind; die Heizelemente (183) kreuzen einander nicht; ein äußerer Teil des Heizelementes (183) verläuft in der Nähe eines Umfangs der Auflage (136) und bildet an seinem Ende eine Schleife zu einem inneren Teil des Heizelementes (183), der entlang eines Pfades eines Kreises, der konzentrische zum Pfad des äußeren Elements ist, und eine vorbestimmte Entfernung von dem äußeren Pfad entfernt ist, wobei Heizenergie zu der Wafer-Auflage (186) in einem Muster geführt wird, das darin mitwirkt, eine im allgemeinen gleichförmige Temperatur entlang der Oberfläche der Wafer-Auflage (136) zu erhalten.
  2. Eine Vorrichtung gemäß Anspruch 1, wobei die Leitung des Heizelementes (183) innerhalb der Wafer-Auflage (136) an einem Ort verbunden sind, der versetzt ist von dem Ort, an dem die Leitung aus der Rückseite der Wafer-Auflage (186) heraustritt.
  3. Eine Vorrichtung gemäß Anspruch 1 oder 2, wobei eine Kühlröhre (204) in einer Wafer-Auflage (206) eingebettet ist; die Kühlröhre (204) umfasst Röhrenenden, die sich von der Rückseite der Platte (206) an einem Ort erstrecken, der in der Nähe des Ortes ist, an dem die Leitung des Heizelementes (207) aus der Wafer-Auflage (206) heraustritt.
  4. Eine Vorrichtung gemäß Anspruch 3, wobei die Kühlröhre (204) derart konfiguriert ist, um entlang eines Kreises konzentrisch zu den konzentrischen kreisförmige Pfaden zu laufen, die durch den inneren Teil und den äußeren Teil des Heizelementes (207) gefolgt sind; der konzentrische Kreises entlang dessen die Kühlröhre läuft hat einen Durchmesser, der kleiner ist als der Durchmesser des konzentrischen Kreises, der durch den äußeren Teil des Heizelementes (207) gebildet ist, und einen Durchmesser, der größer ist als der Durchmesser des konzentrischen Kreises, der durch den inneren Teil des Heizelementes (207) gebildet ist.
  5. Eine Vorrichtung gemäß zumindest einem der Ansprüche 3 oder 4, wobei die Kühlröhre (204) in der Wafer-Auflage (206) in einer Ebene eingebettet ist, die eine größere Entfernung von der Oberfläche der Wafer-Auflage (206) als das Heizelement (207) hat.
DE69533928T 1994-11-30 1995-11-13 Kammer für CVD-Behandlungen Expired - Lifetime DE69533928T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/348,273 US5558717A (en) 1994-11-30 1994-11-30 CVD Processing chamber
US348273 1994-11-30

Publications (2)

Publication Number Publication Date
DE69533928D1 DE69533928D1 (de) 2005-02-17
DE69533928T2 true DE69533928T2 (de) 2006-01-12

Family

ID=23367317

Family Applications (3)

Application Number Title Priority Date Filing Date
DE69533928T Expired - Lifetime DE69533928T2 (de) 1994-11-30 1995-11-13 Kammer für CVD-Behandlungen
DE69523412T Expired - Lifetime DE69523412T2 (de) 1994-11-30 1995-11-13 Kammer für CVD Behandlungen
DE69535114T Expired - Lifetime DE69535114T2 (de) 1994-11-30 1995-11-13 Kammer für CVD-Behandlungen

Family Applications After (2)

Application Number Title Priority Date Filing Date
DE69523412T Expired - Lifetime DE69523412T2 (de) 1994-11-30 1995-11-13 Kammer für CVD Behandlungen
DE69535114T Expired - Lifetime DE69535114T2 (de) 1994-11-30 1995-11-13 Kammer für CVD-Behandlungen

Country Status (5)

Country Link
US (2) US5558717A (de)
EP (3) EP0714998B1 (de)
JP (1) JPH08227859A (de)
KR (1) KR100279487B1 (de)
DE (3) DE69533928T2 (de)

Families Citing this family (777)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5551982A (en) * 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US6086680A (en) * 1995-08-22 2000-07-11 Asm America, Inc. Low-mass susceptor
KR970019156U (ko) * 1995-10-10 1997-05-26 오존 애셔장치
US5678595A (en) * 1995-12-21 1997-10-21 Benkan Corporation Vacuum exhaust valve
US5895530A (en) * 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
US5810937A (en) * 1996-03-13 1998-09-22 Applied Materials, Inc. Using ceramic wafer to protect susceptor during cleaning of a processing chamber
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5800623A (en) * 1996-07-18 1998-09-01 Accord Seg, Inc. Semiconductor wafer support platform
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
JPH10134997A (ja) * 1996-10-24 1998-05-22 Samsung Electron Co Ltd 2次電位による放電を除去したプラズマ処理装置
US5951776A (en) * 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
US6120609A (en) * 1996-10-25 2000-09-19 Applied Materials, Inc. Self-aligning lift mechanism
US5885751A (en) * 1996-11-08 1999-03-23 Applied Materials, Inc. Method and apparatus for depositing deep UV photoresist films
US5879574A (en) * 1996-11-13 1999-03-09 Applied Materials, Inc. Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
US5968587A (en) * 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US6019848A (en) * 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
SG70035A1 (en) * 1996-11-13 2000-01-25 Applied Materials Inc Systems and methods for high temperature processing of semiconductor wafers
US5873781A (en) * 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6077157A (en) * 1996-11-18 2000-06-20 Applied Materials, Inc. Process chamber exhaust system
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5743788A (en) * 1996-12-02 1998-04-28 Motorola, Inc. Platen coating structure for chemical mechanical polishing and method
US6055927A (en) 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US6189482B1 (en) 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
US5980638A (en) * 1997-01-30 1999-11-09 Fusion Systems Corporation Double window exhaust arrangement for wafer plasma processor
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6132517A (en) * 1997-02-21 2000-10-17 Applied Materials, Inc. Multiple substrate processing apparatus for enhanced throughput
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6026896A (en) * 1997-04-10 2000-02-22 Applied Materials, Inc. Temperature control system for semiconductor processing facilities
US6026762A (en) * 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
TW403791B (en) * 1997-06-02 2000-09-01 Applied Materials Inc Quartz crystal microbalance for measurement of CVD exhaust deposits
TW460943B (en) * 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
JP3541339B2 (ja) * 1997-06-26 2004-07-07 富士通株式会社 マイクロホンアレイ装置
KR100466867B1 (ko) * 1997-07-03 2005-04-19 삼성전자주식회사 증착속도가일정한플라즈마인헨스드화학기상증착장치
US6177023B1 (en) * 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
US6274058B1 (en) 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6176929B1 (en) * 1997-07-22 2001-01-23 Ebara Corporation Thin-film deposition apparatus
US6106630A (en) * 1997-08-07 2000-08-22 Applied Materials, Inc. Ceramic-coated heating assembly for high temperature processing chamber
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6780464B2 (en) 1997-08-11 2004-08-24 Torrex Equipment Thermal gradient enhanced CVD deposition at low pressure
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US6167837B1 (en) * 1998-01-15 2001-01-02 Torrex Equipment Corp. Apparatus and method for plasma enhanced chemical vapor deposition (PECVD) in a single wafer reactor
KR100436543B1 (ko) * 1997-08-14 2004-07-16 삼성전자주식회사 열전달을 차단하는 절연체를 갖는 건식 에칭장비
US6451686B1 (en) * 1997-09-04 2002-09-17 Applied Materials, Inc. Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
US6527865B1 (en) 1997-09-11 2003-03-04 Applied Materials, Inc. Temperature controlled gas feedthrough
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6090206A (en) * 1997-10-20 2000-07-18 Applied Materials, Inc. Throttle valve providing enhanced cleaning
EP1036406B1 (de) * 1997-11-03 2003-04-02 ASM America, Inc. Verbesserte kleinmassige waferhaleeinrichtung
US6063441A (en) * 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
JP3207147B2 (ja) * 1997-12-19 2001-09-10 日本エー・エス・エム株式会社 半導体処理用の基板保持装置
JP3246891B2 (ja) * 1998-02-03 2002-01-15 東京エレクトロン株式会社 熱処理装置
US6120605A (en) * 1998-02-05 2000-09-19 Asm Japan K.K. Semiconductor processing system
JP3602324B2 (ja) * 1998-02-17 2004-12-15 アルプス電気株式会社 プラズマ処理装置
JPH11230036A (ja) 1998-02-18 1999-08-24 Ebara Corp 真空排気システム
US6301270B1 (en) 1998-03-02 2001-10-09 Compaq Computer Corporation Right to left matching of device address numbers with provided integrated services digital network destination numbers
US6117244A (en) * 1998-03-24 2000-09-12 Applied Materials, Inc. Deposition resistant lining for CVD chamber
US6433314B1 (en) * 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6596086B1 (en) * 1998-04-28 2003-07-22 Shin-Etsu Handotai Co., Ltd. Apparatus for thin film growth
US5997963A (en) * 1998-05-05 1999-12-07 Ultratech Stepper, Inc. Microchamber
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
JP4275769B2 (ja) * 1998-06-19 2009-06-10 株式会社渡辺商行 基体の移載装置
US6147334A (en) * 1998-06-30 2000-11-14 Marchi Associates, Inc. Laminated paddle heater and brazing process
US6228208B1 (en) 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6136703A (en) * 1998-09-03 2000-10-24 Micron Technology, Inc. Methods for forming phosphorus- and/or boron-containing silica layers on substrates
US6206528B1 (en) 1998-09-30 2001-03-27 Euv Llc Surface figure control for coated optics
US6206966B1 (en) * 1998-09-30 2001-03-27 The Regents Of The University Of California Pedestal substrate for coated optics
DE19847101C1 (de) * 1998-10-13 2000-05-18 Wacker Siltronic Halbleitermat CVD-Reaktor und Verfahren zur Herstellung einer mit einer epitaktischen Schicht versehenen Halbleiterscheibe
KR100520446B1 (ko) * 1998-11-24 2005-12-21 삼성전자주식회사 에피택셜 성장 장치의 배기 시스템_
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
WO2000045427A1 (fr) * 1999-01-29 2000-08-03 Tokyo Electron Limited Procede et dispositif de traitement au plasma
JP2000243542A (ja) * 1999-02-24 2000-09-08 Nhk Spring Co Ltd ヒータユニット及びその製造方法
US6300255B1 (en) 1999-02-24 2001-10-09 Applied Materials, Inc. Method and apparatus for processing semiconductive wafers
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
JP2000349078A (ja) 1999-06-03 2000-12-15 Mitsubishi Electric Corp 化学気相成長装置および半導体装置の製造方法
US6214121B1 (en) * 1999-07-07 2001-04-10 Applied Materials, Inc. Pedestal with a thermally controlled platen
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6530992B1 (en) * 1999-07-09 2003-03-11 Applied Materials, Inc. Method of forming a film in a chamber and positioning a substitute in a chamber
US6375748B1 (en) * 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6635570B1 (en) * 1999-09-30 2003-10-21 Carl J. Galewski PECVD and CVD processes for WNx deposition
US6422921B1 (en) 1999-10-22 2002-07-23 Applied Materials, Inc. Heat activated detachable polishing pad
DE19952705A1 (de) * 1999-11-02 2001-05-10 Wacker Siltronic Halbleitermat Verfahren zur Herstellung einer Halbleiterscheibe mit einer epitaktischen Schicht
US6264536B1 (en) * 2000-02-01 2001-07-24 Lucent Technologies Inc. Reducing polish platen corrosion during integrated circuit fabrication
JP3723712B2 (ja) * 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
US6394023B1 (en) * 2000-03-27 2002-05-28 Applied Materials, Inc. Process kit parts and method for using same
US6592709B1 (en) * 2000-04-05 2003-07-15 Applied Materials Inc. Method and apparatus for plasma processing
US6353210B1 (en) * 2000-04-11 2002-03-05 Applied Materials Inc. Correction of wafer temperature drift in a plasma reactor based upon continuous wafer temperature measurements using and in-situ wafer temperature optical probe
JP2001298020A (ja) * 2000-04-18 2001-10-26 Nhk Spring Co Ltd セラミックヒータ及びそれを用いた成膜処理装置
US6863835B1 (en) 2000-04-25 2005-03-08 James D. Carducci Magnetic barrier for plasma in chamber exhaust
US6537419B1 (en) * 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
JP4896337B2 (ja) * 2000-05-17 2012-03-14 東京エレクトロン株式会社 処理装置およびそのメンテナンス方法,処理装置部品の組立機構およびその組立方法,ロック機構およびそのロック方法
TW516076B (en) * 2000-06-13 2003-01-01 Applied Materials Inc Method and apparatus for increasing the utilization efficiency of gases during semiconductor processing
US7011039B1 (en) * 2000-07-07 2006-03-14 Applied Materials, Inc. Multi-purpose processing chamber with removable chamber liner
EP1174910A3 (de) * 2000-07-20 2010-01-06 Applied Materials, Inc. Verfahren und Vorrichtung zum Lösen eines Substrats von einer Halteplatte
US6582522B2 (en) * 2000-07-21 2003-06-24 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
US6802906B2 (en) * 2000-07-21 2004-10-12 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
WO2002008487A1 (en) * 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
JP4747398B2 (ja) * 2000-07-27 2011-08-17 株式会社Gsユアサ 紫外線処理装置
US6666920B1 (en) * 2000-08-09 2003-12-23 Itt Manufacturing Enterprises, Inc. Gas collector for providing an even flow of gasses through a reaction chamber of an epitaxial reactor
US6716289B1 (en) * 2000-08-09 2004-04-06 Itt Manufacturing Enterprises, Inc. Rigid gas collector for providing an even flow of gasses
US6325855B1 (en) * 2000-08-09 2001-12-04 Itt Manufacturing Enterprises, Inc. Gas collector for epitaxial reactors
US6599368B1 (en) * 2000-10-05 2003-07-29 Applied Materials, Inc. System architecture of semiconductor manufacturing equipment
WO2002058126A1 (fr) * 2001-01-22 2002-07-25 Tokyo Electron Limited Dispositif et procede de traitement
US6514870B2 (en) 2001-01-26 2003-02-04 Applied Materials, Inc. In situ wafer heat for reduced backside contamination
JP5079949B2 (ja) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
US6810886B2 (en) * 2001-05-24 2004-11-02 Applied Materials, Inc. Chamber cleaning via rapid thermal process during a cleaning period
KR100433285B1 (ko) * 2001-07-18 2004-05-31 주성엔지니어링(주) 멀티 홀 앵글드 가스분사 시스템을 갖는 반도체소자제조장치
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
KR20030039247A (ko) * 2001-11-12 2003-05-17 주성엔지니어링(주) 서셉터
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
KR20030066118A (ko) * 2002-02-04 2003-08-09 주성엔지니어링(주) 열팽창에 의한 변형을 최소화할 수 있는 샤워헤드형가스공급장치
JP2003239073A (ja) * 2002-02-18 2003-08-27 Ulvac Japan Ltd 成膜装置
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
DE10211312A1 (de) 2002-03-14 2003-10-02 Wacker Siltronic Halbleitermat Verfahren und Vorrichtung zur epitaktischen Beschichtung einer Halbleiterscheibe sowie epitaktisch beschichtete Halbleiterscheibe
US6776849B2 (en) * 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US7129694B2 (en) * 2002-05-23 2006-10-31 Applied Materials, Inc. Large substrate test system
DE10227332A1 (de) * 2002-06-19 2004-01-15 Akt Electron Beam Technology Gmbh Ansteuervorrichtung mit verbesserten Testeneigenschaften
JP2004035971A (ja) * 2002-07-05 2004-02-05 Ulvac Japan Ltd 薄膜製造装置
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955725B2 (en) 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US20050170314A1 (en) * 2002-11-27 2005-08-04 Richard Golden Dental pliers design with offsetting jaw and pad elements for assisting in removing upper and lower teeth and method for removing teeth utilizing the dental plier design
JP3996502B2 (ja) * 2002-12-27 2007-10-24 株式会社アルバック 熱板表面のカバー機構を備えた処理装置
US6818249B2 (en) * 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
JP4106618B2 (ja) * 2003-04-14 2008-06-25 日本精工株式会社 位置決め装置
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US7615131B2 (en) * 2003-05-12 2009-11-10 Sosul Co., Ltd. Plasma etching chamber and plasma etching system using same
KR100965758B1 (ko) * 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US6911093B2 (en) * 2003-06-02 2005-06-28 Lsi Logic Corporation Lid liner for chemical vapor deposition chamber
US7083702B2 (en) * 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
US20050221603A1 (en) * 2003-06-23 2005-10-06 Applied Materials, Inc. System architecture of semiconductor manufacturing equipment
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
US7662233B2 (en) * 2003-06-27 2010-02-16 Ofer Sneh ALD apparatus and method
US7413612B2 (en) * 2003-07-10 2008-08-19 Applied Materials, Inc. In situ substrate holder leveling method and apparatus
US20050066902A1 (en) * 2003-09-26 2005-03-31 Tokyo Electron Limited Method and apparatus for plasma processing
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
US7107125B2 (en) * 2003-10-29 2006-09-12 Applied Materials, Inc. Method and apparatus for monitoring the position of a semiconductor processing robot
KR100526923B1 (ko) * 2004-01-05 2005-11-09 삼성전자주식회사 반도체 제조설비의 리프트핀 및 그 제조방법
US20060038554A1 (en) * 2004-02-12 2006-02-23 Applied Materials, Inc. Electron beam test system stage
US7319335B2 (en) * 2004-02-12 2008-01-15 Applied Materials, Inc. Configurable prober for TFT LCD array testing
US6833717B1 (en) 2004-02-12 2004-12-21 Applied Materials, Inc. Electron beam test system with integrated substrate transfer module
US7355418B2 (en) * 2004-02-12 2008-04-08 Applied Materials, Inc. Configurable prober for TFT LCD array test
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US7075323B2 (en) * 2004-07-29 2006-07-11 Applied Materials, Inc. Large substrate test system
WO2006023595A2 (en) 2004-08-18 2006-03-02 New Way Machine Components, Inc. Moving vacuum chamber stage with air bearing and differentially pumped grooves
US7534301B2 (en) * 2004-09-21 2009-05-19 Applied Materials, Inc. RF grounding of cathode in process chamber
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
KR100790393B1 (ko) * 2004-11-26 2008-01-02 삼성전자주식회사 플라즈마 공정장비
US7572340B2 (en) * 2004-11-29 2009-08-11 Applied Materials, Inc. High resolution substrate holder leveling device and method
US20060228889A1 (en) * 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US8454750B1 (en) * 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US7535238B2 (en) * 2005-04-29 2009-05-19 Applied Materials, Inc. In-line electron beam test system
US20100270004A1 (en) * 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
JP4849829B2 (ja) * 2005-05-15 2012-01-11 株式会社ソニー・コンピュータエンタテインメント センタ装置
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
EP1739717A1 (de) * 2005-06-30 2007-01-03 Alter S.r.l. Plasmagenerator mit einer Schlitzantenne
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
KR20080031473A (ko) * 2005-07-27 2008-04-08 어플라이드 머티어리얼스, 인코포레이티드 입자 형성을 방지하기 위한 cvd 차단 플레이트용 부동화기술
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US20070042131A1 (en) * 2005-08-22 2007-02-22 Applied Materials, Inc., A Delaware Corporation Non-intrusive plasma monitoring system for arc detection and prevention for blanket CVD films
US20070051388A1 (en) * 2005-09-06 2007-03-08 Applied Materials, Inc. Apparatus and methods for using high frequency chokes in a substrate deposition apparatus
US20070090516A1 (en) * 2005-10-18 2007-04-26 Applied Materials, Inc. Heated substrate support and method of fabricating same
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US8398816B1 (en) * 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US20070181065A1 (en) * 2006-02-09 2007-08-09 General Electric Company Etch resistant heater and assembly thereof
CN101400991B (zh) * 2006-03-14 2013-03-20 应用材料公司 减小多个柱状电子束测试系统中的串扰的方法
US20070254494A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Faceplate with rapid temperature change
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP5225268B2 (ja) 2006-05-30 2013-07-03 アプライド マテリアルズ インコーポレイテッド 二酸化シリコンの膜質を高める新規な堆積プラズマ硬化サイクルプロセス
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7602199B2 (en) 2006-05-31 2009-10-13 Applied Materials, Inc. Mini-prober for TFT-LCD testing
US7786742B2 (en) 2006-05-31 2010-08-31 Applied Materials, Inc. Prober for electronic device testing on large area substrates
KR101501426B1 (ko) * 2006-06-02 2015-03-11 어플라이드 머티어리얼스, 인코포레이티드 차압 측정들에 의한 가스 유동 제어
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080017116A1 (en) * 2006-07-18 2008-01-24 Jeffrey Campbell Substrate support with adjustable lift and rotation mount
US7485827B2 (en) * 2006-07-21 2009-02-03 Alter S.R.L. Plasma generator
US20080079220A1 (en) * 2006-08-29 2008-04-03 Aviza Technology, Inc. Rotary seal for diffusion furnance incorporating nonmetallic seals
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US8004293B2 (en) 2006-11-20 2011-08-23 Applied Materials, Inc. Plasma processing chamber with ground member integrity indicator and method for using the same
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US20080206987A1 (en) 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US7959735B2 (en) * 2007-02-08 2011-06-14 Applied Materials, Inc. Susceptor with insulative inserts
US20080194112A1 (en) * 2007-02-09 2008-08-14 International Business Machines Corporation Method and system for plasma etching having improved across-wafer etch uniformity
JP2008227033A (ja) * 2007-03-12 2008-09-25 Tokyo Electron Ltd 基板処理装置
US20080299326A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
TWI349720B (en) * 2007-05-30 2011-10-01 Ind Tech Res Inst A power-delivery mechanism and apparatus of plasma-enhanced chemical vapor deposition using the same
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7871926B2 (en) * 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
KR100892249B1 (ko) * 2007-11-21 2009-04-09 주식회사 디엠에스 플라즈마 반응장치
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US20090197015A1 (en) * 2007-12-25 2009-08-06 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
US8153348B2 (en) * 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
KR101560138B1 (ko) * 2008-06-24 2015-10-14 어플라이드 머티어리얼스, 인코포레이티드 저온 pecvd 애플리케이션을 위한 받침대 히터
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US20100089319A1 (en) * 2008-10-09 2010-04-15 Applied Materials, Inc. Rf return path for large plasma processing chamber
US20100108263A1 (en) * 2008-10-30 2010-05-06 Applied Materials, Inc. Extended chamber liner for improved mean time between cleanings of process chambers
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8801857B2 (en) * 2008-10-31 2014-08-12 Asm America, Inc. Self-centering susceptor ring assembly
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
US20100151127A1 (en) * 2008-12-12 2010-06-17 Applied Materials, Inc. Apparatus and method for preventing process system contamination
JP5394403B2 (ja) * 2009-01-09 2014-01-22 株式会社アルバック プラズマ処理装置
US20100209623A1 (en) * 2009-02-18 2010-08-19 Electronics And Telecommunications Research Institute Apparatus for growing large area vanadium dioxide thin film and method of growing large area oxide thin film in the apparatus
JP2010232637A (ja) * 2009-03-04 2010-10-14 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
SG10201401671SA (en) * 2009-04-21 2014-07-30 Applied Materials Inc Cvd apparatus for improved film thickness non-uniformity and particle performance
US8698006B2 (en) * 2009-06-04 2014-04-15 Morgan Advanced Ceramics, Inc. Co-fired metal and ceramic composite feedthrough assemblies for use at least in implantable medical devices and methods for making the same
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
AT11604U1 (de) * 2009-08-20 2011-01-15 Aichholzer Johann Ing Träger für wafer
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP5721132B2 (ja) 2009-12-10 2015-05-20 オルボテック エルティ ソラー,エルエルシー 真空処理装置用シャワーヘッド・アセンブリ及び真空処理装置用シャワーヘッド・アセンブリを真空処理チャンバに締結する方法
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
SG185372A1 (en) 2010-06-04 2012-12-28 Oc Oerlikon Balzers Ag Vacuum processing device
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
KR101227673B1 (ko) * 2010-12-08 2013-01-29 엘아이지에이디피 주식회사 화학기상 증착장치
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
SG10201601171RA (en) 2011-02-21 2016-03-30 Applied Materials Inc Ambient laminar gas flow distribution in laser processing systems
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120231181A1 (en) * 2011-03-09 2012-09-13 Applied Materials, Inc. Insulation coverage of cvd electrode
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
WO2012134605A1 (en) * 2011-03-25 2012-10-04 Applied Materials, Inc. Method and apparatus for thermocouple installation or replacement in a substrate support
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
CN104040710B (zh) 2012-01-06 2017-11-28 诺发系统公司 用于均匀传热的自适应传热方法和系统
US9682398B2 (en) * 2012-03-30 2017-06-20 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
CN103811246B (zh) * 2012-11-14 2016-08-31 北京北方微电子基地设备工艺研究中心有限责任公司 加热装置及等离子体加工设备
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9610591B2 (en) 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
TWI473903B (zh) * 2013-02-23 2015-02-21 Hermes Epitek Corp 應用於半導體設備的噴射器與上蓋板總成
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6432507B2 (ja) * 2013-04-30 2018-12-05 東京エレクトロン株式会社 成膜装置
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9028765B2 (en) 2013-08-23 2015-05-12 Lam Research Corporation Exhaust flow spreading baffle-riser to optimize remote plasma window clean
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
CN105765103B (zh) * 2013-12-02 2018-09-25 应用材料公司 用于原位清洁工艺腔室的方法和装置
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
CN103745902A (zh) * 2013-12-16 2014-04-23 深圳市华星光电技术有限公司 Pecvd处理装置及在基板上进行pecvd处理的方法
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
KR101552663B1 (ko) * 2014-02-14 2015-09-11 피에스케이 주식회사 기판 처리 장치 및 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9978632B2 (en) * 2014-06-13 2018-05-22 Applied Materials, Inc. Direct lift process apparatus
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9911579B2 (en) * 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10236197B2 (en) * 2014-11-06 2019-03-19 Applied Materials, Inc. Processing system containing an isolation region separating a deposition chamber from a treatment chamber
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102438139B1 (ko) * 2014-12-22 2022-08-29 어플라이드 머티어리얼스, 인코포레이티드 높은 처리량의 프로세싱 챔버를 위한 프로세스 키트
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
GB201504202D0 (en) * 2015-03-12 2015-04-29 Spts Technologies Ltd PE-CVD apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
CN105803423A (zh) * 2016-04-21 2016-07-27 上海华力微电子有限公司 氮化钛沉积系统
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10934620B2 (en) 2016-11-29 2021-03-02 Applied Materials, Inc. Integration of dual remote plasmas sources for flowable CVD
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
DE102017200588A1 (de) * 2017-01-16 2018-07-19 Ers Electronic Gmbh Vorrichtung zum Temperieren eines Substrats und entsprechendes Herstellungsverfahren
JP6960737B2 (ja) 2017-01-23 2021-11-05 株式会社日立ハイテク 真空処理装置
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11702748B2 (en) 2017-03-03 2023-07-18 Lam Research Corporation Wafer level uniformity control in remote plasma film deposition
US10600624B2 (en) 2017-03-10 2020-03-24 Applied Materials, Inc. System and method for substrate processing chambers
US10636628B2 (en) 2017-09-11 2020-04-28 Applied Materials, Inc. Method for cleaning a process chamber
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
CN207331058U (zh) * 2017-08-25 2018-05-08 京东方科技集团股份有限公司 一种镀膜装置
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) * 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11077410B2 (en) 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10844490B2 (en) * 2018-06-11 2020-11-24 Hermes-Epitek Corp. Vapor phase film deposition apparatus
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
CN111446185A (zh) 2019-01-17 2020-07-24 Asm Ip 控股有限公司 通风基座
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11404302B2 (en) 2019-05-22 2022-08-02 Asm Ip Holding B.V. Substrate susceptor using edge purging
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20230197420A1 (en) * 2020-06-03 2023-06-22 Lam Research Corporation Monobloc pedestal for efficient heat transfer
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
CN112458441B (zh) * 2020-10-22 2022-09-16 北京北方华创微电子装备有限公司 半导体工艺设备的反应腔室及半导体工艺设备
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112853316B (zh) * 2020-12-31 2023-03-14 拓荆科技股份有限公司 镀膜装置及其承载座
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
JP2023018347A (ja) * 2021-07-27 2023-02-08 キオクシア株式会社 基板支持装置および基板処理装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114141691B (zh) * 2021-12-14 2022-06-17 北京北方华创微电子装备有限公司 半导体工艺设备
DE102022102742A1 (de) 2022-02-07 2023-08-10 Vat Holding Ag Hubvorrichtung zum Absenken eines Substrats
CN114678296B (zh) * 2022-03-11 2023-03-31 苏州智程半导体科技股份有限公司 一种晶圆加热装置
CN117230431B (zh) * 2023-11-15 2024-03-01 无锡尚积半导体科技有限公司 Cvd晶圆镀膜设备

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR1359505A (fr) * 1963-05-29 1964-04-24 Int Computers & Tabulators Ltd Perfectionnements aux appareils de dépôt de pellicules minces par évaporation sous vide
US4457359A (en) * 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
JPH0830273B2 (ja) * 1986-07-10 1996-03-27 株式会社東芝 薄膜形成方法及び装置
US4873070A (en) * 1986-12-17 1989-10-10 Kabushiki Kaisha Kobe Seiko Sho Process for producing silicon carbide whiskers
JPS63196033A (ja) * 1987-02-09 1988-08-15 Fujitsu Ltd 気相成長装置
JPS6480982A (en) * 1987-09-24 1989-03-27 Matsushita Electric Ind Co Ltd Switching of map display
EP0327846A1 (de) * 1988-02-10 1989-08-16 Siemens Aktiengesellschaft Schaltungsanordnung zum verzerrungsarmen Schalten von Signalen
JP2644309B2 (ja) * 1988-11-04 1997-08-25 株式会社東芝 半導体製造装置
JP2935474B2 (ja) * 1989-05-08 1999-08-16 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 平坦な基板を処理する装置及び方法
JPH0394069A (ja) * 1989-09-05 1991-04-18 Mitsubishi Electric Corp 薄膜形成装置
US4990374A (en) * 1989-11-28 1991-02-05 Cvd Incorporated Selective area chemical vapor deposition
JPH03240960A (ja) * 1990-02-16 1991-10-28 Hitachi Koki Co Ltd アモルファスシリコン膜製造装置
DE69111493T2 (de) * 1990-03-12 1996-03-21 Ngk Insulators Ltd Wafer-Heizgeräte für Apparate, zur Halbleiterherstellung Heizanlage mit diesen Heizgeräten und Herstellung von Heizgeräten.
JPH03291927A (ja) * 1990-04-09 1991-12-24 Sony Corp 低温処理装置及び低温処理を含む連続処理装置
JPH07116586B2 (ja) * 1990-05-31 1995-12-13 株式会社芝浦製作所 バルブ機構を備えた配管装置
US5188672A (en) * 1990-06-28 1993-02-23 Applied Materials, Inc. Reduction of particulate contaminants in chemical-vapor-deposition apparatus
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5304279A (en) * 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
JP2519827B2 (ja) * 1990-09-14 1996-07-31 シャープ株式会社 ディスク再生装置
JPH04157717A (ja) * 1990-10-22 1992-05-29 Toshiba Mach Co Ltd 気相成長用ウエハ加熱装置
JPH0812847B2 (ja) * 1991-04-22 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置及び半導体装置の製造方法
JP3033787B2 (ja) * 1991-06-04 2000-04-17 キヤノン株式会社 プラズマ処理装置
JPH0562936A (ja) * 1991-09-03 1993-03-12 Mitsubishi Electric Corp プラズマ処理装置およびプラズマクリーニング方法
US5294778A (en) * 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
JP2888026B2 (ja) * 1992-04-30 1999-05-10 松下電器産業株式会社 プラズマcvd装置
US5318632A (en) * 1992-05-25 1994-06-07 Kawasaki Steel Corporation Wafer process tube apparatus and method for vertical furnaces
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
JPH069137A (ja) * 1992-06-24 1994-01-18 Ricoh Co Ltd 排紙スタック装置
JP2766433B2 (ja) * 1992-07-23 1998-06-18 株式会社東芝 半導体気相成長装置
JPH0697080A (ja) * 1992-09-10 1994-04-08 Mitsubishi Electric Corp 化学気相成長装置用反応室および該反応室を用いた化学気相成長装置
US5271963A (en) * 1992-11-16 1993-12-21 Materials Research Corporation Elimination of low temperature ammonia salt in TiCl4 NH3 CVD reaction
JP2875945B2 (ja) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
JPH06306588A (ja) * 1993-04-27 1994-11-01 Nikon Corp 成膜装置及びそれを用いた複数の物質からなる 膜の製造方法
DE4316919C2 (de) * 1993-05-20 1996-10-10 Siegfried Dr Ing Straemke Reaktor für CVD-Behandlungen
JP2934565B2 (ja) * 1993-05-21 1999-08-16 三菱電機株式会社 半導体製造装置及び半導体製造方法
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
US5441568A (en) * 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern

Also Published As

Publication number Publication date
EP1046729A1 (de) 2000-10-25
DE69533928D1 (de) 2005-02-17
DE69535114T2 (de) 2007-02-15
DE69535114D1 (de) 2006-08-31
JPH08227859A (ja) 1996-09-03
US5558717A (en) 1996-09-24
EP1041171A1 (de) 2000-10-04
EP0714998A3 (de) 1996-12-04
EP0714998B1 (de) 2001-10-24
DE69523412D1 (de) 2001-11-29
KR100279487B1 (ko) 2001-02-01
US5853607A (en) 1998-12-29
EP1046729B1 (de) 2005-01-12
DE69523412T2 (de) 2002-06-20
EP0714998A2 (de) 1996-06-05
KR960019503A (ko) 1996-06-17
EP1041171B1 (de) 2006-07-19

Similar Documents

Publication Publication Date Title
DE69533928T2 (de) Kammer für CVD-Behandlungen
DE69727624T2 (de) Induktiv gekoppelter HDP-CVD-Reaktor
DE69927966T2 (de) Hochtemperatur-, mehrschicht-, legierungsheizanordnung
DE69928289T2 (de) Ätzkammern mit plasma dichte und geringer kontamination und herstellungsverfahren derselben
DE60034862T2 (de) Hochtemperatur elektrostatischer Halter
DE69830310T2 (de) Multifunktionaler verfahrensraum für cvd-verfahren
DE69433903T2 (de) Halteverfahren und Haltesystem für ein Substrat
DE60133376T2 (de) Vorrichtung zur thermischen verarbeitung von wafern
DE69530801T2 (de) Montageelement und methode zum klemmen eines flachen, dünnen und leitfähigen werkstückes
US5730803A (en) Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
JP4485681B2 (ja) 高周波能力を有する高温セラミックヒータ組立体
DE102006056811A1 (de) Heizvorrichtung für Halbleiterchargen
DE112008002015B4 (de) Weiterentwickelte Bearbeitungskammer für mehrere Werkstücke und Verfahren zu deren Erzeugung
US6051286A (en) High temperature, high deposition rate process and apparatus for depositing titanium layers
US6368450B2 (en) Processing apparatus
DE69434773T2 (de) Vorrichtung zur schnellen thermischen Behandlung zur Herstellung von Halbleiterwafern
DE69815163T2 (de) Verfahren und Vorrichtung zur Abscheidung von Titanschichten
DE60102669T2 (de) Vorrichtung und verfahren zur epitaktischen bearbeitung eines substrats
DE112008003029T5 (de) Werkstückträger mit Fluidzonen zur Temperatursteuerung
DE19506745A1 (de) Verfahren und Vorrichtung für reaktives Heisswand-Ionenätzen unter Verwendung einer dielektrischen oder metallischen Abschirmung mit Temperatursteuerung zur Erzielung von Prozeßstabilität
DE112017001577T5 (de) Suszeptorträger
DE69736267T2 (de) Plasmabehandlungsvorrichtung und -verfahren
EP1127176B1 (de) Vorrichtung zum herstellen und bearbeiten von halbleitersubstraten
DE102005060391B4 (de) Ein Apparat zur Herstellung eines Einkristalls und ein Verfahren zur Herstellung eines Einkristalls
DE69931278T2 (de) Vorrichtung und verfahren zur thermischen behandlung von halbleitersubstraten

Legal Events

Date Code Title Description
8364 No opposition during term of opposition