DE69633150D1 - Siliziumcarbid-Metall-Diffusionsbarriere-Schicht - Google Patents

Siliziumcarbid-Metall-Diffusionsbarriere-Schicht

Info

Publication number
DE69633150D1
DE69633150D1 DE69633150T DE69633150T DE69633150D1 DE 69633150 D1 DE69633150 D1 DE 69633150D1 DE 69633150 T DE69633150 T DE 69633150T DE 69633150 T DE69633150 T DE 69633150T DE 69633150 D1 DE69633150 D1 DE 69633150D1
Authority
DE
Germany
Prior art keywords
barrier layer
silicon carbide
diffusion barrier
carbide metal
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69633150T
Other languages
English (en)
Other versions
DE69633150T2 (de
Inventor
Mark Jon Loboda
Keith Winton Michael
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dow Silicones Corp
Original Assignee
Dow Corning Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dow Corning Corp filed Critical Dow Corning Corp
Publication of DE69633150D1 publication Critical patent/DE69633150D1/de
Application granted granted Critical
Publication of DE69633150T2 publication Critical patent/DE69633150T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance
DE69633150T 1995-02-02 1996-01-25 Siliziumcarbid-Metall-Diffusionsbarriere-Schicht Expired - Lifetime DE69633150T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US382701 1995-02-02
US08/382,701 US5818071A (en) 1995-02-02 1995-02-02 Silicon carbide metal diffusion barrier layer

Publications (2)

Publication Number Publication Date
DE69633150D1 true DE69633150D1 (de) 2004-09-23
DE69633150T2 DE69633150T2 (de) 2005-08-18

Family

ID=23510038

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69633150T Expired - Lifetime DE69633150T2 (de) 1995-02-02 1996-01-25 Siliziumcarbid-Metall-Diffusionsbarriere-Schicht

Country Status (6)

Country Link
US (1) US5818071A (de)
EP (1) EP0725440B1 (de)
JP (1) JP3731932B2 (de)
KR (1) KR100402187B1 (de)
DE (1) DE69633150T2 (de)
TW (1) TW284920B (de)

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100207444B1 (ko) * 1995-03-14 1999-07-15 윤종용 반도체 장치의 고유전막/전극 및 그 제조방법
US6350704B1 (en) * 1997-10-14 2002-02-26 Micron Technology Inc. Porous silicon oxycarbide integrated circuit insulator
DE69839043T2 (de) 1997-12-10 2009-01-22 Nxp B.V. Halblerteranordnung und verfahren zur herstellung
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6635583B2 (en) 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
JP4763131B2 (ja) * 1998-10-01 2011-08-31 アプライド マテリアルズ インコーポレイテッド 低誘電率反射防止被膜に用いるシリコンカーバイドの堆積
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6255217B1 (en) 1999-01-04 2001-07-03 International Business Machines Corporation Plasma treatment to enhance inorganic dielectric adhesion to copper
WO2000067304A1 (en) * 1999-05-03 2000-11-09 Interuniversitair Microelektronica Centrum (Imec) Method for removal of sic
US20050099078A1 (en) * 1999-05-03 2005-05-12 Serge Vanhaelemeersch Method for removal of SiC
FR2794286B1 (fr) * 1999-05-26 2003-06-06 Commissariat Energie Atomique Niveau d'interconnexion de type damascene pour dispositif micro-electronique
US6916399B1 (en) 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6423384B1 (en) 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
US6593653B2 (en) 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
EP1094506A3 (de) * 1999-10-18 2004-03-03 Applied Materials, Inc. Schutzschicht für Filme mit besonders kleiner Dielektrizitätskonstante
DE19951595A1 (de) * 1999-10-27 2001-05-17 Bosch Gmbh Robert Massenflusssensor mit verbesserter Membranstabilität und einstellbarer Wärmeleitfähigkeit der Membran
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
JP3430091B2 (ja) 1999-12-01 2003-07-28 Necエレクトロニクス株式会社 エッチングマスク及びエッチングマスクを用いたコンタクトホールの形成方法並びにその方法で形成した半導体装置
US6541369B2 (en) * 1999-12-07 2003-04-01 Applied Materials, Inc. Method and apparatus for reducing fixed charges in a semiconductor device
US6975030B1 (en) 2000-01-10 2005-12-13 Micron Technology, Inc. Silicon carbide contact for semiconductor components
US7033920B1 (en) * 2000-01-10 2006-04-25 Micron Technology, Inc. Method for fabricating a silicon carbide interconnect for semiconductor components
US6563215B1 (en) 2000-01-10 2003-05-13 Micron Technology, Inc. Silicon carbide interconnect for semiconductor components and method of fabrication
US6492267B1 (en) * 2000-02-11 2002-12-10 Micron Technology, Inc. Low temperature nitride used as Cu barrier layer
KR100772736B1 (ko) * 2000-03-13 2007-11-01 엔엑스피 비 브이 반도체 디바이스 제조 방법
US6417092B1 (en) * 2000-04-05 2002-07-09 Novellus Systems, Inc. Low dielectric constant etch stop films
US6429129B1 (en) * 2000-06-16 2002-08-06 Chartered Semiconductor Manufacturing Ltd. Method of using silicon rich carbide as a barrier material for fluorinated materials
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6774489B2 (en) * 2000-08-29 2004-08-10 Texas Instruments Incorporated Dielectric layer liner for an integrated circuit structure
US6936533B2 (en) * 2000-12-08 2005-08-30 Samsung Electronics, Co., Ltd. Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
JP3954312B2 (ja) * 2001-01-15 2007-08-08 ローム株式会社 半導体装置の製造方法
US6821884B2 (en) * 2001-02-15 2004-11-23 Interuniversitair Microelektronica Centrum (Imec) Method of fabricating a semiconductor device
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6472333B2 (en) 2001-03-28 2002-10-29 Applied Materials, Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
US20020177303A1 (en) * 2001-05-23 2002-11-28 Qing-Tang Jiang Method for sealing via sidewalls in porous low-k dielectric layers
US6469385B1 (en) * 2001-06-04 2002-10-22 Advanced Micro Devices, Inc. Integrated circuit with dielectric diffusion barrier layer formed between interconnects and interlayer dielectric layers
KR100668819B1 (ko) * 2001-06-26 2007-01-17 주식회사 하이닉스반도체 반도체 소자의 제조 방법
WO2003005438A2 (en) * 2001-07-02 2003-01-16 Dow Corning Corporation Improved metal barrier behavior by sic:h deposition on porous materials
US6926926B2 (en) 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6528423B1 (en) * 2001-10-26 2003-03-04 Lsi Logic Corporation Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
WO2003050870A1 (en) * 2001-12-11 2003-06-19 Trikon Technologies Limited Diffusion barrier
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6699784B2 (en) 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US6849562B2 (en) * 2002-03-04 2005-02-01 Applied Materials, Inc. Method of depositing a low k dielectric barrier film for copper damascene application
US6777349B2 (en) * 2002-03-13 2004-08-17 Novellus Systems, Inc. Hermetic silicon carbide
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
WO2004015165A1 (en) * 2002-08-08 2004-02-19 Trikon Technologies Limited Improvements to showerheads
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US6972253B2 (en) * 2003-09-09 2005-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming dielectric barrier layer in damascene structure
EP1608013B1 (de) * 2003-09-30 2013-04-24 Imec Herstellung von mit Luft gefüllten Lücken um eine Verbindungsleitung herum
US6871537B1 (en) * 2003-11-15 2005-03-29 Honeywell International Inc. Liquid flow sensor thermal interface methods and systems
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7229911B2 (en) 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US7288205B2 (en) 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP2006294671A (ja) * 2005-04-06 2006-10-26 Mitsui Chemicals Inc 低誘電率炭化珪素膜の製造方法
US20070210421A1 (en) * 2006-03-13 2007-09-13 Texas Instruments Inc. Semiconductor device fabricated using a carbon-containing film as a contact etch stop layer
US20070287301A1 (en) * 2006-03-31 2007-12-13 Huiwen Xu Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US7780865B2 (en) * 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7604871B2 (en) * 2006-06-07 2009-10-20 Honeywell International Inc. Electrical components including abrasive powder coatings for inhibiting tin whisker growth
US8247322B2 (en) 2007-03-01 2012-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Via/contact and damascene structures and manufacturing methods thereof
US20090072409A1 (en) 2007-09-14 2009-03-19 International Business Machines Corporation Interconnect Structures Incorporating Air-Gap Spacers
US20090075470A1 (en) * 2007-09-14 2009-03-19 International Business Machines Corporation Method for Manufacturing Interconnect Structures Incorporating Air-Gap Spacers
JP2009088267A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜方法、成膜装置、記憶媒体及び半導体装置
JP2010103445A (ja) * 2008-10-27 2010-05-06 Toshiba Corp 半導体装置及びその製造方法
WO2010147839A2 (en) * 2009-06-18 2010-12-23 Analog Devices, Inc. Silicon-rich nitride etch stop layer for vapor hf etching in mems device fabrication
EP2494087B1 (de) 2009-10-27 2020-12-30 Silcotek Corp. Cvd-beschichtungsverfahren
US9340880B2 (en) 2009-10-27 2016-05-17 Silcotek Corp. Semiconductor fabrication process
US10604660B2 (en) 2010-10-05 2020-03-31 Silcotek Corp. Wear resistant coating, article, and method
FR2981793A1 (fr) * 2011-10-25 2013-04-26 St Microelectronics Crolles 2 Procede de fabrication de transistors a grille isolee
WO2014186470A1 (en) 2013-05-14 2014-11-20 Silcotek Corp. Vapor phase treatment of amorphous carbon films with (perfluoro 1,1,2,2 tetrahydroalkyl)trialkoxysilane
US11292924B2 (en) 2014-04-08 2022-04-05 Silcotek Corp. Thermal chemical vapor deposition coated article and process
US9915001B2 (en) 2014-09-03 2018-03-13 Silcotek Corp. Chemical vapor deposition process and coated article
US10316408B2 (en) 2014-12-12 2019-06-11 Silcotek Corp. Delivery device, manufacturing system and process of manufacturing
US10876206B2 (en) 2015-09-01 2020-12-29 Silcotek Corp. Thermal chemical vapor deposition coating
US9653398B1 (en) * 2015-12-08 2017-05-16 Northrop Grumman Systems Corporation Non-oxide based dielectrics for superconductor devices
US10323321B1 (en) 2016-01-08 2019-06-18 Silcotek Corp. Thermal chemical vapor deposition process and coated article
US10608159B2 (en) 2016-11-15 2020-03-31 Northrop Grumman Systems Corporation Method of making a superconductor device
US10487403B2 (en) 2016-12-13 2019-11-26 Silcotek Corp Fluoro-containing thermal chemical vapor deposition process and article
US10276504B2 (en) 2017-05-17 2019-04-30 Northrop Grumman Systems Corporation Preclean and deposition methodology for superconductor interconnects
US10763419B2 (en) 2017-06-02 2020-09-01 Northrop Grumman Systems Corporation Deposition methodology for superconductor interconnects
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
GB201814231D0 (en) * 2018-08-31 2018-10-17 Univ Surrey Apparatus for forming a poly(p-xylylene) film on a component
US10985059B2 (en) 2018-11-01 2021-04-20 Northrop Grumman Systems Corporation Preclean and dielectric deposition methodology for superconductor interconnect fabrication
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth
KR20210018650A (ko) * 2019-08-07 2021-02-18 삼성전자주식회사 반도체 장치

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2658304C2 (de) * 1975-12-24 1984-12-20 Tokyo Shibaura Electric Co., Ltd., Kawasaki, Kanagawa Halbleitervorrichtung
JPS58204572A (ja) * 1982-05-24 1983-11-29 Semiconductor Energy Lab Co Ltd 光電変換装置
JPS59119733A (ja) * 1982-12-24 1984-07-11 Toshiba Corp 半導体装置
JPS59128281A (ja) * 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
JPS63150963A (ja) * 1986-12-12 1988-06-23 Fujitsu Ltd 半導体装置
US5274268A (en) * 1987-04-01 1993-12-28 Semiconductor Energy Laboratory Co., Ltd. Electric circuit having superconducting layered structure
US4960751A (en) * 1987-04-01 1990-10-02 Semiconductor Energy Laboratory Co., Ltd. Electric circuit having superconducting multilayered structure and manufacturing method for same
JP2546696B2 (ja) * 1987-12-17 1996-10-23 富士通株式会社 シリコン炭化層構造
KR930001428A (ko) * 1991-06-12 1993-01-16 김광호 반도체장치의 제조방법
JP2721603B2 (ja) * 1991-09-25 1998-03-04 富士写真フイルム株式会社 固体撮像装置の駆動方法と固体撮像装置
TW347149U (en) * 1993-02-26 1998-12-01 Dow Corning Integrated circuits protected from the environment by ceramic and barrier metal layers

Also Published As

Publication number Publication date
KR100402187B1 (ko) 2004-02-11
KR960032640A (ko) 1996-09-17
DE69633150T2 (de) 2005-08-18
EP0725440A3 (de) 1997-01-29
US5818071A (en) 1998-10-06
TW284920B (de) 1996-09-01
JP3731932B2 (ja) 2006-01-05
EP0725440B1 (de) 2004-08-18
JPH08250594A (ja) 1996-09-27
EP0725440A2 (de) 1996-08-07

Similar Documents

Publication Publication Date Title
DE69633150D1 (de) Siliziumcarbid-Metall-Diffusionsbarriere-Schicht
DE69631098D1 (de) Halbleiterstrukturen
DE69625265D1 (de) Halbleiterstrukturen
ID23867A (id) Lapisan penyekat-panas
DE69630902D1 (de) Lichtempfindliche Schicht
DE69609822T2 (de) Schutzvliesschichtstoff
DE69631328T2 (de) Polysiloxanzusammensetzungen
DE69416843T2 (de) Sperrschicht für photoleitfähigen elemente
DE29518587U1 (de) Vliesleger
DE59605190D1 (de) Elektrolumineszierendes schichtsystem
ID22050A (id) Bahan lapisan
BR9605818A (pt) Falsa pastilha inovadora de carbubeto de silício
NO954922D0 (no) AHUK - Isolerende laget
DE59708172D1 (de) Sperrschichtzusammensetzung
DE69521601D1 (de) Schichtantenne
DE29509591U1 (de) Steinpflaster
DE69732718D1 (de) Flüssigkeitswanderungsbarriere
DE69522815D1 (de) Epitaxie-schichtstruktur
FI952676A (fi) Kerrosrakenne
GB9617283D0 (en) Semiconductor layer structure
DE29515048U1 (de) Schwimmende Sperre
DE29502581U1 (de) Dämmschicht
DE29604855U1 (de) Abschrankung
DE29518157U1 (de) Böschungsstein
DE69609869D1 (de) Retroreflektierende schichtelemente

Legal Events

Date Code Title Description
8364 No opposition during term of opposition