DE69633487T2 - Vakuumbehandlungsvorrichtung und Halbleiter-Fertigungslinie die diese verwendet - Google Patents

Vakuumbehandlungsvorrichtung und Halbleiter-Fertigungslinie die diese verwendet Download PDF

Info

Publication number
DE69633487T2
DE69633487T2 DE69633487T DE69633487T DE69633487T2 DE 69633487 T2 DE69633487 T2 DE 69633487T2 DE 69633487 T DE69633487 T DE 69633487T DE 69633487 T DE69633487 T DE 69633487T DE 69633487 T2 DE69633487 T2 DE 69633487T2
Authority
DE
Germany
Prior art keywords
vacuum
cassette
block
vacuum treatment
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69633487T
Other languages
English (en)
Other versions
DE69633487D1 (de
Inventor
Minoru Kumage-gun Soraoka
Ken Hikari-shi Yoshioka
Yoshinao Kumage-gun Kawasaki
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Publication of DE69633487D1 publication Critical patent/DE69633487D1/de
Application granted granted Critical
Publication of DE69633487T2 publication Critical patent/DE69633487T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T70/00Locks
    • Y10T70/50Special application
    • Y10T70/5611For control and machine elements
    • Y10T70/5757Handle, handwheel or knob
    • Y10T70/5765Rotary or swinging
    • Y10T70/577Locked stationary
    • Y10T70/5792Handle-carried key lock
    • Y10T70/5796Coaxially mounted
    • Y10T70/5801Axially movable bolt
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T70/00Locks
    • Y10T70/50Special application
    • Y10T70/5611For control and machine elements
    • Y10T70/5757Handle, handwheel or knob
    • Y10T70/5765Rotary or swinging
    • Y10T70/5805Freely movable when locked

Description

  • Hintergrund der Erfindung
  • Gebiet der Erfindung
  • Die vorliegende Erfindung betrifft eine Vakuumbehandlungsvorrichtung und insbesondere eine Vakuumbehandlungsvorrichtung zum Ausführen von Behandlungen wie Ätzen, chemische Gasphasenabscheidung (CVD), Sputtern, Veraschen, Reinigen und dergleichen an einem Halbleitersubstrat wie einem Si-Substrat in einer Halbleiter-Fertigungslinie zum Herstellen von Halbleiterbauteilen.
  • Beschreibung des Standes der Technik
  • Allgemein besteht eine Vakuumbehandlungsvorrichtung aus einem Kassettenblock und einem Vakuumbehandlungsblock. Der Kassettenblock weist eine zu dem Arbeitsweg in der Halbleiter-Fertigungslinie weisende Vorderseite, die sich in der Längsrichtung der Halbleiter-Fertigungslinie erstreckt, eine Ausrichteinheit zum Ausrichten der Orientierung einer Kassette für ein Substrat oder der Orientierung eines Substrats und einen unter Atmosphärendruck arbeitenden Zuführroboter auf. Der Vakuumblock weist auf der Ladeseite eine Lade-Schleusenkammer, auf der Entladeseite ein Entlade-Schleusenkammer, eine Behandlungskammer, eine Nachbehandlungskammer, eine Vakuumpumpe und einen in einer Vakuumumgebung arbeitenden Zuführroboter auf.
  • In der Vakuumbehandlungsvorrichtung wird ein in dem Kassettenblock aus einer Kassette entnommenes Substrat von dem Atmosphären-Überführungsroboter zu der Lade-Schleusenkammer des Vakuumbehandlungsblocks befördert. Das Substrat wird dann vom Atmosphären-Überführungsroboter von der Lade-Schleusenkammer zu der Behandlungskammer weiterbefördert und auf einen Elektrodenkörper aufgesetzt, um eine Behandlung wie eine Plasmabehandlung durchzuführen. Dann wird das Substrat gegebenenfalls zu der Nachbehandlungskammer befördert. Das behandelte Substrat wird dann vom Vakuum-Überführungsroboter und vom Atmosphären-Überführungsroboter zu der Kassette im Kassettenblock befördert.
  • Vakuumbehandlungsvorrichtungen zum Plasmaätzen eines Substrats sind zum Beispiel in der japanischen Patentveröffentlichung Nr. 61-8153, der japanischen Patent-Offenlegungsschrift Nr. 63-133532, der japanischen Patentveröffentlichung Nr. 6-30369, der japanischen Patent-Offenlegungsschrift Nr. 6-314729, der japanischen Patent-Offenlegungsschrift Nr. 6-314730 und dem USP 5 314 509 beschrieben.
  • Bei der obigen herkömmlichen Vakuumbehandlungsvorrichtung sind die Behandlungskammern und die Lade-Schleusenkammern konzentrisch oder rechteckförmig angeordnet. Zum Beispiel ist bei der in der USP 5 314 509 beschriebenen Vorrichtung in der Mitte des Vakuumbehandlungsblocks ein Vakuum-Überführungsroboter angeordnet, um den konzentrisch drei Behandlungskammern angeordnet sind, wobei zwischen dem Vaku um-Überführungsroboter und dem Kassettenblock auf der Ladeseite eine Lade-Schleusenkammer und auf der Entladeseite eine Entlade-Schleusenkammer vorgesehen sind. Bei einer solchen Vorrichtung ergibt sich das Problem, daß die erforderliche Installationsfläche für die ganze Vorrichtung groß ist, da die Drehwinkel der Überführungsarme des Atmosphären-Überführungsroboters und des Vakuum-Überführungsroboters groß sind.
  • Andererseits erfordern die Behandlungskammer im Vakuumbehandlungsblock und die Vakuumpumpe sowie andere Arten von Leitungskomponenten der Vakuumbehandlungsvorrichtung eine gewisse Wartung, wie vorgesehene und unvorhergesehene Inspektionen und Reparaturen. Es sind daher in der Regel am Vakuumbehandlungsblock Türen vorgesehen, so daß durch Öffnen dieser Türen Inspektionen und Reparaturen an der Lade-Schleusenkammer, der Entlade-Schleusenkammer, der Behandlungskammer, am Vakuum-Überführungsroboter und an den verschiedenen Arten von Leitungskomponenten ausgeführt werden können.
  • Bei der herkömmlichen Vakuumbehandlungsvorrichtung liegt ein Problem darin, daß die Installationsfläche sogar dann groß ist, wenn das zu behandelnde Substrat einen Durchmesser d von weniger als 8 Zoll (1 Zoll = 2,54 cm) (etwa 200 mm) hat und die Außenabmessung CW der Kassette etwa 250 mm beträgt. Für Substrate mit einem großen Durchmesser d von über 12 Zoll (etwa 300 mm) wird die Größe CW der Kassette zu etwa 350 mm. Die Breite eines Kassettenblocks mit einer Anzahl von Kassetten wird daher groß. Wenn die Breite des Vakuumbehandlungsblocks auf der Basis der Breite des Kassettenblocks bestimmt wird, erfordert die gesamte Vakuumbehandlungsvorrichtung eine große Installationsfläche. Für einen vier Kassetten zum Beispiel enthaltenden Kassettenblock steigt die Breite des Kassettenblocks um wenigstens 40 cm an, wenn der Durchmesser d eines Substrats von 8 Zoll auf 12 Zoll ansteigt.
  • Im allgemeinen ist, um eine große Menge von Substraten mit verschiedenen Prozessen behandeln zu können, in einer Halbleiter-Fertigungslinie eine Anzahl von Vakuumbehandlungsvorrichtungen zum Durchführen der gleichen Prozesse in einer Bucht zusammengefaßt, wobei die Beförderung zwischen den Buchten automatisch oder manuell erfolgt. Da bei einer solchen Halbleiter-Fertigungslinie eine hohe Reinheit erforderlich ist, befindet sich die ganze Halbleiter-Fertigungslinie in einem großen Reinraum. Ein Anstieg in der Größe der Vakuumbehandlungsvorrichtung aufgrund einer Vergrößerung des Durchmessers der behandelten Substrate ergibt eine Vergrößerung der Installationsfläche im Reinraum, was zu einer weiteren Erhöhung der Konstruktionskosten für den Reinraum führt, der an sich bereits sehr hohe Konstruktionskosten aufweist. Wenn größere Vakuumbehandlungsvorrichtungen in einem Reinraum mit der gleichen Fläche wie vorher untergebracht werden sollen, muß die Gesamtzahl der Vakuumbehandlungsvorrichtungen verringert werden, oder es muß der Abstand zwischen den Vakuumbehandlungsvorrichtungen verringert werden. Eine Verringerung der Gesamtzahl an Vakuumbehandlungsvorrichtungen im Reinraum verringert die Produktivität der Halbleiter-Fertigungslinie und erhöht die Herstellungskosten für die Halbleiter-Bauteile. Eine Verringerung des Abstandes zwischen den Vakuumbehandlungsvorrichtungen erhöht den Wartungsaufwand für die Vorrichtungen wegen des kleineren Wartungsraums für Inspektionen und Reparaturen.
  • Zusammenfassung der Erfindung
  • Es ist eine Aufgabe der vorliegenden Erfindung, eine Vakuumbehandlungsvorrichtung zu schaffen, die in der Lage ist, Substrate mit größerem Durchmesser aufzunehmen, wobei die Herstellungskosten minimal gehalten werden.
  • Eine andere Aufgabe der vorliegenden Erfindung ist es, eine Vakuumbehandlungsvorrichtung zu schaffen, die in der Lage ist, Substrate mit größerem Durchmesser aufzunehmen, ohne daß die Wartung darunter leidet.
  • Eine weitere Aufgabe der vorliegenden Erfindung ist es, eine Halbleiter-Fertigungslinie für Substrate mit großem Durchmesser zu schaffen, bei der dadurch die Herstellungskosten minimal gehalten werden, daß durch eine ökonomische Ausnutzung des Raumes die Anzahl der Vakuumbehandlungsvorrichtungen beibehalten wird, ohne daß die Wartung darunter leiden muß.
  • Die vorliegende Erfindung umfaßt die im Patentanspruch 1 genannte Vakuumbehandlungsvorrichtung und die in den Patentansprüchen 6 und 7 genannte Vakuumbehandlungsanlage mit einer Anzahl von solchen Vakuumbehandlungsvorrichtungen. Bei der Vakuumbehandlungsvorrichtung sind der Kassettenblock und der Vakuumbehandlungsblock vorzugsweise in der Aufsicht rechteckförmig oder näherungsweise rechteckförmig, und es ist die Beziehung W1 – W2 ≥ CW erfüllt, wobei W1 die Breite des Kassettenblocks, W2 die Breite des Vakuumbehandlungsblocks und CW die Breite einer Kassette im Kassettenblock ist.
  • Der Kassettenblock und der Vakuumbehandlungsblock können in der Aufsicht rechteckig sein. Erfindungsgemäß sind der Kassettenblock und der Vakuumbehandlungsblock so ausgestaltet, daß die Beziehung W1 > W2 erfüllt ist, wobei W1 die Breite des Kassettenblocks und W2 die Breite des Vakuumbehandlungsblocks ist. Die Aufsicht auf die Vakuumbehandlungsvorrichtung als Ganzes zeigt daher eine L-Form oder eine T-Form. Bei der Anordnung von vielen solchen Vakuumbehandlungsvorrichtungen kann daher zwischen den nebeneinanderliegenden Vakuumbehandlungsblöcken auch dann ein ausreichender Raum vorgesehen werden, wenn der Abstand zwischen den benachbarten Vakuumbehandlungsblöcken klein ist. Zum Beispiel kann, wenn W1 gleich 1,5 m und W2 gleich 0,8 m ist, ein Wartungsraum von 0,7 m zwischen den nebeneinanderliegenden Vakuumbehandlungsvorrichtungen vorgesehen werden.
  • Trotz eines größeren Durchmessers der Substrate braucht daher die Anzahl von Vakuumbehandlungsvorrichtungen in einem Reinraum mit gegebener Größe nicht verringert zu werden. Entsprechend nimmt auch die Produktivität der Halbleiter-Fertigungslinie nicht ab. Es ist damit möglich, eine Vakuumbehandlungsvorrichtung für Substrate mit größerem Durchmesser zu schaffen, die keine Erhöhung der Herstellungskosten bewirkt und die besser zu warten ist.
  • Bei der Verwendung der erfindungsgemäßen Vakuumbehandlungsvorrichtung in einer Halbleiter-Fertigungslinie ist es möglich, eine Halbleiter-Fertigungslinie für Substrate mit großem Durchmesser zu schaffen, bei der die Herstellungskosten dadurch minimal gehalten werden, daß die erforderliche Anzahl von Behandlungsvorrichtungen beibehalten wird, was unter ökonomischer Ausnutzung des zur Verfügung stehenden Raumes und Beibehaltung einer leichten Wartung erfolgt.
  • Kurzbeschreibung der Zeichnungen
  • 1 ist eine perspektivische Außenansicht einer Ausführungsform einer Vakuumbehandlungsvorrichtung gemäß der vorliegenden Erfindung.
  • 2 ist eine vertikale Schnittansicht des Hauptteils der Vorrichtung der 1.
  • 3 ist eine Aufsicht auf den Aufbau der Vakuumbehandlungsvorrichtung in der Ebene der Linie III-III in der 2.
  • 4 ist eine Schnittansicht der Vorrichtung in der Ebene der Linie IV-IV der 2.
  • 5 ist eine Aufsicht auf eine Bucht in einer Halbleiter-Fertigungslinie mit erfindungsgemäßen Vakuumbehandlungsvorrichtungen.
  • 6 ist eine Ansicht des Substratflusses in einem Teil der Halbleiter-Fertigungslinie.
  • 7 ist eine Darstellung der Beziehung zwischen der Größe des Vakuumbehandlungsblocks und der Größe des Kassettenblocks.
  • 8 ist eine Darstellung zur Erläuterung der Wartung eines Vakuumblocks der Vakuumbehandlungsvorrichtung gemäß der vorliegenden Erfindung.
  • 9 ist eine Aufsicht, die den Aufbau einer herkömmlichen Vakuumbehandlungsvorrichtung zeigt.
  • 10 ist eine Darstellung der relativen Beziehungen für verschiedene Arten von Elementen in einer erfindungsgemäßen Vakuumbehandlungsvorrichtung.
  • 11 ist eine Aufsicht, die den Aufbau einer weiteren Ausführungsform einer erfindungsgemäßen Vakuumbehandlungsvorrichtung zeigt.
  • 12 ist eine perspektivische Ansicht der Vakuumbehandlungsvorrichtung der 11.
  • 13 ist eine Aufsicht, die den Aufbau einer anderen Ausführungsform der erfindungsgemäßen Vakuumbehandlungsvorrichtung zeigt.
  • 14 ist eine Aufsicht, die den Aufbau einer weiteren Ausführungsform einer erfindungsgemäßen Vakuumbehandlungsvorrichtung zeigt.
  • 15 ist eine Aufsicht, die den Aufbau einer weiteren Ausführungsform einer erfindungsgemäßen Vakuumbehandlungsvorrichtung zeigt.
  • 16 ist eine Aufsicht auf einen weiteren Buchtbereich.
  • 17 ist eine Aufsicht auf einen weiteren Buchtbereich.
  • 18 ist eine Aufsicht, die den Aufbau einer Halbleiter-Fertigungslinie zeigt.
  • 19 ist eine Aufsicht, die den Aufbau einer Halbleiter-Fertigungslinie zeigt.
  • 20 ist eine Aufsicht, die den Aufbau einer Halbleiter-Fertigungslinie zeigt.
  • Genaue Beschreibung der bevorzugten Ausführungsformen
  • Im folgenden wird anhand der 1 bis 4 eine Ausführungsform einer erfindungsgemäßen Vakuumbehandlungsvorrichtung genauer beschrieben. Wie in der 1 gezeigt, besteht jede der Vakuumbehandlungsvorrichtungen 100 aus einem Kassettenblock 1 mit einer rechteckigen Blockform und einem Vakuumbehandlungsblock 2 mit einer rechteckigen Blockform. In der Aufsicht hat sowohl der Kassettenblock 1 als auch der Vakuumbehandlungsblock 2 eine Rechteckform, und die von beiden gebildete Form ist in der Aufsicht L-förmig. Der Kassettenblock 1 zeigt zum Arbeitsweg einer Halbleiter-Fertigungslinie und erstreckt sich in der Längsrichtung des Arbeitsweges. Auf der Vorderseite des Kassettenblocks befindet sich ein Kassettentisch 16 für die Aufnahme und die Abgabe einer Kassette 12 mit einem Substrat von und zum Arbeitsweg sowie ein Bedienfeld 14. Der an der Rückseite des Kassettenblocks 1 angeordnete Vakuumbehandlungsblock 2 erstreckt sich in der zum Kassettenblock 1 senkrechten Richtung und enthält verschiedene Arten von Vorrichtungen zum Durchführen von Vakuumbehandlungen sowie eine Überführungsvorrichtung.
  • Wie in den 2 bis 4 gezeigt, ist im Kassettenblock 1 zum Befördern der Substrate und der Kassetten 12 mit Substraten ein Atmosphären-Überführungsroboter 9 vorgesehen. Die Substratkassetten 12 umfassen Produkt-Substratkassetten 12A, 12B, 12C und eine Dummy-Substratkassette 12D. In der Nähe der Kassetten 12 kann gegebenenfalls ein Orientierungsausrichter vorgesehen sein. Die Kassette 12 enthält nur Produktsubstrate oder Produkt- und Dummysubstrate. In der obersten und/oder untersten Stufe der Kassette befinden sich Substrate zum Prüfen auf fremde Substanzen und/oder der Reinigung.
  • Im Vakuumbehandlungsblock 2 sind eine beladeseitige Lade-Schleusenkammer 4, eine entladeseitige Entlade-Schleusenkammer 5, eine Behandlungskammer 6, eine Nachbehandlungskammer 7, eine Vakuumpumpe 8 und ein Vakuum-Überführungsroboter 10 vorgesehen. Das Bezugszeichen 13 bezeichnet eine Entladungseinrichtung zum Ätzen und das Bezugszeichen 14 eine Entladungseinrichtung zur Nachbehandlung (zum Veraschen).
  • Der Atmosphären-Überführungsroboter 9 ist beweglich auf Schienen 92 angeordnet, die parallel zum Kassettentisch 16 im Kassettenblock 1 angebracht sind, damit ein Substrat 3 zwischen der Kassette 12 und der Lade-Schleusenkammer 4 auf der Beladeseite und der Entlade-Schleusenkammer 5 auf der Entladeseite befördert werden kann. Der Vakuum-Überführungsroboter 10 befördert das Substrat 3 von der Ladeschleuse 5 auf der Beladeseite zu der Behandlungskammer 6, und er befördert auch das Substrat 3 zwischen der Behandlungskammer 6, der Entlade-Schleusenkammer 5 auf der Entladeseite und der Nachbehandlungskammer 7. Die vorliegende Erfindung basiert auf einer Behandlung von Substraten mit einem großen Durchmesser d von über 12 Zoll (nahezu 300 mm). Wenn der Durchmesser des Substrats 12 Zoll beträgt, beträgt das Außenmaß CW der Kassette nahezu 350 mm bis 360 mm.
  • In der Behandlungskammer 6 werden die Substrate 3 einzeln behandelt, es ist zum Beispiel eine Kammer zum Durchführen eines Plasmaätzens, sie befindet sich im Vakuumbehandlungsblock 2 oben links. Die Lade-Schleusenkammer 4 auf der Beladeseite und die Entlade-Schleusenkammer 5 auf der Entladeseite sind auf der gegenüberliegenden Seite der Behandlungskammer 6 mit dem Vakuum-Überführungsroboter 10 dazwischen angeordnet, das heißt diese beiden Kammern befinden sich im unteren Teil des Vakuumbehandlungsblocks 2. Die Nachbehandlungskammer 7 ist eine Kammer zum Durchführen einer Nachbehandlung des bearbeiteten Substrats 3, wobei ein Substrat nach dem anderen behandelt wird, sie befindet sich in der Mitte des Vakuumbehandlungsblocks 2 gegenüber der Entlade-Schleusenkammer 5 auf der Entladeseite.
  • Der Atmosphären-Überführungsroboter 9 weist einen ausfahrbaren Arm 91 auf, der so konstruiert ist, daß seine Reichweite beim Ausfahren und Einziehen, während sich der Roboter auf den Schienen 92 bewegt, die Kassetten 12 in der Ladestation und die Lade-Schleusenkammer 4 auf der Beladeseite sowie die Entlade-Schleusenkammer 5 auf der Entladeseite umfaßt. Der Vakuum-Überführungsroboter 10 weist einen ausfahrbaren Arm 101 auf, der so konstruiert ist, daß er die Lade-Schleusenkammer 4 auf der Beladeseite und die Behandlungskammer 6 erreichen kann. Der Vakuum-Überführungsroboter 10 befindet sich im Vakuumbehandlungsblock 2. Der ausfahrbare Arm 101 des Vakuum-Überführungsroboters ist somit so angeordnet, daß seine Reichweite die Behandlungskammer 6, die Entlade-Schleusenkammer 5 auf der Entladeseite und die Nachbehandlungskammer 7 umfaßt. Der Anbringungsort der Atmosphären-Überführungsroboters 9 kann sich auf der rechten Seite des Kassettenblocks 1 befinden.
  • Um jede der Kassetten 12 ist eine Wafer-Sucheinrichtung vorgesehen, die die Substrate in jeder der Kassetten erkennt, wenn die Kassetten 12 geladen sind. In den Lade- und Entlade-Schleusenkammern 4, 5 und in der Behandlungskammer 6 sowie der Nachbehandlungskammer 7 sind Substrathebeeinrichtungen 14A, 14B vorgesehen, so daß das Substrat 3 auf den ausfahrbaren Arm 91 bzw. 101 der Roboter übertragen werden kann. In der Behandlungskammer 6 ist eine Elektrode einer Ätzentladungseinrichtung 13 und ein Substrathalter 14C vorgesehen. Die Substrathebeeinrichtung 14B befindet sich in der Ätzentladungseinrichtung 13. Das Bezugszeichen 15 bezeichnet ein ringförmiges Zugangsventil.
  • Es wird nun die Behandlung eines Substrats in der Behandlungskammer 100 beschrieben, wobei als Beispiel ein Plamsaätzverfahren genommen wird. Zu Beginn bewegt sich der Atmosphären-Überführungsroboter 9 im Kassettenblock 1 auf den Schienen 92 zum Beispiel in die Nähe der Kassette 12A auf der Beladeseite, und eine (nicht gezeigte) Gabel fährt durch Ausfahren des Arms 91 zu der Kassette 12A hin unter das Substrat 3 in der Kassette und hebt das Substrat 3 an. Dann bewegt sich der Arm 91 des Atmosphären-Überführungsroboters 9 zu der Lade-Schleusenkammer 4 auf der Beladeseite, deren Abdeckung offen gehalten wird, um das Substrat 3 dorthin zu übertragen. Dabei bewegt sich gegebenenfalls der Atmosphären-Überführungsroboter 9 so auf den Schienen 92, daß der ausfahrbare Arm 91 die Lade-Schleusenkammer 4 erreicht.
  • Dann wird der Substrathebemechanismus 14A betätigt, um das Substrat 3 auf einen Halter in der Lade-Schleusenkammer 4 auf der Beladeseite zu bringen. Nach dem Evakuieren der Lade-Schleusenkammer 4 auf der Beladeseite wird der Halter abgesenkt, und der Substrathebemechanismus 14A wird erneut betätigt, um das Substrat auf den Arm 101 des Vakuum-Überführungsroboters 10 zu bringen, um das Substrat längs des Übertragungsweges in der Behandlungskammer 2 zu befördern, das heißt zu der Behandlungskammer 6 in der Vakuumumgebung. Durch die umgekehrte Operation wird das Substrat zu einer Kassettenposition auf der Entladeseite im Kassettenblock 1 gebracht.
  • Wenn eine Nachbehandlung erforderlich ist, wird das Substrat unter Verwendung des Arms 101 des Vakuum-Überführungsroboters 10 zu der Nachbehandlungskammer 7 befördert. In der Nachbehandlungskammer 7 wird an dem Substrat, das einen Ätzprozeß durchlaufen hat, eine Plasma-Nachbehandlung wie ein Veraschen durchgeführt.
  • In der 3 ist der Weg des Arms 101 des Vakuum-Überführungsroboters 10 der folgende, wenn sich jeweils in der Lade-Schleusenkammer 4 auf der Beladeseite, der Behandlungskammer 6 und der Nachbehandlungskammer 7 ein Substrat befindet und sich in der Entlade-Schleusenkammer 5 auf der Entladeseite kein Substrat befindet. Der Arm 101 des Vakuum-Überführungsroboters 10 befördert dann zuerst das eine Substrat 3 in der Nachbehandlungskammer 7 zu der Entlade-Schleusenkammer 5 auf der Entladeseite, woraufhin das Substrat 3 in der Behandlungskammer 6 zu der Nachbehandlungskammer 7 befördert wird. Dann wird das Substrat 3 in der Lade-Schleusenkammer 4 auf der Beladeseite zu der Vakuumkammer 6 befördert. Außerdem wird das Substrat 3 in der Behandlungskammer 6 zu der Nachbehandlungskammer 7 befördert. Der Arm 101 führt die gleichen Bewegungen wiederholt aus.
  • Da der Vakuum-Überführungsroboter 10 in der Nähe des seitlichen Endes des Vakuumbehandlungsblocks 2 angeordnet ist, kann dieser leicht von einem Arbeiter inspiziert und repariert werden, so daß Wartungsarbeiten einfach auszuführen sind.
  • Die 5 zeigt die Aufsicht auf eine Bucht 200 in einer Halbleiter-Fertigungslinie mit erfindungsgemäßen Vakuumbehandlungsvorrichtungen 100. Es sind viele L-förmige Vakuumbehandlungsvorrichtungen 100 mit einem Wartungsraum 203 der Größe G1 angeordnet, wobei eine Trennwand 120 den Raum in einen hochreinen Raum 201A und einen weniger reinen Raum 201B aufteilt. Längs der Vorderseite der Kassettenblöcke 1 befindet sich im hochreinen Raum 201A eine automatische Überführungseinrichtung 202. Im weniger reinen Raum 201B sind viele Vakuumbehandlungsblöcke 2 angeordnet, der Abstand zwischen diesen ist der später noch beschriebene Wartungsraum.
  • Die 6 zeigt teilweise den Fluß der Substrate 3 in der Halbleiter-Fertigungslinie. Am Eingang zu jedem der Buchtbereiche 200 befindet sich eine Inspektionsvorrichtung 206 und ein Buchtbeschicker 208. Der rückwärtige Abschnitt jedes der Buchtbereiche 200 steht mit einem Wartungsweg 210 in Verbindung. Am Eingang zum Wartungsweg 210 befindet sich eine Luftdusche 212. Das von außen dem Buchtbeschicker 208 zugeführte Substrat 3 wird von einer automatischen Buchtüberführungseinrichtung 202 entsprechend dem Herstellungsprozeß einem bestimmten Buchtbereich 200 zugeführt, wobei eine automatische Linienüberführungseinrichtung 204 verwendet wird, wie es durch Pfeile angezeigt wird. Das Substrat 3 wird von der automatischen Buchtüberführungseinrichtung 202 zu dem Kassettenblock der Vakuumbehandlungsvorrichtung 100 befördert. In der Vakuumbehandlungsvorrichtung 100 wird das Substrat 3 mittels des Atmosphären-Überführungsroboters 9 und des Vakuum-Überführungsroboters 10 vom Kassettenblock 1 zum Vakuumbehandlungsblock 2 befördert. Das im Vakuumbehandlungsblock 2 behandelte Substrat 3 wird dann wieder zu der automatischen Buchtüberführungseinrichtung 202 befördert und von dort zur nächsten Bucht 200.
  • In einer Halbleiter-Fertigungslinie mit einer automatischen Buchtüberführungseinrichtung 202 führt diese dem Kassettenblock 1 für jede der Vakuumbehandlungsvorrichtungen 100 vom Buchtbeschicker 208 in jeder der Buchten 200 die neuen Substrate (unbehandelten Wafer) zu und entnimmt die Kassetten mit den behandelten Substraten aus den Kassettenblöcken 1.
  • Entsprechend einem von jeder der Vakuumbehandlungsvorrichtungen 100 ausgegebenen Anforderungssignal entnimmt die automatische Buchtüberführungseinrichtung 202 dem Buchtbeschicker 208 der jeweiligen Bucht 200 eine Kassette mit einem neuen Substrat (unbehandelten Wafer) und bewegt sich zu der Kassettenposition und hält dort an, an der sich der Kassettenblock 1 der Vakuumbehandlungsvorrichtung befindet, die das Anforderungssignal ausgegeben hat.
  • Als Kassettenhandhabungsroboter in der automatischen Buchtüberführungseinrichtung 202 wird ein Roboter mit einer Dreiachsen-Steuerfunktion für eine Drehung (θ-Achse), eine vertikale Bewegung (Z-Achse) und eine Greifoperation (ϕ-Achse) oder mit einer Vierachsen-Steuerfunktion für eine Drehung (θ-Achse), eine vertikale Bewegung (Z-Achse), eine Greifoperation (ϕ-Achse) und eine Hin- und Herbewegung (Y-Achse) verwendet.
  • Wenn sich an einer bestimmten Stelle im Kassettenblock 1 eine behandelte Kassette 12 befindet, wird entsprechend einer von der Vakuumbehandlungsvorrichtung 100 ausgegebenen Entnahmeanforderung vom Kassettenhandhabungsroboter diese Kassette 12 vom Kassettenblock 1 zu einem leeren Kassettenspeicherplatz in der automatischen Buchtüberführungseinrichtung 202 befördert und dann vom Buchtbeschicker 208 an die durch die Entnahme frei gewordene Stelle eine neue Kassette 12 gebracht.
  • Nach diesem Vorgang befördert die automatische Buchtüberführungseinrichtung die entnommene Kassette 12 zum Buchtbeschicker 208 und beendet ihren Betrieb und bleibt stehen, bis das nächste Anforderungssignal von einer Vakuumbehandlungsvorrichtung 100 in der Bucht 200 ausgegeben wird.
  • Wenn innerhalb kurzer Zeit mehrere Anforderungssignale von den Vakuumbehandlungsvorrichtungen 100, 100 in der Bucht 200 ausgegeben werden, hängt es von der Sy stemkonfiguration ab, ob die automatische Buchtüberführungseinrichtung die Substrate gemäß der zeitlichen Reihenfolge der erhaltenen Signale befördert oder gemäß des höheren Beförderungs-Wirkungsgrades des Standpunkts der automatischen Buchtüberführungseinrichtung 202, wobei die Beziehungen zwischen dem Zeitunterschied bei Erhalt der Signale und den Positionen der die Signale ausgebenden Vorrichtungen berücksichtigt werden.
  • Das Kassettenmanagement erfolgt derart, daß die Informationen über eine erhaltene und abgesendete Kassette die Nummer der Kassette und verschiedene Arten von Informationen zum Verwalten der gesamten Fertigungslinie enthalten. Die Informationen werden zwischen der Vakuumbehandlungsvorrichtung 100 und der automatischen Buchtüberführungseinrichtung 202 über zum Beispiel ein optisches Kommunikationssystem ausgetauscht.
  • Es wird nun der Prozeßfluß in der Bucht 200 beschrieben, wobei in jeder Kassette ein Substrat näher betrachtet werden soll.
  • Im Kassettenblock 1 befinden sich drei bis vier Kassetten 11, 12 nebeneinander auf einer Ebene in der gleichen Höhe. In jeder Kassette befindet sich eine gegebene Anzahl von Substraten, in diesem Fall von Halbleiterelementsubstraten (Wafern) mit einem Durchmesser von 300 mm (12'').
  • In zwei bis drei Kassetten 12 der drei bis vier Kassetten 12 befinden sich Substrate, an denen im Vakuumbehandlungsabschnitt eine bestimmte Vakuumbehandlung durchzuführen ist (unbehandelte Wafer). In der verbleibenden einen Kassette 11 befinden sich Dummy-Wafer.
  • Der Dummy-Wafer wird zur Überprüfung auf Fremdpartikel im Vakuumbehandlungsabschnitt und/oder des Reinigungsprozesses in der Behandlungskammer in der Vakuumbehandlungszone verwendet.
  • Die Kassetten 12, die Substrate vor der Behandlung enthalten, seien die Kassetten 12A, 12B, 12C. Der Inhalt an Substraten in zum Beispiel der Kassette 12A wird durch eine Waferprüfeinrichtung (nicht gezeigt) geprüft. Im vorliegenden Fall sind in der Kassette 12A die Substrate einzeln in vertikaler Richtung gestapelt.
  • Für die Waferprüfeinrichtung gibt es Einrichtungen, bei denen ein Sensor nacheinander zu den ein Substrat enthaltenden Fächern der Kassette 12A bewegt wird, und Einrichtungen, bei denen entsprechend der Fächer der Kassette 12A eine Anzahl von Sensoren vorgesehen ist. In diesem Fall ist es nicht erforderlich, eine Einrichtung zum Bewegen zu den einzelnen Fächern der Kassette 12A vorzusehen. Es ist auch möglich, den einen Sensor einer Waferprüfeinrichtung festzuhalten und statt dessen die Kassette 12A zu bewegen.
  • Mittels der Waferprüfeinrichtung wird geprüft, an welchen Stellen in vertikaler Richtung der Kassette 12A sich unbehandelte Substrate befinden. Wenn die Waferprüfeinrichtung von dem Typ ist, bei dem sich ein Sensor nacheinander zu den Stellen für die Substratfächer der Kassette 12A bewegt, erfaßt der Sensor das Substratfach der Kassette 12A und das Vorhandensein oder Nichtvorhandensein eines unbehandelten Substrats im Fach, während sich der Sensor zum Beispiel von der unteren Position der Kassette 12A nach oben oder von der oberen Position der Kassette 12A nach unten bewegt.
  • Das von der Waferprüfeinrichtung ausgegebene Prüfergebnis wird zum Beispiel zum Verwalten der gesamten Vakuumbehandlungsvorrichtungen in einen Host-Computer (nicht gezeigt) für die Steuerung der Halbleiter-Fertigungslinie eingegeben und gespeichert. Das Prüfergebnis kann auch in einen PC in einer Konsole am Kassetteneingabetisch oder in einen Host-Computer zum Steuern der Vorrichtungen über den PC eingegeben werden.
  • Dann wird der Atmosphären-Überführungsroboter 9 in Betrieb gesetzt. Durch den Betrieb des Atmosphären-Überführungsroboters 9 wird eines der unbehandelten Substrate in der Kassette 12A aus der Kassette 12A genommen.
  • Der Atmosphären-Überführungsroboter 9 weist eine Aufnahmeeinrichtung zum Aufnehmen und Festhalten eines Substrats an der der zu behandelnden Oberfläche entgegengesetzten Oberfläche (Rückseite) auf. Die verwendete Aufnahmeeinrichtung umfaßt eine Einrichtung zum Anlegen an die Oberfläche und Festhalten der Rückseite des Substrats, eine Einrichtung mit Nuten oder Vertiefungen zum Festhalten des Substrats und eine Einrichtung zum mechanischen Ergreifen des Umfangs des Substrats. Als Einrichtung zum Anlegen an die Oberfläche und Festhalten der Rückseite des Substrats gibt es Einrichtungen mit einer Vakuum-Ansaugfunktion und Einrichtungen mit einer elektrostatischen Anziehung.
  • Bei der Einrichtung zum Anlegen an die Oberfläche und Festhalten der Rückseite von Substraten mit einem Durchmesser von 300 mm (12'') ist es wichtig, die Anordnung und die Abmessungen des Halteabschnitts so zu wählen, daß sich das Substrat so wenig wie möglich durchbiegt. Zum Beispiel wird der Abstand zwischen den Halteabschnitten zu d/3 bis d/2 gewählt, wobei die Mitte des Substrats als Mittelpunkt genommen wird und d der Durchmesser des Substrats ist.
  • Das Substrat wird beim Befördern von der Aufnahmeeinrichtung zu einer anderen Beförderungseinrichtung entsprechend dem Ausmaß der Durchbiegung verschoben, was zu Schwierigkeiten bei der Orientierung des Substrats führen kann.
  • Bei der Einrichtung zum Anlegen an die Oberfläche und Festhalten der Rückseite des Substrats muß die Festhaltekraft ausreichend groß sein, damit sich das Substrat beim Befördern und insbesondere beim Beschleunigen und Anhalten nicht durch die dabei auftretende Trägheitskraft löst. Wenn diese Bedingung nicht erfüllt ist, gibt es Schwierigkeiten durch von der Aufnahmevorrichtung abfallende Substrate und durch Störungen in der Orientierung der Substrate.
  • Die Aufnahmeeinrichtung wird zu der Position der Rückseite eines nicht behandelten Substrats gebracht, das der Kassette 12A zu entnehmen ist. Beim Einführen der Aufnahmeeinrichtung wird entweder die Kassette 12A etwas abgesenkt oder die Aufnahmeeinrichtung etwas angehoben. Durch das Absenken der Kassette 12A bzw. das Anheben der Aufnahmeeinrichtung wird das unbehandelte Substrat von der Aufnahmeeinrichtung auf genommen und im aufgenommenen Zustand gehalten. Die Aufnahmeeinrichtung nimmt dann unter Beibehaltung dieses Zustands das Substrat aus der Kassette 12A. Der Kassette 12A wird so eines der unbehandelten Substrate entnommen.
  • Wie oben angegeben, weist der Host-Computer den Atmosphären-Überführungsroboter 9 an, welches unbehandelte Substrat der Kassette 12A zu entnehmen ist, und steuert ihn entsprechend.
  • Nach jeder Entnahme eines Substrats aus der Kassette 12A werden die Informationen über die Entnahmestelle des Substrats in der Kassette 12A im Host-Computer gespeichert.
  • Der Atmosphären-Überführungsroboter 9 mit einem unbehandelten Substrat in der Aufnahmeeinrichtung wird dann zu der Stelle bewegt und dort angehalten, an der das Substrat in die Lade-Schleusenkammer 4 eingegeben werden kann.
  • Die Lade-Schleusenkammer 4 ist von der Vakuumumgebung des Vakuumbehandlungsabschnitts 2 isoliert und befindet sich unter Atmosphärendruck. Das von der Aufnahmeeinrichtung am Atmosphären-Überführungsroboter 9 gehaltene unbehandelte Substrat wird dann von der Aufnahmeeinrichtung in die Lade-Schleusenkammer 4 befördert.
  • Zur Vorbereitung der nächsten Operation kehrt dann nach der Abgabe des unbehandelten Substrats in die Lade-Schleusenkammer 4 der Atmosphären-Überführungsroboter 9 zu einer vorgegebenen Position zurück.
  • Die beschriebene Operation wird zum Beispiel vom Host-Computer angewiesen und gesteuert.
  • Bei jeder Entnahme eines Substrats wird die Information über das Fach in der Kassette 12A, aus dem das unbehandelte Substrat in die Lade-Schleusenkammer 4 geladen wurde, im Host-Computer gespeichert.
  • Die Lade-Schleusenkammer 4, die das unbehandelte Substrat aufgenommen hat, wird dann von der Atmosphäre isoliert und evakuiert. Die Isolation der Behandlungskammer wird aufgehoben und die Lade-Schleusenkammer 4 mit der Behandlungskammer verbunden, damit das unbehandelte Substrat dorthin befördert werden kann. In der Vakuumbehandlungszone erfolgt dann die vorgegebene Vakuumbehandlung.
  • Das Substrat, an dem die Vakuumbehandlung ausgeführt wurde (das behandelte Substrat) wird mit dem Vakuum-Überführungsroboter von der Vakuumbehandlungszone in die Entlade-Schleusenkammer 5 befördert.
  • Der Vakuum-Überführungsroboter weist ähnlich wie der Atmosphären-Überführungsroboter 9 eine Aufnahmeeinrichtung auf. Als Aufnahmeeinrichtungen können ähnliche Aufnahmeeinrichtungen wie bei dem Atmosphären-Überführungsroboter 9 verwendet werden, ausgenommen eine Einrichtung mit einer Vakuumansaugfunktion.
  • Nach dem Eingeben des behandelten Substrats wird die Entlade-Schleusenkammer 5 vom Vakuumbehandlungsabschnitt 2 isoliert und der Druck in der Entlade-Schleusenkammer 5 auf den Atmosphärendruck gebracht.
  • Die Entlade-Schleusenkammer 5 mit einem dem Atmosphärendruck entsprechenden Innendruck wird dann geöffnet. Anschließend fährt die Aufnahmeeinrichtung des Atmosphären-Überführungsroboters 9 in die Entlade-Schleusenkammer 5 und nimmt das behandelte Substrat auf.
  • Die Aufnahmeeinrichtung befördert das aufgenommene Substrat aus der Entlade-Schleusenkammer 5 heraus. Die Entlade-Schleusenkammer 5 wird dann wieder von der Atmosphäre isoliert und evakuiert, um für die Aufnahme des nächsten behandelten Substrats bereit zu sein.
  • Der Atmosphären-Überführungsroboter 9 mit dem behandelten Substrat in der Aufnahmeeinrichtung bewegt sich zu der Stelle, von der aus das behandelte Substrat wieder in die Kassette 12A zurückgebracht werden kann.
  • Die Aufnahmeeinrichtung wird mit dem behandelten Substrat in die Kassette 12A eingeführt. Der Host-Computer steuert die Einführposition so, daß das behandelte Substrat an die Stelle zurückkehrt, von der das Substrat ursprünglich entnommen wurde.
  • Nach dem Einführen der Aufnahmeeinrichtung mit dem behandelten Substrat wird die Kassette 12A angehoben oder die Aufnahmeeinrichtung abgesenkt.
  • Dadurch kehrt das behandelte Substrat zu der Stelle zurück und verbleibt dort, von der es ursprünglich entnommen wurde.
  • Die gleiche Operation wird für die übrigen unbehandelten Substrate in der Kassette 12A ausgeführt und auch für die unbehandelten Substrate in den Kassetten 12B, 12C.
  • Die nacheinander den Kassetten entnommenen unbehandelten Substrate sind zum Beispiel numeriert. Der Host-Computer enthält zum Beispiel Informationen darüber, welches der einem Fach in einer der Kassetten entnommenen Substrate welche Nummer hat.
  • Auf der Basis solcher Informationen werden die Bewegungen eines Substrats, die Entnahme eines Substrats aus einer Kassette, das Ausführen einer Vakuumbehandlung an einem Substrat und das Zurückgeben eines Substrats in die Kassette nach der Vakuumbehandlung ausgeführt und gesteuert.
  • Mit anderen Worten erfolgen die Bewegungen eines Substrats von der Entnahme bis zur Rückkehr zu der ursprünglichen Kassette in der folgenden Reihenfolge.
    • (1) Prüfen einer Position in einer Kassette.
    • (2) Entnahme eines Substrats aus der Kassette mit einem Atmosphären-Überführungsroboter.
    • (3) Eingabe des Substrats in eine Lade-Schleusenkammer mit dem Atmosphären-Überführungsroboter.
    • (4) Befördern des Substrats von der Lade-Schleusenkammer zu einer Vakuumbehandlungszone mit einem Vakuum-Überführungsroboter.
    • (5) Ausführen einer Vakuumbehandlung in der Vakuumbehandlungszone.
    • (6) Befördern des Substrats von der Vakuumbehandlungszone zu einer Entlade-Schleusenkammer mit dem Vakuum-Überführungsroboter.
    • (7) Entnehmen des Substrats aus der Entlade-Schleusenkammer mit dem Atmosphären-Überführungsroboter.
    • (8) Rückgabe des Substrats in die ursprüngliche Position in der Kassette mit dem Atmosphären-Überführungsroboter.
  • Bei jeder Bewegung des Substrats in den Schritten (1) bis (8) aktualisiert der Host-Computer die Informationen über die Nummer des Substrats, das sich jeder der Stationen befindet. Der Aktualisierungsprozeß erfolgt für jedes einzelne Substrat. Damit wird jedes der Substrate eigens verwaltet, das heißt es ist immer bekannt, welches Substrat mit welcher Nummer sich in welcher Station befindet.
  • Der Zustand des Aktualisierungsprozesses des Host-Computers kann zum Beispiel an einem Vakuumbehandlungssystem-Steuer-Bildschirm angezeigt werden. Es kann dabei jede der Stationen angezeigt werden und die Nummer des Substrats, das sich dort befindet.
  • Wenn eine Einstellung der Orientierung der unbehandelten Substrate erfolgt, wird dieser Schritt zwischen den Schritten (2) und (3) ausgeführt.
  • Diese Verwaltung und Steuerung der Bewegung der Substrate kann auch in den Fall erfolgen, daß der Vakuumbehandlungsabschnitt 2 eine Anzahl von Vakuumbehandlungszonen aufweist.
  • Der Vakuumbehandlungsabschnitt 2 weise zum Beispiel zwei Vakuumbehandlungszonen auf. Die Substrate werden in Abhängigkeit von den Prozeßinformationen nacheinander oder parallel behandelt. Eine Nacheinander- oder Serienbehandlung heißt, daß ein Substrat zuerst in einer Vakuumbehandlungszone bearbeitet wird und das so behandelte Substrat dann in der anderen Vakuumbehandlungszone behandelt wird. Eine Parallelbehandlung heißt, daß ein Substrat in einer Vakuumbehandlungszone behandelt wird und ein anderes Substrat in der anderen Vakuumbehandlungszone.
  • Bei der Serienbehandlung wird das vom Host-Computer mit einer Nummer versehene Substrat in der vorgegebenen Reihenfolge behandelt und das behandelte Substrat an die ursprüngliche Position in der Kassette zurückgebracht.
  • Auch bei der Parallelbehandlung wird das behandelte Substrat an die ursprüngliche Position in der Kassette zurückgebracht, da der Host-Computer verwaltet und steuert, in welcher Vakuumbehandlungszone welches numerierte Substrat behandelt wird.
  • Bei der Parallelbehandlung kann der Host-Computer verwalten und steuern, welche Vakuumbehandlungszone in Abhängigkeit vom Entnahmefach für das Substrat in der Kassette und der Nummer des Substrats verwendet wird.
  • Sogar bei einer Mischung von Serien- und Parallelbehandlung kann das behandelte Substrat an die ursprüngliche Position in der Kassette zurückgebracht werden, da der Host-Computer verwaltet und steuert, in welcher Vakuumbehandlungszone und wie ein numeriertes Substrat behandelt wird.
  • Beispiele für eine Anzahl von Vakuumbehandlungszonen sind eine Kombination von Zonen mit dem gleichen Plasmaerzeugungsverfahren, eine Kombination von verschiedenen Plasmaätzzonen, eine Kombination einer Plasmaätzzone mit einer Nachbehand lungszone etwa für das Veraschen, eine Kombination einer Ätzzone mit einer Schichtbildungszone und dergleichen.
  • Das Dummy-Substrat in einer Kassette wird genauso behandelt wie die unbehandelten Substrate, ausgenommen die Vakuumbehandlung für die unbehandelten Substrate.
  • Jede Kassette, die Aufnahmeeinrichtung für den Atmosphären-Überführungsroboter, die Orientierungseinstellstation, die Station in der Lade-Schleusenkammer, die Aufnahmeeinrichtung des Vakuum-Überführungsroboters, die Station in der Vakuumbehandlungszone und die Station in der Entlade-Schleusenkammer weisen jeweils eine Erfassungseinrichtung zum Erfassen des Vorhandenseins oder Nichtvorhandenseins eines Substrats auf.
  • Als Substrat-Erfassungseinrichtung kann ein Sensor vom Kontakttyp oder vom Nichtkontakttyp verwendet werden.
  • Die Kassette, die Aufnahmeeinrichtung und jede der Stationen sind Prüfpunkte für den Bewegungsvorgang der Substrate.
  • Mit diesem Aufbau können, wenn zum Beispiel das Vorhandensein eines Substrats an der Aufnahmeeinrichtung des Vakuum-Überführungsroboters 10 festgestellt wird und das Nichtvorhandensein eines Substrats an der Station in der Vakuumbehandlungszone, sofort Schwierigkeiten aufgrund irgendeiner Ursache in der Substrat-Überführungseinrichtung zwischen der Aufnahmeeinrichtung des Vakuum-Überführungsroboters und der Station in der Vakuumbehandlungszone festgestellt werden, und den Schwierigkeiten kann sofort geeignet begegnet werden. Dadurch kann verhindert werden, daß der Durchsatz des ganzen Systems sinkt.
  • Auch wenn keine Substrat-Erfassungseinrichtung an den Aufnahmeeinrichtungen des Überführungsroboters 9 vorgesehen ist, läßt sich, wenn das Vorhandensein eines Substrats in der Station in der Lade-Schleusenkammer und das Nichtvorhandensein eines Substrats in der Station in der Vakuumbehandlungszone festgestellt wird, trotzdem feststellen, daß an der Substrat-Überführungseinrichtung zwischen der Station in der Lade-Schleusenkammer und der Aufnahmeeinrichtung des Vakuum-Überführungsroboters oder am Vakuum-Überführungsroboter oder an der Substrat-Überführungseinrichtung zwischen der Aufnahmeeinrichtung des Vakuum-Überführungsroboters und der Station in der Vakuumbehandlungszone aufgrund irgendeiner Ursache Schwierigkeiten aufgetreten sind, so daß den Schwierigkeiten sofort geeignet begegnet werden kann. Dadurch kann verhindert werden, daß der Durchsatz des ganzen Systems sinkt.
  • Es ergeben sich folgende Vorteile.
    • (1) Da festgestellt wird, in welchem Fach in der Kassette sich ein unbehandeltes Substrat befindet, und die Bewegungen des unbehandelten Substrats durch Numerieren des unbehandelten Substrats verfolgt und gesteuert werden, kann das behandelte Substrat sicher an die ursprüngliche Position in der Kassette zurückgebracht werden.
    • (2) Da auch bei einer Serienbehandlung festgestellt wird, in welchem Fach in der Kassette sich ein unbehandeltes Substrat befindet, und die Bewegungen des unbehandelten Substrats durch Numerieren des unbehandelten Substrats verfolgt und gesteuert werden, kann das behandelte Substrat auch bei einer Serienbehandlung, einer Parallelbehandlung oder einer gemischten Behandlung sicher an die ursprüngliche Position in der Kassette zurückgebracht werden.
    • (3) Da festgestellt wird, in welchem Fach in der Kassette sich ein unbehandeltes Substrat befindet, und die Bewegungen des unbehandelten Substrats durch Numerieren des unbehandelten Substrats verfolgt und gesteuert werden, kann der Behandlungszustand des im Vakuumbehandlungsabschnitt behandelten Substrats einzeln und genau geprüft und verfolgt werden.
  • Wenn zum Beispiel ein Fehler bei der Behandlung eines Substrats auftritt, läßt sich der Behandlungszustand durch die Informationen über das Fach in der Kassette mit dem fehlerhaften Substrat identifizieren, da der Behandlungszustand für jedes der Substrate einschließlich der Prozeßbedingungen verfolgt wird. Die Ursache für das Auftreten des Fehlers läßt sich so in kurzer Zeit feststellen und entsprechend die für Gegenmaßnahmen erforderliche Zeit verkürzen.
  • Die obige Beschreibung beruht darauf, daß der Durchmesser des Substrats 300 mm (12'') beträgt. Die genannten Vorteile sind jedoch nicht auf diesen Durchmesser des Substrats beschränkt.
  • Im folgenden wird die Wartung beschrieben.
  • Fast die gesamte Wartung des Kassettenblocks 1 der erfindungsgemäßen Vakuumbehandlungsvorrichtung 100 kann von der Vorderseite des Kassettenblocks her erfolgen, da der Kassettenblock 1 der Linie der automatischen Bucht-Überführungseinrichtung 202 gegenüberliegt.
  • Bei der Wartung des Vakuumbehandlungsblocks 2 ist es jedoch erforderlich, daß ein Arbeiter den Bereich mit dem Vakuumbehandlungsblock 2 von der Rückseite der Bucht her über den Wartungsweg 203 oder über den Wartungsweg 210 betritt.
  • Die 7 zeigt die Beziehung zwischen der Größe des Vakuumbehandlungsblocks 2 und der Größe des Kassettenblocks 1. Wenn die längere Seite (Breite) des Vakuumbehandlungsblocks 2 mit W1 bezeichnet wird sowie dessen kürzere Seite mit B1 und die längere Seite (Breite) des Kassettenblocks 1 mit W2 sowie die kürzere Seite davon mit B2, sind die Beziehungen W1 > B1 und W2 > B2 erfüllt. Vorzugsweise ist auch die Beziehung W1 – W2 ≅ d erfüllt, wobei d der Durchmesser des Substrats ist.
  • Wenn der Abstand zwischen den benachbarten Kassettenblocks der Vakuumbehandlungsvorrichtungen durch G1 beschrieben wird und der zwischen den benachbarten Vakuumbehandlungsblöcken durch G2 (siehe 5), wird angenommen, daß die Beziehung G1 < G2 erfüllt ist. Der Wartungsraum zwischen benachbarten Vakuumbehandlungsvorrichtungen 100 läßt sich durch (W1 + G1) – W2 = MS ausdrücken. MS ist die Abmessung, die für Wartungsarbeiten erforderlich ist. Vorzugsweise ist dabei die Beziehung (W1 + G1) – W2 = d erfüllt. Auch wenn der Wartungsraum 203 ein Eingangsraum für den Arbeiter ist, gibt es Fälle, in denen in Abhängigkeit vom Layout des Buchtberei ches 200 der Raum nicht vorgesehen ist. Auch in einem solchen Fall ist zumindest ein Installationsabstand G1 zwischen den benachbarten Vakuumbehandlungsvorrichtungen erforderlich, der Installationsabstand wird jedoch praktisch zu Null. In diesem Fall wird W1 – W2 = MS zum Wartungsraum.
  • Die Seitenfläche des Vakuumbehandlungsblocks 2 der erfindungsgemäßen Vakuumbehandlungsvorrichtung 100 ist vom Türöffnungstyp. Das heißt, es sind zwei Paare von mit Scharnieren versehenen Türen 214, 216 in der Seitenfläche bzw. der Rückseite des Vakuumbehandlungsblocks 2 vorgesehen.
  • Um Wartungsarbeiten ausführen zu können, ist es erforderlich, daß (1) Platz dafür vorhanden ist, daß ein Arbeiter die Einrichtungen und die Leitungen von der Vorderseite und von der Rückseite her prüfen kann, daß (2) Platz dafür vorhanden ist, an den die verschiedenen Arten von Einrichtungen und Leitungen, zum Beispiel die Hauptkammer, gezogen werden können, und daß (3) Raum dafür vorhanden ist, in den die Türen geöffnet werden können. Der Wartungsraum MS beträgt daher vorzugsweise 90 bis 120 cm.
  • Bei der erfindungsgemäßen Vakuumbehandlungsvorrichtung 100 kann ein Arbeiter leicht zur Seitenfläche und der Rückseite des Vakuumbehandlungsblocks 2 gelangen. Durch Öffnen der Türen 214 können die Entlade-Schleusenkammer 5, die Nachbehandlungskammer 7, der Vakuum-Überführungsroboter 10 und die verschiedenen Arten von Leitungen und Einrichtungen inspiziert und repariert werden. Durch Öffnen der Türen 216 können die Behandlungskammer 6 und die Vakuumpumpe und die verschiedenen Arten von Leitungen und Einrichtungen dort inspiziert und repariert werden.
  • Aufgrund des Wartungsraums MS zwischen den Vakuumbehandlungsblöcken 2 gibt es kein Hindernis, wenn der Arbeiter die Türen 214 in der Seite für Wartungsarbeiten öffnet. Auch gibt es auf der Rückseite des Vakuumbehandlungsblocks 2 genügend Platz zum Öffnen der Türen 216 und zum Ausführen von Wartungsarbeiten.
  • In der Aufsicht ist die Vakuumbehandlungsvorrichtung 100 wie erwähnt L-förmig. Bei einer herkömmlichen Vakuumbehandlungsvorrichtung 800 bilden dagegen der Vakuumbehandlungsblock und der Kassettenblock insgesamt ein Rechteck, wie es in der 9 gezeigt ist. Die Form des Rechtecks wird auf der Basis der Form der verschiedenen Arten von Elementen gewählt, die in der Vakuumbehandlungsvorrichtung untergebracht werden, und auf der Basis der gegenseitigen betrieblichen Beziehungen zwischen den verschiedenen Arten von Elementen. Im allgemeinen ist bei der herkömmlichen Vakuumbehandlungsvorrichtung, wenn der Abstand zwischen den benachbarten Kassettenblöcken mit G1 bezeichnet wird und der Abstand zwischen den benachbarten Vakuumbehandlungsblöcken mit G2, die Beziehung dazwischen G1 ≥ G2.
  • Ein solcher Aufbau kann verwendet werden, da die Substrate bei der herkömmlichen Vakuumbehandlungsvorrichtung 800 einen Durchmesser d von nicht mehr als 8 Zoll haben. Bei einer Vorrichtung, mit der Substrate mit einem Durchmesser d von 12 Zoll behandelt werden, werden die Außenabmessungen der Kassette 12 größer und folglich auch die Breite W1 des Kassettenblocks, der eine Anzahl von Kassetten 12 enthält. Da die Brei te (W2 ≅ W1) des Vakuumbehandlungsblocks von der Breite W1 bestimmt wird, benötigt dann die Vakuumbehandlungsvorrichtung 800 mehr Platz. Bei einer größeren Breite W1, W2 des Kassettenblocks und des Vakuumbehandlungsblocks müssen die Türen 214, 216 größer werden, und es ist ein großer Wartungsraum erforderlich, damit die Türen 214, 216 geöffnet werden können. Wenn zum Beispiel die herkömmliche Vorrichtung für ein Substrat mit 12 Zoll ausgelegt wird, ist W1 = W2 = 150 cm, G1 = G2 = 90 cm, und der Wartungsraum zwischen den benachbarten Vakuumbehandlungsvorrichtungen 100 wird zu MS = 90 cm. Die von der Vakuumbehandlungsvorrichtung 800 in jedem der Buchtbereiche effektiv belegte Fläche erhöht sich damit beträchtlich.
  • Anhand der 10 wird ein Beispiel für die gegenseitige Beziehung der verschiedenen Arten von Elementen in der erfindungsgemäßen Vakuumbehandlungsvorrichtung beschrieben. Wie dargestellt befindet sich der Rotationsmittelpunkt 01 des Arms des Vakuum-Überführungsroboters 10 auf der rechten oder der linken Seite der Linie L-L, die die Mittelposition der Lade-Schleusenkammer 4 und der Entlade-Schleusenkammer 5 und die Mitte der Behandlungskammer 6 verbindet, das heißt, der Rotationsmittelpunkt 01 ist zum seitlichen Ende des Vakuumbehandlungsabschnitts hin verschoben. Die Nachbehandlungskammer 7 ist auf der anderen Seite der Linie L-L angeordnet. Der Rotationsbereich des Arms des Vakuum-Überführungsroboters 10 ist daher gering, und die Vakuumbehandlungsvorrichtung 100 kann dadurch in der Aufsicht L-förmig gemacht werden, daß der Vakuum-Überführungsroboter 10 in der Nähe des seitlichen Endes des Vakuumbehandlungsabschnitts angeordnet wird. Bei einem solchen Aufbau beträgt der Rotationsbereich des Arms des Vakuum-Überführungsroboters 10 nahezu die Hälfte eines ganzen Kreises. Durch die Beschränkung des Rotationsbereichs des Arms des Vakuum-Überführungsroboters 10 zum Befördern eines Wafers auf etwa einen Halbkreis kann ein Substrat 3 durch eine etwa halbkreisförmige Bewegung des Arms zwischen der Lade-Schleusenkammer 4, der Entlade-Schleusenkammer 5, der Behandlungskammer 6 und der Nachbehandlungskammer 7 hin und her bewegt werden. Wie oben angegeben, ist der Rotationsbereich des Arms des Vakuum-Überführungsroboters 10 auf etwa einen Halbkreis festgelegt, so daß die Breite W2 des Vakuumbehandlungsblocks 2 klein gemacht werden kann.
  • Bei der erfindungsgemäßen Vakuumbehandlungsvorrichtung 100 wird somit dadurch der genannte Wartungsraum klein gehalten, daß die Breite W2 des Vakuumbehandlungsblocks 2 unter Berücksichtigung der Form der verschiedenen Arten von Elementen in der Vakuumbehandlungsvorrichtung und der gegenseitigen Beziehung der verschiedenen Elemente so klein wie möglich gemacht wird, während die Breite W1 des Kassettenblocks 1 für ein Substrat mit großem Durchmesser ausgelegt ist. Dadurch kann die effektiv belegte Fläche der Vakuumbehandlungsvorrichtung 100 erhöht werden.
  • Aufgrund des Wartungsraums MS zwischen den Vakuumbehandlungsblöcken 2 gibt es kein Hindernis beim Öffnen der Türen 214 an der Seite zum Ausführen von Wartungsarbeiten. Es gibt auch genügend Platz auf der Rückseite der Vakuumbehandlungsblöcke 2 zum Öffnen der Türen 216 und zum Ausführen von Wartungsarbeiten.
  • Bei der erfindungsgemäßen Vakuumbehandlungsvorrichtung 100 kann die Positionsbeziehung zwischen dem Vakuumbehandlungsblock 2 und dem Kassettenblock 1 in Längsrichtung des Kassettenblocks geändert werden. Zum Beispiel können, wie in den 11 und 12 gezeigt, der Vakuumbehandlungsblock 2 und der Kassettenblock 1 so angeordnet werden, daß die Mittellinie des Vakuumbehandlungsblocks 2 durch die Mitte des Kassettenblocks 1 in seitlicher Richtung verläuft, der Vakuumbehandlungsblock 2 und der Kassettenblock 1 können mit anderen Worten so angeordnet werden, daß sich in der Aufsicht eine T-Form ergibt. Auch in der T-förmigen Anordnung gibt es den Wartungsraum MS zwischen den Vakuumbehandlungsblöcken 2, so daß die Türen 214 an der Seite für Wartungsarbeiten geöffnet werden können, ohne auf ein Hindernis zu stoßen.
  • Der Kassettenblock 1 und der Vakuumbehandlungsblock 2 brauchen bei der vorliegenden Erfindung in der Aufsicht nicht exakt rechteckig zu sein, es reicht aus, wenn sie nahezu rechteckig sind, solange die Beziehung (W1 + G1) – W2 = MS praktisch eingehalten wird. Die strukturellen Elemente im Kassettenblock 1 und im Vakuumbehandlungsblock 2 und die Anordnungsbeziehungen der strukturellen Elemente können sich von denen in den beschriebenen Ausführungsformen unterscheiden. Zum Beispiel befindet sich bei der in der 13 gezeigten Ausführungsform der Atmosphären-Überführungsroboter 9 des Kassettenblocks 1 zwischen der Lade-Schleusenkammer 4 und der Entlade-Schleusenkammer 5 des Vakuumbehandlungsblocks. In diesem Fall ist der Kassettenblock 1 in der Aufsicht exakt projektionsförmig und der Vakuumbehandlungsblock 2 in der Aufsicht exakt depressionsförmig, und die Vakuumbehandlungsvorrichtung 100 ist insgesamt eine Kombination aus zwei Blöcken von jeweils nahezu Rechteckform, die eine T-Form bilden. Der ausfahrbare Arm 91 ist dabei so ausgebildet, daß er die Kassette 12 und die Lade-Schleusenkammer 4 auf der Beladeseite und die Entlade-Schleusenkammer 5 auf der Entladeseite erreicht, ohne daß sich der Atmosphären-Überführungsroboter 9 auf Schienen bewegen muß, da der Atmosphären-Überführungsroboter 9 des Kassettenblocks 1 zwischen der Lade-Schleusenkammer 4 und der Entlade-Schleusenkammer 5 des Vakuumbehandlungsblocks angeordnet ist und sich die Kassette 12 auf Schienen 94 bewegen kann. Auch in diesem Fall bleibt der erwähnte Wartungsraum MS zwischen den Vakuumbehandlungsblöcken 2 erhalten.
  • Die 14 zeigt eine andere Ausführungsform einer erfindungsgemäßen Vakuumbehandlungsvorrichtung 100. Die Vakuumbehandlungsvorrichtung weist neben dem Kassettenblock 1, dem Atmosphären-Überführungsroboter 9 und der Substratkassette 12 einen Kassettenablagetisch 130 und eine Konsolenbox 132 zum Bewerten und Untersuchen von Substraten auf.
  • Die 15 zeigt eine weitere Ausführungsform einer erfindungsgemäßen Vakuumbehandlungsvorrichtung 100. Die Vakuumbehandlungsvorrichtung ist eine T-förmige Vakuumbehandlungsvorrichtung mit einem Kassettenblock 1, einem Atmosphären-Überführungsroboter 9 und einer Substratorientierungs-Ausrichteinheit 11.
  • Die 16 ist eine Aufsicht auf ein anderes Beispiel für den Buchtbereich 200. Dabei ist jeweils ein Paar von L-förmigen Vakuumbehandlungsvorrichtungen 100A, 100B gegeneinander unter Bildung eines Sets angeordnet, wobei zwischen den Sets immer eine Konsolenbox 132 vorgesehen ist. Den Abstand G1 gibt es zwar nicht, es wird jedoch (W1 + W3) – W2 = MS zum Wartungsraum, wenn die Breite der Konsolenbox 132 gleich W3 ist. Da es keinen Abstand G1 gibt, muß die Zone 201 mit den Vakuumbehandlungsblöcken 2 von der Rückseite des Buchtbereichs 200 über den Wartungsweg 210 betreten werden, wenn die Vakuumbehandlungsblöcke 2 gewartet werden sollen. Wenn die Zugriffszeit kurz sein soll, kann ein Abstand G1 zwischen der Konsolenbox 132 und dem benachbarten Kassettenblock 1 vorgesehen werden. In diesem Fall wird (W1 + W3 + G1) – W2 = MS zum Wartungsraum.
  • Die 17 ist eine Aufsicht auf einen weiteren Buchtbereich. Die Vakuumbehandlungsvorrichtung 100 ist durchgehend als einteilige Struktur mit Kassettentischen 16A für mehrere Kassettenblöcke 1 versehen, und auf einer gemeinsamen Schiene 95 auf dem durchgehenden Kassettentisch bewegt sich eine Anzahl von Atmosphären-Überführungsrobotern 9. Zwischen dem Buchtbeschicker und den Atmosphären-Überführungsrobotern 9 ist zum Befördern der Substrate zwischen den Vakuumbehandlungsblöcken 2 eine automatische Bucht-Überführungseinrichtung angeordnet. In diesem Fall entspricht der Kassettenblock 1 jedem der Vakuumbehandlungsblöcke 2, was so gesehen werden kann, als wenn eine Anzahl von nahezu rechteckigen Blöcken entsprechend den jeweiligen Vakuumbehandlungsblöcken 2 miteinander verbunden ist.
  • Die 18 ist eine Aufsicht auf den Aufbau einer Fertigungslinie. Aus der 18 ist ersichtlich, daß die erfindungsgemäßen Vakuumbehandlungsvorrichtung 100 in der Aufsicht L-förmig oder T-förmig ist und zwischen den Vakuumbehandlungsblöcken 2 auch dann ein ausreichender Wartungsraum MS erhalten bleibt, wenn der Abstand zwischen den Vakuumbehandlungsvorrichtungen 100.
  • Wenn dagegen bei der herkömmlichen rechteckigen Vakuumbehandlungsvorrichtung 800 ein ausreichender Wartungsraum erhalten bleiben soll, muß der Abstand zwischen den Vakuumbehandlungsvorrichtungen vergrößert werden. Im Ergebnis beträgt zum Beispiel die Anzahl von Vakuumbehandlungsvorrichtungen, die innerhalb der gleichen Länge der Linie angeordnet werden können, bei der herkömmlichen rechteckigen Vakuumbehandlungsvorrichtung 800 nur fünf, im Vergleich mit sieben bei der erfindungsgemäßen Vakuumbehandlungsvorrichtung 100, wie es in der 18 gezeigt ist. Der Unterschied von zwei Vakuumbehandlungsvorrichtungen ist groß, wenn die gesamte Halbleiter-Fertigungslinie betrachtet wird, und stellt einen großen Unterschied bei der Anordnung einer erforderlichen Anzahl von Vorrichtungen in einem Reinraum mit gegebenen Abmessungen dar. Hinsichtlich der Beförderung eines Substrats von einer Bucht mit einer automatischen Beförderungseinrichtung zu der Bucht mit dem nächsten Prozeß können mit der erfindungsgemäßen Vakuumbehandlungsvorrichtung sieben Prozesse in einer Bucht aus geführt werden, mit der herkömmlichen Vakuumbehandlungsvorrichtung jedoch nur fünf. Der Unterschied beeinflußt den Durchsatz in einer Halbleiter-Fertigungslinie erheblich.
  • In manchen Fallen werden zum Teil rechteckige Vakuumbehandlungsvorrichtungen 800 verwendet. Auch in einem solchen Fall kann durch die Anordnung der erfindungsgemäßen L-förmigen oder T-förmigen Vakuumbehandlungsvorrichtung 100 neben einer rechteckigen Vakuumbehandlungsvorrichtung 800 ein geeigneter Wartungsraum MS zwischen den Vakuumbehandlungsblöcken erhalten werden.
  • Die 19 ist eine Aufsicht auf den Gesamtaufbau einer Halbleiter-Fertigungslinie, in der zum Teil die erfindungsgemäße Vakuumbehandlungsvorrichtung verwendet wird. Diese Halbleiter-Fertigungslinie weist eine automatische Linienüberführungseinrichtung 204 auf und ist von einem automatischen Linientyp, bei dem die Beförderung der Substrate zwischen den einzelnen Buchten 200A bis 200N und der automatischen Linienüberführungseinrichtung 204 durch einen Arbeiter erfolgt. Auch in diesem System lassen sich die gleichen vorteilhaften Auswirkungen wie bei der 18 erhalten.
  • Die 20 ist eine Aufsicht auf den Gesamtaufbau einer weiteren Halbleiter-Fertigungslinie, in der zum Teil die erfindungsgemäße Vakuumbehandlungsvorrichtung verwendet wird. Diese Halbleiter-Fertigungslinie weist automatische Buchtüberführungseinrichtungen 202 und eine automatische Linienüberführungseinrichtung 204 auf und ist vom vollautomatischen Typ, bei dem die Beförderung der Substrate zwischen den einzelnen Buchten 200A bis 200N und der automatischen Linienüberführungseinrichtung 204 ohne einen Arbeiter erfolgt. Auch in diesem Fall kann durch Anordnen der L-förmigen oder T-förmigen erfindungsgemäßen Vakuumbehandlungsvorrichtung 100 neben der rechteckigen Vakuumbehandlungsvorrichtung 800 ein geeigneter Wartungsraum MS zwischen den Vakuumbehandlungsblöcken erhalten werden.
  • Bei den genannten Ausführungsformen befindet sich die behandelte Seite der Substrate oben und das Substrat wird in der Kassette, beim Befördern und beim Behandeln in einem horizontalen Zustand gehalten. Eine andere Stellung der Substrate ist jedoch kein Problem.
  • Wie beschrieben ist es mit der vorliegenden Erfindung möglich, eine Vakuumbehandlungsvorrichtung zu schaffen, mit der es möglich ist, auch Substrate mit großem Durchmesser zu behandeln, ohne daß die Herstellungskosten ansteigen, und die außerdem besser zu warten ist.
  • Darüberhinaus ist es möglich, eine Halbleiter-Fertigungslinie zu schaffen, die für Substrate mit großem Durchmesser ausgelegt ist, wobei ein Anstieg der Herstellungskosten dadurch vermieden wird, daß die Anzahl der installierbaren Vakuumbehandlungsvorrichtungen erhalten bleibt, wobei durch die Verwendung der erfindungsgemäßen Vakuumbehandlungsvorrichtungen in der Halbleiter-Fertigungslinie die Wartung nicht erschwert wird.

Claims (7)

  1. Vakuumbehandlungsvorrichtung zum Behandeln von Substraten in der Halbleiterfertigung, mit einem Kassettenblock (1) und einem Vakuumbehandlungsblock (2), wobei der Vakuumbehandlungsblock (2) aufweist (i) eine Anzahl von Vakuumbehandlungskammern (6, 7) zum Behandeln der Substrate in einer Weise, in der die Substrate einzeln behandelt werden, (ii) eine Lade-Schleusenkammer (4) zur Überführung der Substrate von Luft in Vakuum und eine Entlade-Schleusenkammer (5) zur Überführung der Substrate aus dem Vakuum in Luft, wobei die Lade-Schleusenkammer (4) und die Entlade-Schleusenkammer (5) jeweils ein Substrat-Halteelement für ein Substrat zur Aufnahme eines einzelnen aus dem Kassettenblock entnommenen bzw. nach der Behandlung in den Kassettenblock zurückzugebenden Substrats umfaßt, und (iii) eine Vakuum-Überführungseinrichtung (10) zum Überführen von Substraten unter Vakuum zwischen der Lade- und der Entlade-Schleusenkammer (4, 5) und den Vakuum-Behandlungskammern (6, 7), wobei sich der Kassettenblock (1) auf Atmosphärendruck befindet und aufweist (iv) einen Kassettentisch (16) für die Aufnahme einer Anzahl von Substratkassetten (12) und eine Atmosphären-Überführungseinrichtung (9) zum Überführen von Substraten bei Atmosphärendruck zwischen den Kassetten (12) auf dem Kassettentisch (16) und den Lade- und Entlade-Schleusenkammern (4, 5), wobei der Kassettenblock (1) sich an der Vorderseite der Vorrichtung befindet, sich in seitlicher Richtung des Geräts erstreckt und in der seitlichen Richtung breiter ist als der Vakuumbehandlungsblock, während sich der Vakuumbehandlungsblock (2) an der Rückseite des Kassettenblocks (1) befindet, derart, daß der Vakuumbehandlungsblock einschließlich den der Vakuum-Überführungseinrichtung (10) und den Lade- und Entlade-Schleusenkammern (4, 5) zugeordneten Vakuumbehandlungskammern zusammen mit dem Kassettenblock in der Aufsicht eine T- oder L-Form bildet.
  2. Vakuumbehandlungsvorrichtung nach Anspruch 1, wobei der Vakuumbehandlungsblock an der Seite Zugangstüren für die Wartung aufweist.
  3. Vakuumbehandlungsvorrichtung nach Anspruch 1 oder Anspruch 2, wobei der Kassettenblock (1) dafür vorgesehen ist, in einer sich seitlich erstreckenden Reihe vier Substratkassetten (12) aufzunehmen.
  4. Vakuumbehandlungsvorrichtung nach einem der Ansprüche 1 bis 3, wobei sich im Kassettenblock mindestens eine Kassette befindet und in der Aufsicht der Kassettenblock (1) und der Vakuumbehandlungsblock (2) rechtwinklig oder nahezu rechtwinklig sind und die Beziehung W1 – W2 ≥ CW erfüllt ist, wobei W1 die Breite des Kassettenblocks, W2 die Breite des Vakuumbehandlungsblocks und CW die Breite der Kassette ist.
  5. Vakuumbehandlungsvorrichtung nach einem der Ansprüche 1 bis 4, wobei der Vakuumbehandlungsblock eine Ätzbehandlungskammer zum Durchführen einer Ätzbehandlung der Substrate aufweist.
  6. Vakuumbehandlungsanlage mit einer Anzahl von nebeneinander angeordneten Vakuumbehandlungsvorrichtungen nach einem der Ansprüche 1 bis 5, wobei jede Vorrichtung einen der Kassettenblöcke (1) und einen der Vakuumbehandlungsblöcke (2) aufweist, die in der Aufsicht rechtwinklig sind, wobei die Beziehungen W1 > W2 und G1 < G2 erfüllt sind, wobei W1 die Breite des Kassettenblocks, W2 die Breite des Vakuumbehandlungsblocks, G1 der Zwischenraum zwischen benachbarten Kassettenblöcken und G2 der Zwischenraum zwischen benachbarten Vakuumbehandlungsblöcken ist, und wobei ein Zwischenraum Ms = (W1 + G1) – W2 als Wartungsraum zur Verfügung steht.
  7. Vakuumbehandlungsanlage mit einer Anzahl von nebeneinander angeordneten Vakuumbehandlungsvorrichtungen nach einem der Ansprüche 1 bis 5, wobei benachbarte Paare der Vorrichtung über Verbindungswände (120) derart verbunden sind, daß die Anlage einen ersten Raum (201A) mit Zugang zu den Kassettentischen (16) während des Betriebs aufweist, der durch die Wände (120) von einem zweiten Raum (210B) für den Wartungszugang zu den Vakuumbehandlungsblöcken (2) getrennt ist.
DE69633487T 1995-07-19 1996-07-12 Vakuumbehandlungsvorrichtung und Halbleiter-Fertigungslinie die diese verwendet Expired - Fee Related DE69633487T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP18292195 1995-07-19
JP7182921A JPH0936198A (ja) 1995-07-19 1995-07-19 真空処理装置およびそれを用いた半導体製造ライン

Publications (2)

Publication Number Publication Date
DE69633487D1 DE69633487D1 (de) 2004-11-04
DE69633487T2 true DE69633487T2 (de) 2005-11-17

Family

ID=16126714

Family Applications (3)

Application Number Title Priority Date Filing Date
DE69637878T Expired - Fee Related DE69637878D1 (de) 1995-07-19 1996-07-12 Vakuumbehandlungsanlage und Halbleiterfertigungsstrasse die diese verwendet
DE69636872T Expired - Lifetime DE69636872T2 (de) 1995-07-19 1996-07-12 Vakuumbehandlungsanlage und Halbleiterfertigungsstrasse die diese verwendet
DE69633487T Expired - Fee Related DE69633487T2 (de) 1995-07-19 1996-07-12 Vakuumbehandlungsvorrichtung und Halbleiter-Fertigungslinie die diese verwendet

Family Applications Before (2)

Application Number Title Priority Date Filing Date
DE69637878T Expired - Fee Related DE69637878D1 (de) 1995-07-19 1996-07-12 Vakuumbehandlungsanlage und Halbleiterfertigungsstrasse die diese verwendet
DE69636872T Expired - Lifetime DE69636872T2 (de) 1995-07-19 1996-07-12 Vakuumbehandlungsanlage und Halbleiterfertigungsstrasse die diese verwendet

Country Status (7)

Country Link
US (18) US5855726A (de)
EP (3) EP1119022B1 (de)
JP (1) JPH0936198A (de)
KR (2) KR100440683B1 (de)
DE (3) DE69637878D1 (de)
SG (1) SG52824A1 (de)
TW (1) TW391987B (de)

Families Citing this family (305)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5980183A (en) * 1997-04-14 1999-11-09 Asyst Technologies, Inc. Integrated intrabay buffer, delivery, and stocker system
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JPH1126541A (ja) * 1997-07-02 1999-01-29 Tokyo Electron Ltd 処理装置
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6034000A (en) * 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
KR100238251B1 (ko) * 1997-08-20 2000-01-15 윤종용 하나의 도포 및 현상을 수행하는 장치에 복수의 정렬 및 노광장치를 병렬적으로 인-라인시킨 포토리쏘그래피장치
JPH11129184A (ja) * 1997-09-01 1999-05-18 Dainippon Screen Mfg Co Ltd 基板処理装置および基板搬入搬出装置
JP2974069B2 (ja) * 1997-09-25 1999-11-08 イノテック株式会社 半導体デバイスの製造装置
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
EP1049640A4 (de) 1997-11-28 2008-03-12 Mattson Tech Inc Verfahren und anlage zur handhabung von werkstücken unter vakuum mit niedriger kontamination und hohem durchsatz
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JP3966594B2 (ja) * 1998-01-26 2007-08-29 東京エレクトロン株式会社 予備真空室およびそれを用いた真空処理装置
JP3363375B2 (ja) * 1998-03-18 2003-01-08 東京エレクトロン株式会社 基板搬送装置および基板処理装置
US6208751B1 (en) 1998-03-24 2001-03-27 Applied Materials, Inc. Cluster tool
KR100265287B1 (ko) 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6079927A (en) * 1998-04-22 2000-06-27 Varian Semiconductor Equipment Associates, Inc. Automated wafer buffer for use with wafer processing equipment
US6086362A (en) 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6213704B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
JP3665716B2 (ja) * 1998-09-28 2005-06-29 東京エレクトロン株式会社 処理システム
KR100586773B1 (ko) * 1998-09-28 2006-06-08 동경 엘렉트론 주식회사 처리시스템
TW442891B (en) 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
JP2000150618A (ja) * 1998-11-17 2000-05-30 Tokyo Electron Ltd 真空処理システム
DE19900804C2 (de) * 1999-01-12 2000-10-19 Siemens Ag Fördersystem
JP4302817B2 (ja) * 1999-05-13 2009-07-29 東京エレクトロン株式会社 真空処理システム
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
DE19952195A1 (de) * 1999-10-29 2001-05-17 Infineon Technologies Ag Anlage zur Bearbeitung von Wafern
US6298685B1 (en) 1999-11-03 2001-10-09 Applied Materials, Inc. Consecutive deposition system
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6698991B1 (en) * 2000-03-02 2004-03-02 Applied Materials, Inc. Fabrication system with extensible equipment sets
WO2001082055A1 (en) * 2000-04-25 2001-11-01 Pri Automation, Inc. Reticle management system
US20010043989A1 (en) * 2000-05-18 2001-11-22 Masami Akimoto Film forming apparatus and film forming method
US6732003B1 (en) * 2000-08-07 2004-05-04 Data I/O Corporation Feeder/programming/loader system
US6906109B2 (en) 2000-09-01 2005-06-14 Chemical Products Corp. Method for controling uniformity of colloidal silica particle size
KR20030032034A (ko) * 2000-09-15 2003-04-23 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 두 개의 이중 슬롯 로드록
AU2002227418A1 (en) * 2001-01-22 2002-08-06 Tokyo Electron Limited Vertically translatable chuck assembly and method for a plasma reactor system
US20040111339A1 (en) * 2001-04-03 2004-06-10 Asyst Technologies, Inc. Distributed control system architecture and method for a material transport system
JP4937459B2 (ja) * 2001-04-06 2012-05-23 東京エレクトロン株式会社 クラスタツールおよび搬送制御方法
JP2002319609A (ja) * 2001-04-19 2002-10-31 Hitachi Ltd 半導体集積回路装置の製造方法
KR100407568B1 (ko) * 2001-06-01 2003-12-01 삼성전자주식회사 장치설치영역 내에 지지대를 갖는 반도체 제조 장치
JP4009087B2 (ja) * 2001-07-06 2007-11-14 アプライド マテリアルズ インコーポレイテッド 半導体製造装置における磁気発生装置、半導体製造装置および磁場強度制御方法
CN1996552B (zh) * 2001-08-31 2012-09-05 克罗辛自动化公司 晶片机
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
DE60214763T2 (de) * 2001-11-29 2007-09-06 Diamond Semiconductor Group LLC., Gloucester Waferhandhabungsvorrichtung und verfahren dafür
JP2003188229A (ja) * 2001-12-18 2003-07-04 Hitachi Kasado Eng Co Ltd ウエハ製造システムおよびウエハ製造方法
US6910847B1 (en) * 2002-07-19 2005-06-28 Nanometrics Incorporated Precision polar coordinate stage
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
MXPA05007215A (es) * 2003-01-02 2005-09-12 Univ Loma Linda Med Sistema para administracion de configuracion y recuperacion para sistema de terapia con haz de protones.
US7472737B1 (en) 2003-01-15 2009-01-06 Leannoux Properties Ag L.L.C. Adjustable micro device feeder
US7010388B2 (en) * 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US8313277B2 (en) 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
US7163586B2 (en) * 2003-11-12 2007-01-16 Specialty Coating Systems, Inc. Vapor deposition apparatus
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
DE102004035335A1 (de) * 2004-07-21 2006-02-16 Schott Ag Reinraumfähige Beschichtungsanlage
DE102004035336A1 (de) * 2004-07-21 2006-02-16 Schott Ag Reinraumfähige Beschichtungsanlage
US7428958B2 (en) * 2004-11-15 2008-09-30 Nikon Corporation Substrate conveyor apparatus, substrate conveyance method and exposure apparatus
TWI447840B (zh) 2004-11-15 2014-08-01 尼康股份有限公司 基板搬運裝置、基板搬運方法以及曝光裝置
TWI278416B (en) * 2004-12-09 2007-04-11 Au Optronics Corp Cassette stocker
KR100761576B1 (ko) * 2004-12-24 2007-09-27 다이닛뽕스크린 세이조오 가부시키가이샤 기판 처리장치
JP4688533B2 (ja) * 2005-03-18 2011-05-25 大日本スクリーン製造株式会社 基板処理装置
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US20080257260A9 (en) * 2005-09-30 2008-10-23 Applied Materials, Inc. Batch wafer handling system
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7951412B2 (en) * 2006-06-07 2011-05-31 Medicinelodge Inc. Laser based metal deposition (LBMD) of antimicrobials to implant surfaces
US7833351B2 (en) * 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US20080219810A1 (en) * 2007-03-05 2008-09-11 Van Der Meulen Peter Semiconductor manufacturing process modules
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
JP4989398B2 (ja) * 2007-09-27 2012-08-01 大日本スクリーン製造株式会社 基板処理装置
US20090162170A1 (en) * 2007-12-19 2009-06-25 Asm Japan K.K. Tandem type semiconductor-processing apparatus
JP4406666B2 (ja) * 2008-02-20 2010-02-03 シャープ株式会社 真空処理装置および真空処理工場
JP5341492B2 (ja) * 2008-12-17 2013-11-13 シスメックス株式会社 検体処理システム、検体処理方法およびコンピュータプログラム
WO2010094804A1 (en) * 2009-02-22 2010-08-26 Mapper Lithography Ip B.V. Lithography machine and substrate handling arrangement
JP5680557B2 (ja) 2009-02-22 2015-03-04 マッパー・リソグラフィー・アイピー・ビー.ブイ. 荷電粒子リソグラフィ装置
US8602706B2 (en) 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
JP4766500B2 (ja) * 2009-08-26 2011-09-07 シャープ株式会社 真空処理装置、および真空処理工場
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101632518B1 (ko) 2011-11-23 2016-06-21 니혼 덴산 산쿄 가부시키가이샤 워크 반송 시스템
JP5314789B2 (ja) * 2012-06-13 2013-10-16 株式会社日立製作所 真空処理装置及び真空処理方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
WO2018050953A1 (en) * 2016-09-16 2018-03-22 Picosun Oy Apparatus and methods for atomic layer deposition
US11106145B2 (en) * 2016-10-17 2021-08-31 Nikon Corporation Exposure system and lithography system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP2018126795A (ja) * 2017-02-06 2018-08-16 セイコーエプソン株式会社 ロボットシステム
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
CN108385081B (zh) * 2018-05-04 2024-01-12 华仪行(北京)科技有限公司 一种双仓自动镀膜装置
CN108315695B (zh) * 2018-05-04 2023-11-17 苏州东福来机电科技有限公司 一种智能真空镀膜机构
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) * 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
WO2020214785A1 (en) * 2019-04-18 2020-10-22 Lam Research Corporation High density, controlled integrated circuits factory
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TWI770537B (zh) * 2020-06-23 2022-07-11 志聖工業股份有限公司 雙面曝光設備及曝光裝置
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN117157233A (zh) 2021-03-29 2023-12-01 芙蓉实业株式会社 作业单元更换系统以及作业单元更换站
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS472971U (de) 1971-01-27 1972-09-01
US4314582A (en) * 1976-03-23 1982-02-09 Mordeki Drori Combined pressure-regulator and manual shut-off valve
JPS55141570A (en) * 1979-04-18 1980-11-05 Anelva Corp Dry etching apparatus
JPS5619635A (en) * 1979-07-27 1981-02-24 Hitachi Ltd Manufacturing apparatus
DE2940064A1 (de) * 1979-10-03 1981-04-16 Leybold-Heraeus GmbH, 5000 Köln Vakuumaufdampfanlage mir einer ventilkammer, einer bedampfungskammer und einer verdampferkammer
US4341582A (en) 1980-12-22 1982-07-27 The Perkin-Elmer Corporation Load-lock vacuum chamber
US4500407A (en) * 1983-07-19 1985-02-19 Varian Associates, Inc. Disk or wafer handling and coating system
US4861222A (en) * 1984-03-09 1989-08-29 Tegal Corporation Cassette elevator for use in a modular article processing machine
JPS60246635A (ja) * 1984-05-22 1985-12-06 Anelva Corp 自動基板処理装置
JPS618153A (ja) * 1984-06-22 1986-01-14 Mitsubishi Heavy Ind Ltd スプレ−ノズル
JPS61105853A (ja) * 1984-10-30 1986-05-23 Anelva Corp オ−トロ−ダ−
US4693777A (en) * 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US5280983A (en) * 1985-01-22 1994-01-25 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US5224809A (en) * 1985-01-22 1993-07-06 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US5004924A (en) * 1985-01-28 1991-04-02 Tokyo Electron Limited Wafer transport apparatus for ion implantation apparatus
US4705951A (en) * 1986-04-17 1987-11-10 Varian Associates, Inc. Wafer processing system
US4715921A (en) * 1986-10-24 1987-12-29 General Signal Corporation Quad processor
US4670126A (en) * 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
JPS63369A (ja) 1986-06-19 1988-01-05 Nippon Shokubai Kagaku Kogyo Co Ltd 新規塗料用樹脂およびそれを含んでなる塗料用樹脂組成物
US4676884A (en) * 1986-07-23 1987-06-30 The Boc Group, Inc. Wafer processing machine with evacuated wafer transporting and storage system
NZ222903A (en) * 1986-12-17 1990-07-26 Focas Ltd Optical fibre connector: heat shrinkable sleeve
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
JPS63209702A (ja) 1987-02-25 1988-08-31 Ishikawajima Harima Heavy Ind Co Ltd 結晶缶の運転方法
JPS6464231A (en) * 1987-09-03 1989-03-10 Toshiba Corp Conveyor
JP2958005B2 (ja) 1988-01-22 1999-10-06 富士通株式会社 不等間隔データファイルのアクセス方法
KR970003907B1 (ko) * 1988-02-12 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 기판처리 장치 및 기판처리 방법
US5177514A (en) * 1988-02-12 1993-01-05 Tokyo Electron Limited Apparatus for coating a photo-resist film and/or developing it after being exposed
JPH01225321A (ja) 1988-03-04 1989-09-08 Mitsubishi Electric Corp 半導体ウエハの処理装置
US4908095A (en) * 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
JPH01305533A (ja) 1988-06-03 1989-12-08 Toshiba Corp 搬送装置
US4857160A (en) * 1988-07-25 1989-08-15 Oerlikon-Buhrle U.S.A. Inc. High vacuum processing system and method
US5024570A (en) * 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
US5017915A (en) 1988-09-19 1991-05-21 Dang Mieu Hong Method of enhancing communication setup between a communication station and a telecommunications network
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US5019233A (en) * 1988-10-31 1991-05-28 Eaton Corporation Sputtering system
US5217340A (en) * 1989-01-28 1993-06-08 Kokusai Electric Co., Ltd. Wafer transfer mechanism in vertical CVD diffusion apparatus
US5868854A (en) 1989-02-27 1999-02-09 Hitachi, Ltd. Method and apparatus for processing samples
JP2528962B2 (ja) * 1989-02-27 1996-08-28 株式会社日立製作所 試料処理方法及び装置
JPH02234095A (ja) 1989-03-08 1990-09-17 Ishikawajima Harima Heavy Ind Co Ltd 原子炉圧力容器の蒸気逃し管系の耐圧試験方法
US5110248A (en) * 1989-07-17 1992-05-05 Tokyo Electron Sagami Limited Vertical heat-treatment apparatus having a wafer transfer mechanism
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
US5254170A (en) * 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
WO1991004213A1 (en) * 1989-09-12 1991-04-04 Rapro Technology, Inc. Automated wafer transport system
JPH03154751A (ja) * 1989-11-08 1991-07-02 Hitachi Ltd 多品種搬送方法及び装置
JP2893882B2 (ja) 1990-07-11 1999-05-24 三菱電機株式会社 アクティブフィルタ装置
JPH0471692A (ja) 1990-07-12 1992-03-06 Hitachi Ltd 微生物撮像装置
JP3128229B2 (ja) 1990-07-13 2001-01-29 ソニー株式会社 液晶ディスプレイ装置
TW221318B (de) * 1990-07-31 1994-02-21 Tokyo Electron Co Ltd
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
KR100212819B1 (en) * 1990-08-29 1999-09-01 Hitachi Ltd Transferring system and vacuum treating apparatus and method thereby
US5436848A (en) * 1990-09-03 1995-07-25 Dainippon Screen Mfg. Co., Ltd. Method of and device for transporting semiconductor substrate in semiconductor processing system
JP2525284B2 (ja) * 1990-10-22 1996-08-14 ティーディーケイ株式会社 クリ―ン搬送方法及び装置
US5169272A (en) * 1990-11-01 1992-12-08 Asyst Technologies, Inc. Method and apparatus for transferring articles between two controlled environments
JP2595132B2 (ja) * 1990-11-26 1997-03-26 株式会社日立製作所 真空処理装置
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH04247632A (ja) 1991-02-01 1992-09-03 Fujitsu Ltd 半導体装置
JPH05275511A (ja) * 1991-03-01 1993-10-22 Tokyo Electron Ltd 被処理体の移載システム及び処理装置
JPH04298060A (ja) * 1991-03-26 1992-10-21 Tokyo Electron Ltd ウエハの位置合わせ装置
JP2986121B2 (ja) * 1991-03-26 1999-12-06 東京エレクトロン株式会社 ロードロック装置及び真空処理装置
JPH04298059A (ja) 1991-03-27 1992-10-21 Hitachi Ltd 真空処理装置
US5271732A (en) * 1991-04-03 1993-12-21 Tokyo Electron Sagami Kabushiki Kaisha Heat-treating apparatus
US5326316A (en) * 1991-04-17 1994-07-05 Matsushita Electric Industrial Co., Ltd. Coupling type clean space apparatus
JPH0521466A (ja) 1991-07-16 1993-01-29 Nec Kansai Ltd 電界効果型トランジスタの製造方法
JP3309997B2 (ja) * 1991-09-05 2002-07-29 株式会社日立製作所 複合処理装置
US5215420A (en) * 1991-09-20 1993-06-01 Intevac, Inc. Substrate handling and processing system
JPH05136245A (ja) 1991-11-08 1993-06-01 Tokyo Electron Ltd 半導体製造装置
US5256204A (en) * 1991-12-13 1993-10-26 United Microelectronics Corporation Single semiconductor water transfer method and manufacturing system
JP2751975B2 (ja) * 1991-12-20 1998-05-18 株式会社日立製作所 半導体処理装置のロードロック室
US5468111A (en) * 1992-01-22 1995-11-21 Seagate Technology, Inc. Disc loading and unloading assembly
JP2867194B2 (ja) * 1992-02-05 1999-03-08 東京エレクトロン株式会社 処理装置及び処理方法
JPH0630369A (ja) * 1992-02-06 1994-02-04 Nec Corp 遅延画像データ出力ビデオカメラ
JPH05218176A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
US5376212A (en) * 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
JP3030160B2 (ja) * 1992-04-28 2000-04-10 東京エレクトロン株式会社 真空処理装置
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
JP3046678B2 (ja) 1992-07-15 2000-05-29 松下電工株式会社 2段駐車装置
JPH0653304A (ja) 1992-07-29 1994-02-25 Tokyo Electron Ltd 減圧処理装置
US5558482A (en) * 1992-07-29 1996-09-24 Tokyo Electron Limited Multi-chamber system
JP3139155B2 (ja) * 1992-07-29 2001-02-26 東京エレクトロン株式会社 真空処理装置
JPH0697080A (ja) * 1992-09-10 1994-04-08 Mitsubishi Electric Corp 化学気相成長装置用反応室および該反応室を用いた化学気相成長装置
JP3350107B2 (ja) 1992-09-17 2002-11-25 株式会社日立製作所 枚葉式真空処理装置
JP2655975B2 (ja) * 1992-09-18 1997-09-24 三菱マテリアル株式会社 ウェーハ研磨装置
JP3172331B2 (ja) * 1993-04-28 2001-06-04 東京エレクトロン株式会社 真空処理装置
JP3151582B2 (ja) * 1993-04-28 2001-04-03 東京エレクトロン株式会社 真空処理装置
JPH06155697A (ja) 1992-11-19 1994-06-03 Toppan Printing Co Ltd カタログ類等の文字割付装置
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
ES2090893T3 (es) * 1993-01-28 1996-10-16 Applied Materials Inc Aparato de tratamiento en vacio que tiene una capacidad de produccion mejorada.
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3258748B2 (ja) * 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
JPH06236914A (ja) * 1993-02-09 1994-08-23 Mitsubishi Electric Corp 半導体製造設備
JP3332982B2 (ja) * 1993-03-19 2002-10-07 東京エレクトロン株式会社 基板処理システムおよびキャリア搬送装置
US5527390A (en) * 1993-03-19 1996-06-18 Tokyo Electron Kabushiki Treatment system including a plurality of treatment apparatus
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
KR100267617B1 (ko) * 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
US5466117A (en) * 1993-06-10 1995-11-14 Xilinx, Inc. Device and method for programming multiple arrays of semiconductor devices
JP2969034B2 (ja) * 1993-06-18 1999-11-02 東京エレクトロン株式会社 搬送方法および搬送装置
JPH0722490A (ja) * 1993-06-30 1995-01-24 Mitsubishi Electric Corp ロット自動編成装置及び方法
TW264601B (de) 1993-09-17 1995-12-01 Hitachi Seisakusyo Kk
US5570990A (en) * 1993-11-05 1996-11-05 Asyst Technologies, Inc. Human guided mobile loader stocker
US5851602A (en) * 1993-12-09 1998-12-22 Applied Materials, Inc. Deposition of high quality conformal silicon oxide thin films for the manufacture of thin film transistors
DE69403890T2 (de) * 1994-01-14 1998-01-08 Ibm Zusammenbau-/Ausbau-Einrichtung für abdichtbaren unter Druck stehenden Transportbehälter
JP3264076B2 (ja) * 1994-01-31 2002-03-11 松下電器産業株式会社 真空処理装置
US5645419A (en) * 1994-03-29 1997-07-08 Tokyo Electron Kabushiki Kaisha Heat treatment method and device
US5563798A (en) * 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5826129A (en) * 1994-06-30 1998-10-20 Tokyo Electron Limited Substrate processing system
TW295677B (de) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JP3453223B2 (ja) * 1994-08-19 2003-10-06 東京エレクトロン株式会社 処理装置
JPH0864732A (ja) 1994-08-26 1996-03-08 Mitsubishi Electric Corp 半導体集積回路装置
KR0152324B1 (ko) 1994-12-06 1998-12-01 양승택 웨이퍼 측면파지 이송 반도체 제조장치
TW315504B (de) * 1995-03-20 1997-09-11 Tokyo Electron Co Ltd
JPH08288355A (ja) * 1995-04-12 1996-11-01 Nikon Corp 基板搬送装置
US5672239A (en) * 1995-05-10 1997-09-30 Tegal Corporation Integrated semiconductor wafer processing system
TW319751B (de) * 1995-05-18 1997-11-11 Toshiba Co Ltd
JP3347528B2 (ja) * 1995-05-23 2002-11-20 キヤノン株式会社 半導体製造装置
TW309503B (de) * 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
US5653565A (en) * 1995-07-05 1997-08-05 Asyst Technologies, Inc. SMIF port interface adaptor
US5664925A (en) * 1995-07-06 1997-09-09 Brooks Automation, Inc. Batchloader for load lock
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6672819B1 (en) * 1995-07-19 2004-01-06 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
JP3658110B2 (ja) * 1995-11-27 2005-06-08 キヤノン株式会社 画像表示装置のための製造方法及び製造装置
US5830322A (en) * 1996-02-13 1998-11-03 Thermo Fibertek Inc. Velocity induced drainage method and unit
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5900105A (en) * 1996-07-09 1999-05-04 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6714832B1 (en) * 1996-09-11 2004-03-30 Hitachi, Ltd. Operating method of vacuum processing system and vacuum processing system
TW466622B (en) * 1996-09-11 2001-12-01 Hitachi Ltd Operating method of vacuum processing device and vacuum processing device
JP3788533B2 (ja) * 1996-09-30 2006-06-21 東京エレクトロン株式会社 研磨装置および研磨方法
JPH10107122A (ja) * 1996-10-01 1998-04-24 Tokyo Electron Ltd 被処理基板カセットの搬入装置
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5905302A (en) * 1996-11-18 1999-05-18 Applied Materials, Inc. Loadlock cassette with wafer support rails
US5810395A (en) * 1996-12-30 1998-09-22 Morgan; Dale C. Method for recording and tracking the progress of activities
US6257827B1 (en) * 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
US6270306B1 (en) * 1998-01-14 2001-08-07 Applied Materials, Inc. Wafer aligner in center of front end frame of vacuum system
US6057662A (en) * 1998-02-25 2000-05-02 Applied Materials, Inc. Single motor control for substrate handler in processing system
JP4674705B2 (ja) * 1998-10-27 2011-04-20 東京エレクトロン株式会社 搬送システムの搬送位置合わせ方法及び搬送システム
WO2000028587A1 (fr) * 1998-11-09 2000-05-18 Tokyo Electron Limited Dispositif de traitement
TW442891B (en) * 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
JP2001127044A (ja) * 1999-10-29 2001-05-11 Hitachi Ltd 真空処理装置および真空処理システム
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6698991B1 (en) * 2000-03-02 2004-03-02 Applied Materials, Inc. Fabrication system with extensible equipment sets
US6506009B1 (en) * 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
JP4021125B2 (ja) * 2000-06-02 2007-12-12 東京エレクトロン株式会社 ウェハ移載装置の装置ユニット接続時に用いられるレールの真直性保持装置
JP4915033B2 (ja) * 2000-06-15 2012-04-11 株式会社ニコン 露光装置、基板処理装置及びリソグラフィシステム、並びにデバイス製造方法
JP2002026108A (ja) * 2000-07-12 2002-01-25 Tokyo Electron Ltd 被処理体の移載機構、処理システム及び移載機構の使用方法
US6568896B2 (en) * 2001-03-21 2003-05-27 Applied Materials, Inc. Transfer chamber with side wall port
JP4937459B2 (ja) * 2001-04-06 2012-05-23 東京エレクトロン株式会社 クラスタツールおよび搬送制御方法
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US6752585B2 (en) * 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
US6672864B2 (en) * 2001-08-31 2004-01-06 Applied Materials, Inc. Method and apparatus for processing substrates in a system having high and low pressure areas
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
JP2003264214A (ja) * 2002-03-07 2003-09-19 Hitachi High-Technologies Corp 真空処理装置及び真空処理方法
JP4254116B2 (ja) * 2002-03-22 2009-04-15 東京エレクトロン株式会社 位置合わせ用基板
US7204669B2 (en) * 2002-07-17 2007-04-17 Applied Materials, Inc. Semiconductor substrate damage protection system
US6696367B1 (en) * 2002-09-27 2004-02-24 Asm America, Inc. System for the improved handling of wafers within a process tool
CN1711369B (zh) * 2002-11-15 2011-07-13 欧瑞康日光特吕巴赫股份公司 用于真空处理两维加长基片的装置及加工这种基片的方法
KR100562500B1 (ko) * 2003-02-25 2006-03-21 삼성전자주식회사 기판 이송 시스템 및 기판 이송 방법
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US7226269B2 (en) * 2004-01-15 2007-06-05 Applied Materials, Inc. Substrate edge grip apparatus
KR100558558B1 (ko) * 2004-01-26 2006-03-10 삼성전자주식회사 멀티챔버 프로세스장치
JP4128973B2 (ja) * 2004-03-30 2008-07-30 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理方法

Also Published As

Publication number Publication date
KR100440683B1 (ko) 2004-10-22
US20020068982A1 (en) 2002-06-06
DE69636872T2 (de) 2007-09-20
US6519504B1 (en) 2003-02-11
US20020099469A1 (en) 2002-07-25
US20010025207A1 (en) 2001-09-27
EP1143488B1 (de) 2009-03-18
EP1119022A3 (de) 2003-10-15
TW391987B (en) 2000-06-01
US7347656B2 (en) 2008-03-25
US20090220322A1 (en) 2009-09-03
DE69633487D1 (de) 2004-11-04
SG52824A1 (en) 1998-09-28
US20040197169A1 (en) 2004-10-07
US6188935B1 (en) 2001-02-13
EP1143488A2 (de) 2001-10-10
US6752580B2 (en) 2004-06-22
DE69636872D1 (de) 2007-03-15
US5855726A (en) 1999-01-05
KR970008334A (ko) 1997-02-24
US20050175435A1 (en) 2005-08-11
US20080138180A1 (en) 2008-06-12
US20020091465A1 (en) 2002-07-11
EP0756316B1 (de) 2004-09-29
US20010025204A1 (en) 2001-09-27
US7201551B2 (en) 2007-04-10
EP1143488A3 (de) 2003-10-29
EP0756316A1 (de) 1997-01-29
EP1119022A2 (de) 2001-07-25
DE69637878D1 (de) 2009-04-30
US6962472B2 (en) 2005-11-08
US20020082744A1 (en) 2002-06-27
JPH0936198A (ja) 1997-02-07
US6752579B2 (en) 2004-06-22
US6253117B1 (en) 2001-06-26
KR100453276B1 (ko) 2004-10-15
US6526330B2 (en) 2003-02-25
US20020062166A1 (en) 2002-05-23
US20040118005A1 (en) 2004-06-24
US20020061244A1 (en) 2002-05-23
US6430469B2 (en) 2002-08-06
US6895685B2 (en) 2005-05-24
US20020062165A1 (en) 2002-05-23
EP1119022B1 (de) 2007-01-24

Similar Documents

Publication Publication Date Title
DE69633487T2 (de) Vakuumbehandlungsvorrichtung und Halbleiter-Fertigungslinie die diese verwendet
DE69133535T2 (de) Arbeitsverfahren für Vakuumbehandlungsvorrichtung
DE69830905T2 (de) Vorrichtung zur behandlung von einzelnen halbleiterscheiben mit mehreren schleusenkammern und verfahren zum beladen und entladen
DE19900804C2 (de) Fördersystem
DE19906805B4 (de) Vorrichtung und Verfahren zum Transportieren von zu bearbeitenden Substraten
DE60214763T2 (de) Waferhandhabungsvorrichtung und verfahren dafür
DE69837718T2 (de) Integrierter pufferraum, abgabe- und lagersystem
DE60100575T2 (de) Vorrichtung zum Speichern und Bewegen einer Kassette
DE2644055A1 (de) Geschlossenes system fuer den transport von halbleiterscheiben mittels eines gasfoermigen transportmediums zu und von bearbeitungsstationen
DE19781822B4 (de) Reinigungsstation zur Verwendung bei einem System zum Reinigen, Spülen und Trocknen von Halbleiterscheiben
DE102007035836B4 (de) Zweidimensionale Transferstation, die als Schnittstelle zwischen einer Prozessanlage und einem Transportsystem dient, und Verfahren zum Betreiben der Station
DE10128904A1 (de) Substrat-Verarbeitungsvorrichtung
DE60037492T2 (de) Verbessertes Halbleiterherstellungssystem
EP1177570A1 (de) Einrichtung zum handhaben von substraten innerhalb und ausserhalb eines reinstarbeitsraumes
EP3916764B1 (de) Substratbearbeitungsanlage
WO2000059003A2 (de) Anlage zur fertigung von halbleiterprodukten
US6705828B2 (en) Vacuum processing apparatus and semiconductor manufacturing line using the same
DE10053232A1 (de) Substrat-Zuführungsmodul und System aus Substrat-Zuführungsmodul und Arbeitsstation
WO2002063659A1 (de) Vorrichtung zum behandeln von substraten
DE60128073T2 (de) Substratreinigungssystem
EP1284011A1 (de) Vorrichtung und verfahren zum behandeln, lagern und beschicken von trägern für scheibenförmige gegenstände
DD296968A5 (de) Einrichtung zur beschichtung von substraten durch hochratezerstaeubern

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee