DE69636286T2 - Plasmaunterstützter chemischer reaktor und verfahren - Google Patents

Plasmaunterstützter chemischer reaktor und verfahren Download PDF

Info

Publication number
DE69636286T2
DE69636286T2 DE69636286T DE69636286T DE69636286T2 DE 69636286 T2 DE69636286 T2 DE 69636286T2 DE 69636286 T DE69636286 T DE 69636286T DE 69636286 T DE69636286 T DE 69636286T DE 69636286 T2 DE69636286 T2 DE 69636286T2
Authority
DE
Germany
Prior art keywords
plasma
wafer
chamber
reactor
treatment chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69636286T
Other languages
English (en)
Other versions
DE69636286D1 (de
Inventor
Ron Sunnyvale VAN OS
J. William Capitola DURBIN
H. Richard Scotts Valley MATTHIESEN
C. Dennis Felton FENSKE
D. Eric Santa Cruz ROSS
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of DE69636286D1 publication Critical patent/DE69636286D1/de
Application granted granted Critical
Publication of DE69636286T2 publication Critical patent/DE69636286T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating

Description

  • KURZBESCHREIBUNG DER ERFINDUNG
  • Diese Erfindung bezieht sich auf einen Reaktor und ein Verfahren zur Behandlung von integrierten Halbleiterschaltungen. Insbesondere bezieht sich die Erfindung auf einen plasmaverstärkten Reaktor und ein Verfahren, das in der Lage ist, Behandlungsvorgänge auszuführen, zu denen das Abscheiden von gleichförmigen Filmen oder Schichten auf der Oberfläche von integrierten Schaltungen durch plasmaverstärkte chemische Gasphasenabscheidung (PECVD), die Filmrückätzung, die Reaktorselbstreinigung sowie gleichzeitige Ätz- und Ablegevorgänge gehören.
  • HINTERGRUND DER ERFINDUNG
  • Die Herstellung von Halbleiterwafern und anderen integrierten Schaltungen (IC) schließt kritische Fertigungsschritte ein, wie das Ätzen von Waferoberflächen und das Ablegen von Materialschichten auf Waferoberflächen zur Bildung von Bauelementen, Verbindungsleitungen, Dielektrika, Isoliersperren und dergleichen. Zum Ablegen von Materialschichten und dergleichen auf der Oberfläche von integrierten Schaltungen werden verschiedene Systeme verwendet, und häufig werden solche Schichten durch die chemische Gasphasenabscheidung (CVD) (Chemical Vapor Deposition) gebildet. Ein herkömmlicher thermischer CVD-Prozess legt eine stabile chemische Verbindung auf der Oberfläche eines Wafers durch thermische Reaktion von bestimmten gasförmigen Chemikalien ab. Beim Stand der Technik wurden verschiedene CVD-Reaktoren eingesetzt, zu denen Niederdruck-CVD-Systeme und Atmosphärendruck-CVD-Systeme gehören.
  • Neuerdings hat man plasmaverstärkte (manchmal auch plasmagestützt genannt) CVD-Systeme (PEDVD) entwickelt. Die PECVD (Plasma Enhaced Chemical Vapor Deposition)-Systeme sind im Allgemeinen durch Dissoziation und Ionisation von gasförmigen Chemikalien wirksam. Die dem Plasma zugeordneten hohen Elektronentemperaturen erhöhen die Dichte der dissoziierten Spezies, die zur Abscheidung auf der Waferoberfläche verfügbar sind. Somit sind solche Systeme in der Lage, bei niedrigeren Temperaturen als herkömmliche thermische CVD-Systeme zu wirken. Solche Prozesse mit niedrigerer Temperatur sind erwünscht und minimieren die Diffusion von flachen Verbindungen sowie die Interdiffusion von Metallen, die in den integrierten Schaltungen enthalten sind. Darüber hinaus sind PECVD-Systeme zur Ausbildung von mehreren dielektrischen Schichten geeignet, die zum Isolieren gestapelter Bauelementstrukturen eingesetzt werden, wenn die Dichten der Bauelemente zunehmen. Bei der Ausbildung solcher mehrschichtiger dielektrischer Lagen möchte man eine Lage mit guter Spaltfüllung, guter Isolation, guten Beanspruchungs- und Stufenabdeckeigenschaften vorsehen. Es wird immer schwieriger, diese Eigenschaften zu erreichen, wenn die Bauelementabmessungen schrumpfen.
  • Bei PECVD-Systemen arbeitet der Reaktor gewöhnlich bei niedrigen Drucken während der Behandlung der Halbleiter. Solche niedrigen Drucke ergeben spezielle Betrachtungen der Gasströmungsdynamik, denen man sich zuwenden muss. Bei niedrigen Drucken ist die Kollisionsrate der aktiven Spezies relativ niedrig, und die mittlere freie Weglänge der Spezies ist relativ lang. Deshalb möchte man einen Reaktor bereitstellen, der in der Lage ist, einen gleichförmigen gesteuerten Gasstrom in der Behandlungskammer quer über dem Wafer und zum Auslass hin zu haben, wodurch eine gleichförmige Behandlung des Wafers erreicht wird. Darüber hinaus können andere Betriebsdrucke für verschiedene Prozesse verwendet werden, so dass der Reaktor in der Lage sein soll, über einem großen Druckbereich zu arbeiten.
  • Das Reinigen des Reaktors spielt eine wesentliche Rolle bei der effektiven Funktion eines Systems. Die hochreaktiven Spezies scheiden sich an den Wänden der Kammer, auf den Funktionsbauelementen sowie auf der Oberfläche des Substrats ab. Solche Abscheidungen beeinträchtigen die Funktionsweise des Systems, können die Plasmapotenziale innerhalb des Systems beeinflussen, und sind eine ernsthafte Quelle für Teilchen, die zur Verunreinigung des abgeschiedenen Films führen können. Deshalb ist es vorteilhaft, eine Reaktorkonstruktion bereitzustellen, die zur Selbstreinigung in der Lage ist.
  • Die JP 63-292625 von Sumitomo Metal Ind Limited beschreibt einen PECVD-Reaktor mit zwei Gaseinlässen zur Abscheidung von Material auf einem Wafer.
  • Die JP 61-064124 der Anelva Corp beschreibt einen CVD-Reaktor, der zwei Gaseinlässe und einen einzigen Verteiler hat, der sich nahe an dem Waferhalter befindet.
  • Die JP 06-280000 der Japan Steelworks Limited beschreibt einen PECVD-Reaktor, der zwei Gaseinlässe und einen einzigen Gasverteiler hat, der sich nahe an dem Waferträger befindet.
  • Die US 4,990,229 von Campbell beschreibt einen PECVD-Reaktor mit zwei Gaseinlässen.
  • ZIELE UND ZUSAMMENFASSUNG DER ERFINDUNG
  • Es ist ein Ziel dieser Erfindung, einen Reaktor zur Behandlung von Halbleiterwafern und integrierten Schaltungen bereitzustellen.
  • Insbesondere ist es ein Ziel dieser Erfindung, einen verbesserten Reaktor zur Behandlung von Wafern durch Abscheiden von Filmen oder Schichten auf der Oberfläche solcher Wafer durch plasmaverstärkte chemische Gasphasenabscheidung (PECVD) bereitzustellen.
  • Ein weiteres Ziel dieser Erfindung besteht darin, einen Reaktor bereitzustellen, der in der Lage ist, seine Funktion über einem breiten Druckbereich auszuüben.
  • Ein weiteres Ziel dieser Erfindung besteht darin, einen Reaktor bereitzustellen, der in der Lage ist, gewünschte Filme abzuscheiden und gleichzeitig solche Filme zu ätzen.
  • Ein anderes Ziel der Erfindung ist die Bereitstellung eines Reaktors, der zur Selbstreinigung in der Lage ist.
  • Ein verwandtes Ziel dieser Erfindung ist die Bereitstellung eines Reaktors, der die Qualität der auf Wafern abgeschiedenen Filme verbessert.
  • Diese und andere Ziele werden durch den Reaktor nach Anspruch 1 erreicht, der insgesamt eine Plasmakammer aufweist, die mit einer Behandlungskammer in Verbindung steht. Die Plasmakammer hat einen ersten Gaseinspritzverteiler zur Aufnahme von wenigstens einem ersten Gas sowie eine Quelle für elektromagnetische Energie, die das Gas zur Bildung eines Plasmas anregt. Die Behandlungskammer hat einen Waferträger zum Halten eines zu behandelnden Wafers sowie einen zweiten Gasverteiler, der den Waferträger umgibt und reaktive Gase zu dem Waferträger leitet. Das in der Plasmakammer erzeugte Plasma erstreckt sich in die Behandlungskammer und interagiert mit den reaktiven Gasen zur Abscheidung einer Materialschicht auf dem Wafer. Mit der Behandlungskammer steht ein Vakuumsystem zum Entleeren des Reaktors in Verbindung.
  • Zur Erfindung gehört auch ein Verfahren nach Anspruch 20 zum Betreiben eines Reaktors, der eine Plasmakammer und eine Prozesskammer aufweist, wobei in der Prozesskammer ein Waferträger angeordnet ist, wobei das Verfahren die Schritte aufweist, ein Plasma innerhalb der Plasmakammer zu erzeugen, wenigstens eine gasförmige Chemikalie in die Behandlungskammer in der Nähe des Waferträgers einzuführen und einen HF-Gradienten anzulegen, um eine Diffusion des Plasmas zu dem Bereich in nächster Nähe des Waferhalters zu induzieren, wodurch das Plasma und die gasförmige Chemikalie in der Nähe des Waferhalters zur Bildung einer Materialschicht auf der Oberfläche des Wafers interagieren.
  • KURZBESCHREIBUNG DER ZEICHNUNGEN
  • Weitere Ziele und Vorteile der Erfindung werden beim Lesen der ins Einzelne gehenden Beschreibung der Erfindung und der beiliegenden, nachstehend angegebenen Ansprüche sowie unter Bezug auf die Zeichnungen ersichtlich, in denen
  • 1 eine teilweise aufgebrochene Gruppenansicht des Reaktors nach einer Ausführungsform der Erfindung ist,
  • 2 eine vergrößerte, teilweise aufgebrochene Schnittansicht der Plasmakammer und der Prozesskammer des in 1 gezeigten Reaktors ist,
  • 3a einen Querschnitt durch einen ersten Gaseinspritzverteiler nach einer Ausführungsform der Erfindung ist,
  • 3b eine Unteransicht des ersten Gaseinspritzverteilers ist,
  • 3c eine vergrößerte Schnittansicht der Löcher in dem Verteiler von 3a ist,
  • 4 eine teilweise aufgebrochene Stirnansicht einer Ausführungsform eines zweiten Gaseinspritzverteilers nach der vorliegenden Erfindung ist,
  • 5a eine Draufsicht ist, die den Substratträger zeigt, der in dem Reaktor angeordnet ist,
  • 5b teilweise aufgebrochen eine alternative Ausführungsform des Substrathalters zeigt, der in dem Reaktor nach der Erfindung angeordnet ist,
  • 6 eine Schnittansicht des Reaktors nach der Erfindung ist und den Strom der Gase in dem System ansprechend auf die Anordnung der Pumpe auf der Achse zeigt,
  • 7 eine vergrößerte Seitenansicht ist, die den Substratträger und eine Schlittenanordnung nach der Erfindung zeigt,
  • 8 eine vereinfachte Blockdarstellung eines PECVD-Systems mit einer Vielzahl von Reaktoren gemäß einer alternativen Ausgestaltung der Erfindung ist,
  • 9 die Zerstäubungsrate als Funktion der Vorspannleistung des Substratträgers darstellt,
  • 10a und 10b Schnittansichten der Oberflächentopographie von Halbleiterwafern sind, die in dem Reaktor der Erfindung behandelt wurden, und
  • 11 die Abscheiderate pro Silanfluss als Funktion der angelegten HF-Vorspannung zeigt.
  • Ins Einzelne gehende Beschreibung der Erfindung
  • A. Übersicht
  • In den Zeichnungen, in denen gleiche Bauteile mit dem gleichen Bezugszeichen in den Figuren bezeichnet sind, stellen 1 und 2 eine Ausführungsform des Reaktors nach dieser Erfindung dar. 1 veranschaulicht eine Gruppenansicht der Erfindung, bei welcher der Reaktor 10 insgesamt eine Plasmaanordnung 11 und eine Plasmakammer 16 hat. Die Plasmaanordnung hat eine Quelle 12 für die Plasmaerzeugung, deren Innenraum eine Plasmakammer 18 bildet, während ein erster Gaseinspritzverteiler 15 die Oberseite der Kammer bildet. Der erste Verteiler 15 fördert wenigstens eine gasförmige Chemikalie in die Plasmakammer 18. Die Plasmaanordnung 11 ist funktionsmäßig an der Behandlungskammer 16 befestigt. Die Behandlungskammer 16 hat insgesamt einen zweiten Gaseinspritzverteiler 17, der an der Prozesskammer 16 für den Empfang von wenigstens einer zweiten gasförmigen Chemikalie über Gasförderleitungen (nicht gezeigt) angebracht ist. Vorzugsweise ist der Gaseinspritzverteiler 17 nahe an der Oberseite der Kammer 16 angebracht, wobei eine äußere Umfangsfläche längs der Wand der Behandlungskammer 16 angeordnet ist und somit einen fortlaufenden Ring bildet. Weiterhin ist in der Kammer 16 ein horizontaler Waferträger 20 (auf den häufig auch als "Einspannfutter" Bezug genommen ist) zum Halten eines Wafers 24 angeordnet. Vorzugsweise ist der Waferträger 20 an der Kammer 16 durch ein Armelement 21 befestigt, so dass der Waferträger 20 in der Behandlungskammer 16 aufgehängt ist. Auf dem Waferträger 20 ist ein Wafer 24 angeordnet, wobei die Oberfläche des Wafers 24 nach oben weist. Der Waferträger 20 kann durch Anlegen von HF-Energie aus einem Generator 23 über ein Anpassungsnetzwerk 22 unter Vorspannung gesetzt werden.
  • Zum Entleeren des Reaktors 10 ist ein Vakuumsystem vorgesehen. Mit der Behandlungskammer 16 ist durch einen Kanal 25 eine Vakuumpumpe 26 funktionsmäßig verbunden. Die Vakuumpumpe 26 ist vorzugsweise im Wesentlichen axial zu der Behandlungskanmer 16 ausgerichtet (worauf als "auf Achse befindliche Pumpe" Bezug genommen wird), was eine verbesserte Strömungssteuerung der Gase und des Plasmas in dem Reaktor 10 ergibt. Wie nachstehend im Einzelnen erörtert wird, bilden der aufgehängte Waferträger 20 und die auf Achse gesetzte Pumpe ein System zur gleichförmigen Gasverteilung, das so ausgelegt ist, dass ein symmetrischer Strom von Gasen in dem Reaktor 10 erzeugt und insbesondere eine gleichförmige Abscheidung und/oder Ätzung über dem Wafer 24 begünstigt wird.
  • Der Reaktor nach der Erfindung ist zur Ausführung verschiedener Behandlungsvorgänge ausgelegt, zu denen die Abscheidung, die Filmrückätzung, die Reaktorselbstreinigung sowie gleichzeitige Ätz- und Abscheidungsschritte gehören. Bei einer beispielsweisen Ausgestaltung des Abscheidungsvorgangs werden Silan und eine Mischung aus Sauerstoff und Argon in die Behandlungskammer 16 über den zweiten Gaseinspritzverteiler 17 befördert. Während des Abscheidungsvorgangs kann sich der erste Gaseinspritzverteiler nicht in Funktion befinden, so dass bei dieser Ausgestaltung Sauerstoff- und Argonmoleküle in die Plasmakammer 18 aus der Behandlungskammer 16 wandern, in die sie ursprünglich eingespritzt wurden, und in der Plasmakammer 18 ionisiert werden. Alternativ kann der erste Gaseinspritzverteiler 15 in Funktion sein, so dass Argon und Sauerstoff in die Plasmakammer über den ersten Gasverteiler 15 befördert werden. Weiterhin können bei einer wiederum anderen Ausgestaltung Sau erstoff und Argon durch sowohl den ersten Gaseinspritzverteiler 15 als auch den zweiten Gaseinspritzverteiler 17 befördert werden.
  • Während eines Selbstreinigungsvorgangs des Reaktors wird eine Chemikalie, wie CF4, C2F4 oder NH3, in die Plasmakammer über den ersten Gaseinspritzverteiler 15 eingespritzt, wodurch die Gase ionisiert werden und dann durch den Reaktor 10 strömen, um unerwünschte Abscheidungen an den Oberflächen der Kammern 16 und 18 sowie zugehörige Komponenten zu entfernen. Alternativ können die Reinigungschemikalien in den Reaktor über den zweiten Gaseinspritzverteiler 17 eingespritzt oder sowohl an dem ersten Gaseinspritzverteiler 15 als auch von dem zweiten Gaseinspritzverteiler 17 befördert werden. Darüber hinaus ist der Reaktor für das Anlegen einer HF- und Gleichstrom-Vorspannung ausgelegt, die an dem Waferträger induziert wird, um einen Filmrückätzvorgang sowie einen gleichzeitigen Ätzabscheidungsvorgang herbeizuführen. Der Reaktor und die Verfahren werden nachstehend im Einzelnen beschrieben.
  • B. Plasmakammer
  • Die Plasmakammer 11 lässt sich besser im Einzelnen anhand von 2 verstehen. Die Plasmaanordnung 11 hat eine Quelle 12 für elektromagnetische Energie, auf die üblicherweise als "Plasmaquelle" Bezug genommen wird, um ein Plasma innerhalb der Plasmakammer 18 zu erzeugen. Vorzugsweise ist die Plasmaquelle 12 von der Art, die beim Stand der Technik als induktiv gekoppeltes Plasma (ICP) klassifiziert ist. Bei der in 2 gezeigten bevorzugten Ausführungsform ist die Plasmaquelle 12 zylindrisch und hat eine wendelförmige Spule 13 aus Metall und eine geschlitzte Spule 13 aus Metall und eine geschlitzte elektrostatische Abschirmung 19 aus einem nichtmagnetischen Material, wobei die Abschirmung 19 insgesamt in der Spule 13 angeordnet ist. Die Spule 13 und die Abschirmung 19 sind in einer Ummantelung mit einer Innenwand 27 und einer Außenwand 28 aufgenommen. Vorzugsweise besteht die Innenwand 27 aus einem Isoliermaterial mit geringem Verlust, wie Quarz oder Keramik, während die Außenwand aus Metall bestehen kann. In der Plasmakammer 18, die in der Plasmaquelle 12 ausgebildet ist, wird Plasma erzeugt. Diese bevorzugte Ausgestaltung der Plasmaquelle 12 ist ausführlicher in dem US-Patent 5,234,529 beschrieben, das hier durch Referenz eingeschlossen ist.
  • In der Abschirmung 19 ist eine Vielzahl von sich in Längsrichtung erstreckenden und am Umfang beabstandeten Schlitzen 33 ausgebildet. Die Abschirmung 19 wird dazu verwendet, kapazitive elektrische Felder zu entkoppeln. Die Abschirmung 19 verringert die kapazitive Koppelung zwischen der Spule 13 und der Plasmakammer 18, wo das Plasma erzeugt wird. Bei einer Ausgestaltung wird versucht, dass die Plasmaquelle 12 und die Abschirmung 19 alle kapazitiven Komponenten vollständig abschirmen. Vorzugsweise ist die Abschirmung geerdet. Kapazitiv gekoppelte Felder koppeln mit dem Plasma äußerst wirksam und erzeugen große und insgesamt nicht steuerbare HF-Plasmapotenziale. Auf ein solches Plasma wird als ein "heißes Plasma" Bezug genommen. Das heiße Plasma hat sehr hohe Plasmateilchenenergien, insbesondere hohe Elektronentemperaturen (Te). Das sich ergebende hohe Plasmapotenzial beschädigt den Reaktor, indem es Teilchen mit hoher Energie an den Kammerwänden und andere Bauelemente des Reaktors angreift. Dies verringert die Lebensdauer des Reaktors und erzeugt eine Verunreinigung durch Metallteilchen, die häufig in den abgeschiedenen Filmen endet und dadurch den Wafer zerstört. Darüber hinaus kann das hohe Plasmapotenzial den in Behandlung befindlichen Wafer nachteilig beeinflussen. Durch Verwenden der Abschirmung 19 wird die kapazitive Koppelung auf eine gewünschte Größe reduziert, und durch Ändern der Schlitzöffnungen 33 in der Abschirmung 19 kann die Stärke der kapazitiven Koppelung abhängig von dem Einsatz variiert haben. Beispielsweise kann während des Reinigungsvorgangs, bei welchem der Reaktor 10 gereinigt wird, um eine unerwünschte Materialablagerung auf den Oberflächen des Reaktors 10 zu entfernen, eine stärkere kapazitive Koppelung verwendet werden, wodurch ein Plasma mit höherer Energie erzeugt wird, um eine schnelle Reinigung zu begünstigen.
  • Zur Erzeugung des Plasmas wird gemäß einer Ausführungsform der Erfindung wenigstens ein Gas zu der Plasmakammer 18 durch den ersten Gaseinspritzverteiler 15 geliefert. Die HF-Energie 14 wird in die Plasmaquelle 12 durch Spulen 13 geleitet, die um die Plasmakammer 18 angeordnet sind, wodurch die Gase in der Plasmakammer 18 erregt und in den Plasmazustand gebracht werden. In dem Plasmazustand ist ein großer Prozentsatz der eingeführten gasförmigen Moleküle zur Bildung reaktiver Spezies einschließlich ionisierter Atome dissoziiert. Vorzugsweise wird eine Ionendichte von mehr als 1011 Ionen/cm3 erreicht, worauf als hochdichtes Plasma (HDP) Bezug genommen wird. Bevorzugt ist, dass die Frequenz der HF-Energie 13,56 MHz beträgt, was eine kommerzielle Standardfrequenz ist. Der Generator 14 arbeitet gewöhnlich bei einer Standardimpedanz von 50 Ohm, während ein an sich bekanntes Anpassungsnetzwerk 14a eine wirksame Einkoppelung der HF-Energie in die Plasmaquelle 12 erlaubt. Alternativ wird Gas in die Behandlungskammer 16 über den zweiten Gaseinspritzverteiler 17 befördert, wodurch das Gas in die Plasmakammer 18 wandert und in den Plasmazustand erregt wird, wie es gerade vorstehend beschrieben wurde.
  • Wieder zurückkommend auf 2 ist der erste Gasverteiler 15 an der Plasmaanordnung montiert gezeigt. Eine weitere Einzelheit ergibt sich aus 3a, wo ein Querschnitt des Verteilers 15 gezeigt ist. Bei dieser Ausgestaltung ist der erste Gasverteiler 15 im Wesentlichen kreisförmig und an der inneren Umfangsfläche der Plasmaquellenanordnung 12 befestigt. Der Verteiler 15 hat eine Vielzahl von Gaseinlasskanälen 32a und 32b, die in der Verteilerbasis 30 ausgebildet ist. Zum Fördern von gasförmigen Materialien in den Verteiler 15 sind Gaslieferleitungen (nicht gezeigt) an jeden der Gaseinlasskanäle über Gaszuführanschlüsse 31a und 31b verbunden. Bei dieser Ausgestaltung sind zwei Gaseinlasskanäle gezeigt, es können jedoch auch zusätzliche Gaseinlasskanäle oder nur ein Gaseinlasskanal verwendet werden.
  • Die Gaseinlasskanäle 32a und 32b führen einzeln zu konzentrischen, sich am Umfang erstreckenden Kammern 34a und 34b. Die Kammern erstrecken sich durch die Verteilerbasis 30 und sind von einer Platte 37 umschlossen, die an der Verteilerbasis 30 angebracht ist. In jeder Kammer 34a und 34b ist eine Vielzahl von Löchern 36 angeordnet, die in die Abdeckplatte 37 gebohrt sind und die sich am Umfang jeder Kammer erstrecken. Bei einer Ausführungsform ist die Vielzahl von Löchern 36 insgesamt am Boden jeder Kammer 34a und 34b angeordnet und erstreckt sich vertikal durch die Abdeckplatte 37. Alternativ können die Löcher 36 mit einem Winkel durch die Abdeckplatte 37 gebohrt werden. Die Ausgestaltung der Löcher 36 wird so gewählt, dass ein optimale Gaseinspritzung in die Plasmakammer 18 erreicht wird und die Anzahl, Größe, Form und Abstand der Löcher variieren kann. Es können jedoch auch konzentrische Lochreihen in die Abdeckplatte 37 gebohrt werden, die sich am Umfang jeder Kammer erstrecken.
  • 3b zeigt eine Unteransicht eines ersten Gaseinspritzverteilers 15. Wie in der vorliegenden Ausführungsform gezeigt ist, bilden die Löcher 36 insgesamt konzentrische Kreise im Boden des ersten Gaseinspritzverteilers 15. Vorzugsweise besteht die Vielzahl von Löchern, die der inneren Kammer 34b zugeordnet sind, aus fünf Löchern, während die Vielzahl von Löchern, die der äußeren Kammer 34a zugeordnet sind, aus zehn Löchern besteht. 3c ist eine vergrößerte Ansicht, die die bevorzugte Form des Lochs 36 zeigt.
  • Somit fördern bei der vorliegenden Ausführungsform Gaslieferleitungen gasförmige Chemikalien zum Verteiler 15 über zwei Gaszuführanschlüsse 31a und 31b. Jedes Gas wird direkt durch den Verteiler 15 über Kanäle 32a und 32b zu den kreisförmigen Kammern 34a und 34b befördert, wobei die Gase aus dem Verteiler 15 durch eine Vielzahl von Löchern 36, die jeder Kammer zugeordnet sind, in die Plasmakammer 18 austreten.
  • Der erste Gasverteiler 15 verwendet ein Kühlsystem zum Kühlen des Verteilers 15 während des Betriebs des Reaktors 10. Durch den Verteiler 15 wird ein Kühlmedium, wie Wasser, umgewälzt, um eine im Wesentlichen gleichförmige Kühlung zu erhalten. Die Aufrechterhaltung einer gleichförmigen Temperatur während des Betriebs ist wesentlich, da die an der Oberfläche des Wafers 24 erfolgende Reaktion temperaturabhängig ist. Darüber hinaus kann ein Defekt bei der Aufrechterhaltung einer konstanten Temperatur zur Flockenbildung von Niederschlägen an den Kammerwänden und zugehörigen Bauelementen führen, wodurch Teilchen in dem System erzeugt werden.
  • Bei der vorliegenden Ausführungsform wird das Kühlmedium durch den Kühlmittelbeschickungsanschluss 38 zu einer Vielzahl von Kanälen 42 geliefert. Die Kanäle 42 erstrecken sich durch den Verteiler und sind von einer Abdeckplatte 32 eingeschlossen, die an der Verteilerbasis 30 angebracht ist. Die Kanäle 42 erstrecken sich quer über die Verteilerbasis 30, wie es in 3b gezeigt ist. Bei Modifizierungen der Erfindung kann das Kühlsystem anders gestaltet sein.
  • In der Mitte des Gaseinspritzverteilers 15 ist ein Sichtglas 39 in geeigneter Weise angeordnet, um eine optische Trennfläche zu erhalten, damit man die Plasmaentladung sehen kann. Vorzugsweise ist das Sichtglas kreisförmig und besteht aus Saphir, der dem Angriff von Plasma und Chemikalien widersteht. Darüber hinaus ermöglicht das Sichtglas 39 einen Sehstrahlzugang zu der Waferebene, um eine Ferndiagnose verwenden zu können, beispielsweise ein Laserinterferometer (sichtbar) zur Beobachtung des Filmwachstums und ein Laserinterferometer (IR) zur Beobachtung der Wafertemperatur.
  • Vorzugsweise hat der Verteiler 15 eine glatte ebene Oberfläche, um die Teilchenabscheidung auf ihn zu minimieren. Bei dieser Ausführungsform ist der Verteiler 15 aus Aluminium hergestellt und hat eine glattpolierte Oberfläche.
  • C. Behandlungskammer
  • sZur Behandlung von Halbleiterwafern und anderen ICs hat der Reaktor 10 eine Behandlungskammer 16, die an einer Plasmaanordnung 11 angebracht ist und mit ihr in Verbindung steht. In 1 und 2 ist der innere Aufbau der Behandlungskammer 16 weiter im Einzelnen gezeigt. Die Behandlungskammer 16 ist vorzugsweise zylindrisch und besteht aus einem Material, wie Aluminium. Die Behandlungskammer 16 hat vorzugsweise Einrichtungen zum Umlaufenlassen eines Kühlmediums, wie Wasser, wobei solche Einrichtungen in den Wänden der Behandlungskammer 16 ausgebildet oder alternativ an der Außenseite der Behandlungskammer 16 angeordnet sind, um die Behandlungskammer 16 auf einer konstanten Temperatur zu halten. In der Behandlungskammer 16 ist ein zweiter Gaseinspritzverteiler 17 angeordnet, der sich längs der Oberfläche der Kammer unter Bildung eines Rings erstreckt. In der Behandlungskammer 16 ist ferner ein Waferträger 20 angeordnet, der einen zu behandelnden Wafer 24 hält. Vorzugsweise ist der Waferträger 20 im Wesentlichen zur Achse der Behandlungskammer 16 ausgerichtet, so dass der zweite Gasverteiler 17 den Waferträger 20 umschließt. In einer Seitenwand der Behandlungskammer 16 ist ein Ventil (nicht gezeigt), beispielsweise ein Schieberventil, angeordnet, um einen Zugang zu dem Inneren der Kammer 16 für den Transport des Wafers 24 zum Waferträger 20 hin und von ihm weg zu ermöglichen. Unter dem Waferträger 20 und im Wesentlichen axial zur Achse der Behandlungskammer 16 ausgerichtet sind eine Pumpe 26 und ein Trennventil 25 angeordnet.
  • Der zweite Gaseinspritzverteiler 17 ist speziell in 4 gezeigt. Der zweite Gaseinspritzverteiler 17 ist weiterhin im Einzelnen in der anhängigen Anmeldung Ser. No. 08/499,861 (WO 97/03223) beschrieben. Insgesamt hat der Verteiler 17 ein Kammergehäuse 40, das an der Behandlungskammer 16 anbringbar ist, einen austauschbaren Düsenaufbau 70, der lösbar an dem Kammergehäuse 40 angebracht ist, und wenigstens eine Kammer, die für die Aufnahme einer gasförmigen Chemikalie ausgebildet ist. Das Kammergehäuse ist mit wenigstens einer Leitung versehen, die mit der Kammer verbunden ist, um die gasförmige Chemikalie zur Kammer zu befördern. Der Düsenaufbau 70 hat eine Vielzahl von Düsen 44a, 44b, die mit der Kammer verbunden und für das Einspritzen der gasförmigen Substanz aus der Kammer in die Behandlungskammer ausgestaltet ist. Bei der vorliegenden Ausführung hat der erste Gasverteiler 17 eine Ringform mit einer äußeren Umfangsfläche, die an der Wand der Behandlungskammer 16 angebracht ist, wobei jedoch auch andere Ausgestaltungen innerhalb des Rahmens der Erfindung liegen.
  • Wie in 4 gezeigt ist, hat bei der bevorzugten Ausführungsform des Verteilers 17 das Kammergehäuse 40 zwei parallele, sich am Umfang erstreckende Kanäle 46 und 48, die in dem Kammergehäuse 40 ausgebildet sind. Die Kanäle 46 und 48 begrenzen teilweise ein Paar von Räumen für die getrennte Aufnahme der gasförmigen Chemikalien, die bei der Behandlung des Wafers Verwendung finden. Die Kanäle 46 und 48 sind jeweils mit einer Gasquelle 50 und 52 (nicht gezeigt) durch Leitungen 52 und 56 über Zuführleitungen 58 und 60 (nicht gezeigt) verbunden. Die Zuführleitungen 58 und 60 erstrecken sich vertikal zur Kreuzung mit den Leitungen 54 und 56, worauf als "Bodenbeschickung" der Gase Bezug genommen wird. Bei einer alternativen Ausgestaltung können die Zuführleitungen 58 und 60 so gestaltet sein, dass sie sich horizontal durch die Wand der Behandlungskammer 16 als "Seitenbeschickung" erstrecken.
  • Vorzugsweise ist eine Prallplatte 62, die mit einer Vielzahl von Öffnungen (nicht gezeigt) zu versehen ist, in jedem Kanal 46 und 48 in bekannter Weise angebracht. Die Prallplatten 62 unterbrechen den Gasstrom aus den Leitungen 54 und 56 zu den Düsen 44a und 44b angrenzend an die Düsen, um das Gas zu zerstreuen und den Strom des Gases um den Umfang des Kammergehäuses 40 herum gleichförmiger zu verteilen. Die Ausgestaltung der Prallplatten 62 wird so gewählt, dass man eine optimale Verteilung der Gase erhält, und unterliegt einer beträchtlichen Variation. Darüber hinaus können, falls erwünscht; die Prallplatten 62 weggelassen werden.
  • Der Düsenaufbau 70 ist entfernbar an dem Kammergehäuse 40 angebracht, das die die Räume umschließenden Kanäle 46 und 48 abdeckt. Der Düsenaufbau 70 hat eine Vielzahl von ersten Düsen 44a, die im Wesentlichen fluchtend zu dem Kanal 46 ausgerichtet sind, sowie eine Vielzahl von zweiten Düsen 44b, die fluchtend zu dem Kanal 48 ausgerichtet sind, um die gasförmigen Substanzen, die in den Räumen in der Behandlungskammer 16 gehalten werden, einzublasen. Die Größe, die Form, der Abstand, der Winkel und die Ausrichtung der Düsen können sich merklich ändern. Die Düsen 44a und 44b sind vorzugsweise so gestaltet, dass sie die an der Oberfläche des Wafers 24 gebildeten Schichten mit einem im Wesentlichen flachen Profil versehen.
  • Während der Reaktor 10 arbeitet, und insbesondere während der PECVD-Behandlung des Wafers 24, ist der Düsenaufbau 70 dem Plasma ausgesetzt. Der Gaseinspritzverteiler 17 ist vorzugsweise geerdet, es sei denn, dass der Düsenaufbau 70 aus einem dielektrischen Material hergestellt ist.
  • Der Verteiler 17 ist von besonderem Vorteil bei der CVD-Behandlung verstärkt durch Plasma hoher Dichte aufgrund der Effekte auf dem Gasstrom durch Faktoren, wie die hohe Dichte des Plasmas, der niedrige Druck des Reaktors 10 von weniger als 3 bis 4 mTorr, verglichen mit mehr als 100 mTorr bei herkömmlichen plasmaverstärkten Systemen, und der relativ hohen Elektronentemperatur Te. Aufgrund des geringeren Kammerdrucks ist die mittlere freie Weglänge groß und führt zu einer schnellen Dispersion der gasförmigen Chemikalie weg vom Einspritzpunkt (d.h. dem Auslass des zweiten Gaseinspritzverteilers 17), so dass die unmittelbare Nähe des Verteilers 17 zur Oberfläche des Wafers 24 den effizienten Einsatz von Chemikalien ermöglicht und eine gleichförmige Gasverteilung über der Waferebene begünstigt.
  • Wie vorstehend erwähnt, ist in der Behandlungskanmer 16 ein Waferträger 20 zum Befestigen des Wafers 24 während der Behandlung angebracht. Der Waferträger 20 ist allgemein unten stehend beschrieben; weitere Einzelheiten ergeben sich jedoch aus der anhängigen Anmeldung Ser. No. 08/500,480 (WO 97/03495). Gemäß 2, 5b und 7 hat der Waferträger 20 insgesamt ein Trägergehäuse 50 mit einer Trägerfläche 52 zum Halten eines Wafers 24, eine Spannungsquelle 74, die mit dem Trägergehäuse für eine elektrostatische Koppelung des Wafers mit der Trägerfläche gekoppelt ist, und ein Kühlsystem 78 zum Kühlen des Wafers. Das Kühlsystem hat eine Vielzahl von Gasverteilungsnuten (nicht gezeigt), die in der Trägerfläche 52 für ein gleichförmiges Verteilen einer gasförmigen Substanz zwischen dem Wafer 24 und der Trägerfläche 52 ausgebildet sind. Das Kühlsystem hat einen Drosselmechanismus (nicht gezeigt) in der Leitung zwischen der Gasquelle und den Gasverteilungsnuten, um eine katastrophale Trennung des Wafers 24 von der Tragfläche 52 im Wesentlichen dann zu verhindern, wenn ein Teil des Wafers von der Tragfläche 52 getrennt wird. An der Behandlungskammer 16 ist wenigstens ein Armelement 21 anbringbar, das sich von dem Trägergehäuse 50 aus erstreckt, wobei das Trägergehäuse 50 und das Armelement 21 vom Boden der Behandlungskammer 16 getrennt sind. Gemäß 7 ist die vorliegende Ausführungsform des Armelements 21 an einer Schlittenanordnung 86 angebracht, die ihrerseits durch eine Platte 29 lösbar an der Behandlungskammer 16 festgelegt ist.
  • Der Wafer 24 wird von der Trägerfläche 52 durch eine Hubanordnung (nicht gezeigt) abgesenkt oder angehoben. Die Hubanordnung hat eine Vielzahl von Hubstiften 84, die sich durch Öffnungen erstrecken, die in der Trägerfläche 52 und einer Elektrodenanordnung (nicht gezeigt) ausgebildet sind. Die Hubstifte 84 sind zwischen einer ausgefahrenen Position, in der die Stifte den Wafer 24 über der Trägerfläche 52 halten, und einer eingezogenen Position bewegbar.
  • Der Waferträger 20 verwendet ein Kühlsystem zum Kühlen des Wafers während der Behandlung. Zwischen der Trägerfläche 52 und dem Wafer 24 wird eine gasförmige Substanz, wie Helium, Argon, Sauerstoff, Wasserstoff und dergleichen verteilt, um eine im Wesentlichen gleichförmige Kühlung quer über den gesamten Wafer 24 bereitzustellen. Das Halten des gesamten Wafers auf einer gleichförmigen Temperatur während der Behandlung verbessert die Gleichförmigkeit der auf der Waferoberfläche ausgebildeten Schichten beträchtlich.
  • Bei der vorliegenden Ausgestaltung ist der Waferträger 20 insbesondere für den Einsatz bei einer PECVD-Behandlung ausgelegt. Die Elektrodenanordnung (nicht gezeigt) weist Einrichtungen zum Anlegen einer HF-Vorspannung an dem Trägergehäuse 50 auf. Die Elektrodenanordnung hat ein Paar von elektrischen Anschlüssen (nicht gezeigt), die innere und äußere Elektroden jeweils mit einer HF-Quelle 23 und einem Anpassnetzwerk 22 verbinden. Das Anlegen einer HF-Vorspannung an der Trägerfläche 52 erhöht das Schwebepotenzial des Plasmas in dem lokalen Bereich der Trägerfläche 52. Die Eigenvorspannung, die durch Anlegen der HF-Vorspannung an die Trägerfläche 52 induziert wird, beschleunigt Ionen, die in die Plasmaschicht in dem Bereich des Waferträgers 20 und zu dem Wafer 24 hin diffundieren. Dies erhöht die Zerstäubungsätzung, die bei der Bildung von hohlraumfreien Schichten aus Material auf der Oberfläche des Wafers 24 erwünscht ist.
  • Die Frequenz der HF-Vorspannung, die an den Waferträger 20 angelegt wird, liegt im Bereich von 1 bis 60 MHz. Vorzugsweise unterscheidet sich die HF-Frequenz der Plasmaquelle 12 von der des Waferträgers 20, um die Frequenzschwebung zu minimieren. Vorzugsweise liegt die HF-Frequenz, die an den Waferträger 20 angelegt wird, bei etwa 3,39 MHz, während die Plasmaquelle 12 bei etwa 13,56 MHz arbeitet.
  • Während der Behandlung ist der Wafer 24 auf der Trägerfläche 52 positioniert, und insbesondere auf Hubstiften 54 mittels einer beim Stand der Technik bekannten Transportvorrichtung (nicht gezeigt) platziert. An die wenigstens eine Elektrode des Waferträgers 20 ist eine Gleichspannung angelegt, um den Wafer an die Trägerfläche 52 elektrostatisch anzuziehen und daran festzuhalten. Nach der Behandlung des Wafers 24 wird die Elektrode im Wesentlichen geerdet, um die elektrostatische Ladung für die Freigabe des Wafers 24 von der Trägerfläche 52 ausreichend zu deaktivieren. Vorzugsweise hat das Trägergehäuse 50 zwei Elektroden, wobei an die eine Elektrode eine positive Spannung und an die andere Elektrode eine negative Spannung angelegt wird. Wenn der Wafer 24 aus der Behandlungskammer 16 entfernt ist, wird vorzugsweise die Polarität der Elektroden für den nächsten Wafer umgekehrt.
  • Die besondere Anbringung des Waferträgers 20 an der Behandlungskammer 16 ist von besonderem Vorteil bei der Behandlung des Wafers 24 im Wesentlichen aufgrund der Begünstigung der symmetrischen Gasströmung. Gemäß 2 erhält wenigstens ein Armelement 21 den Waferträger 20 an der Behandlungskammer 16, so dass der Waferträger 20 bezüglich der Behandlungskammer 16 aufgehängt ist. Das Aufhängen des Waferträgers 20 derart, dass er vom Boden der Behandlungskammer 16 im Gegensatz zu bekannten Systemen entfernt ist, bietet eine verbesserte Strömungssteuerung während der Behandlung und eine erhöhte Flexibilität in der Auslegung des Gesamtreaktors 10. Bei der bevorzugten Ausgestaltung ist die Vakuumsystempumpe 26 im Wesentlichen fluchtend zu der Behandlungskammer 16 ausgerichtet, wodurch die Aufstandsfläche des Reaktors 10 minimiert und der Wirkungsgrad der Pumpe während des Betriebs verbessert wird.
  • In 5a und 5b sind zwei Ausführungsformen eines Waferträgers 20 gezeigt, der in der Behandlungskammer 16 angebracht ist. Vorzugsweise werden zwei Armelemente 21a und 21b, wie in 5b gezeigt, verwendet, die sich zu einer Wand der Behandlungskammer 16 hin erstrecken. Natürlich können jedoch die Anzahl der Armelemente 21 und ihre Position, wo sie an der Behandlungskammer 16 befestigt sind, variieren.
  • Jedes der Armelemente 21a und 21b ist mit einer sich in Längsrichtung erstreckenden Bohrung 60 versehen, wie es in 5b gezeigt ist. Die Bohrungen und das Armelement 21a bilden eine Leitung von dem Trägergehäuse 50 für die elektrischen Anschlüsse 62 und 64, die die Elektroden des Waferträgers 20 an die Spannungsquelle 74 ankoppeln. Weiterhin koppeln die elektrischen Anschlüsse 66 und 68 die HF-Quelle an die Elektroden. Die Gasquelle 76 und die Fluidquelle 78 für die Elektrodenanordnung sind mit dem Trägerkörper 50 über Leitungen 72 bzw. 73 verbunden, die sich durch die Bohrung 60 des Armelements 21b erstrecken. Alternativ zeigt 5a die Verwendung eines Armelements 21, das an der Wand der Behandlungskammer 16 angebracht ist, wodurch sich die Fluidquelle 78, die Gasquelle 76, die Gleichstromquelle 74 und die HF-Quelle 23 sowie ihre jeweiligen Anschlüsse durch die Bohrung des Armelements 21 zum Waferträger 20 erstrecken.
  • An der Behandlungskammer 16 ist zum Entleeren des Reaktors 10 ein Vakuumsystem funktionsmäßig befestigt. Gemäß 1 hat das Vakuumsystem eine Pumpe 26 und vorzugsweise ein Vakuumtrennventil 25, das unter dem Waferträger 20 und dem Boden der Behandlungskammer 16 angeordnet ist. Vorzugsweise sind die Pumpe 26 und das Ventil 25 im Wesentlichen axial fluchtend zu der Behandlungskammer 16 ausgerichtet. Ein solches Pumpe "auf Achse" nach der Erfindung ist von besonderem Vorteil und begünstigt das symmetrische Strömen der Gase in dem Reaktor 10. Die Pumpe 26 und das Ventil 25 sind bekanntermaßen bevorzugt eine Turbopumpe bzw. ein Schiebeventil.
  • Ein beträchtlicher Vorteil der Erfindung ist die symmetrische Strömung der Gase in dem Reaktor, der mit der Auslegung nach der Erfindung versehen ist, und die entsprechende Reduzierung der Behinderung der Symmetrie der Pumpenströmung in dem Bereich nahe am Wafer 24. Gemäß 6 ist die symmetrische Strömung in dem Reaktor 10 durch Strömungslinien dargestellt.
  • Bei dem hier beschriebenen Reaktor nach der Erfindung bilden die Anordnung des an der Seite angebrachten Substratträgers 20 und das Pumpen auf Achse ein gleichförmiges Gasverteilungssystem, das so ausgelegt ist, dass sich eine symmetrische Strömung der Gase innerhalb des Reaktors 10 ergibt und insbesondere eine gleichförmige Abscheidung und/oder Ätzung über dem Wafer 24 begünstigt wird.
  • 8 zeigt eine alternative Ausgestaltung der Erfindung, bei welcher eine Vielzahl von Reaktoren 10a bis 10d durch ein übliches bekanntes Transportmodul 75 für die Behandlung einer Vielzahl von Wafern verbunden ist. Jeder Reaktor 10a, 10b, 10c und 10d kann einen gesonderten Behandlungsschritt ausführen, oder es kann der gleiche Behandlungsschritt in jedem Reaktor ausgeführt werden.
  • D. Reaktorbetrieb
  • Um die Ausdehnung des Plasmas in die Behandlungskammer 16 zu begünstigen, hat der erfindungsgemäße Reaktor einen Potenzialgradienten, der eine Diffusion des Plasmas verur sacht. Das Plasma wird nahe an der Spule 13 erzeugt und diffundiert nach außen in jede Richtung. Gemäß 3a hat der erste Gaseinspritzverteiler eine Oberfläche 41, die dahingehend wirkt, dass das Plasma auf das Spannungspotenzial bezogen wird. Zum Leiten des Plasmas wird der erste Gaseinspritzverteiler 15 vorzugsweise geerdet, was das Plasma zur Erzeugung einer leicht positiven Ladung an der Oberfläche 41 des Verteilers 15 (d.h. das Plasmapotenzial) bewegt. Alternativ kann der erste Gaseinspritzverteiler 15 auf irgendeinem Potenzial anstatt auf Masse gehalten werden. Somit ist das Plasma auf ein spezielles Potenzial in dem lokalen Bereich der Oberfläche 41 bezogen. Das Plasma erstreckt sich in die Plasmakammer 16, und eine ambipolare Diffusion des Plasmas ersetzt jeden Verlust von geladenen Teilchen in der Behandlungskammer 16, wofür eine stetige Zufuhr von geladenen Teilchen in dem Bereich gesorgt ist, wo die Chemie abläuft, d.h. an dem Waferträger 20. Darüber hinaus ist das erzeugte Plasma ein "kaltes Plasma", d.h. das Plasmapotenzial ist niedrig. Somit ist das Potenzial der Wände sehr niedrig, so dass es weniger wahrscheinlich ist, dass das Plasma die Wände der Kammer erodiert, was die Metallverunreinigung minimiert. Das Plasma ist im Wesentlichen aufgrund der elektrostatischen Abschirmung 19 kalt, die den Hauptionisierungsmechanismus dazu zwingt, dass er induktiv ist.
  • Beim Anlegen der HF-Vorspannung wird an dem Waferträger 20 und dem Wafer 24 eine Eigenvorspannung induziert. Die Steuerung der Eigenvorspannung kann durch Inbetrachtziehen des Verhältnisses der Fläche des HF-Vorspannungs-Stromrückführwegs und der Fläche des Wafers beeinflusst werden. Bei einer Ausführungsform beschleunigt die Eigenvorspannung während des Ablegebetriebs Ionen aus der Plasmaschicht in dem Reaktor zur Oberfläche des Wafers 24. Die Ionenzerstäubung ätzt die Materialschicht, wenn sie abgelegt wird, wodurch die Ablage eines hohlraumfreien, dichten guten Qualitätsfilms verbessert wird. Die HF-Vorspannung, die an den Waferträger angelegt wird, kann von 75 bis 400 V variieren und vorzugsweise bei etwa 300 V für eine HF-Vorspannungsleistung von 1700 W liegen.
  • Man möchte die Vorspannungsfrequenz so wählen, dass eine Interferenz mit der Frequenz der Plasmaquelle 12 (d.h. Intermodulation) minimiert wird, jedoch die Frequenz ausreichend hoch ist, damit die Induktion der Gleichstromeigenvorspannung des Wafers möglich ist und damit eine solche Vorspannung ohne übermäßige Leistungsanforderungen erreicht wird. Insgesamt erzeugen die niedrigeren Frequenzen größere induzierte Spannungen auf Kosten einer Oberwelle oben auf der induzierten Spannung. Die Zerstäubungsätzrate an der Oberfläche des Wafers 24 ist proportional zu der induzierten Vorspannung. Ein akzeptabler Kompromiss findet sich bei Frequenzen, die größer als 2 MHz und kleiner als oder gleich 13,56 MHz sind. Die bevorzugte Ausführungsform verwendet eine HF-Vorspannfrequenz, die an den Waferträger 20 angelegt wird, von 3,39 MHz, deren erste Harmonische mit einer ISM-Frequenz (was für das Instrumenten-, wissenschaftliche und medizinische Frequenzband steht) von 6,78 der Federal Communications Commission (FCC) zusammenfällt und sich ausreichend von der HF-Frequenz der Plasmaquelle 12 unterscheidet, um eine Intermodulation zu verhindern und dadurch Steuersysteminstabilitäten zu minimieren.
  • Die Abhängigkeit der Zerstäubungsätzrate von der Vorspannungsfrequenz ist in 9 gezeigt. Auf dem Waferträger 20 wird ein Wafer 24 mit einer Oxidschicht angeordnet. Der Druck des Reaktors 10 beträgt etwa 1,8 mTorr, und es wird Argongas mit etwa 100 sccm in die Prozesskammer 16 eingeführt. Es werden zwei unterschiedliche Vorspannfrequenzen von 3,39 MHz und 13,56 MHz angelegt und die Zerstäubungsätzrate als Funktion der Vorspannungsleistung aufgetragen, die an den Waferträger 20 für die beiden Frequenzen angelegt wird.
  • In dem Reaktor 10 sind zirkulierende HF-Energiefelder vorhanden und sind von besonderer Bedeutung, wenn sie sich nahe bei dem Wafer 24 in der Behandlungskammer 16 befinden. Ein spezieller Vorteil der Erfindung ist die Funktion des zweiten Gaseinspritzverteilers 17 als HF-Stromrückführweg für HF-Ströme, die durch das Vorspannen des Waferträgers mit HF-Energie erzeugt werden. Ein wesentlicher Betrag der zirkulierenden HF-Ströme findet einen Rückkehrweg durch den Verteiler 17. Gemäß 4 ist der zweite Gaseinspritzverteiler 17 durch zusammenpassende Flächen 80 und 81 gut geerdet, die vorzugsweise mit einem geeigneten Material, wie Nickel, plattiert sind, um den gegenseitigen Metallflächenkontakt zwischen dem Plasmagehäuse 40 und dem Düsenabschnitt 70 zu verbessern. Die anschließenden Oberflächen des Metalls sind so ausgelegt, dass sie einen Kontakt mit niedriger Impedanz begünstigen, wobei ein spezielles Dichtungsmaterial, beispielsweise ein bekanntes Spiralschild, verwendet wird. Der Verteiler 17 ist mit Masse verbunden, und die zusammenpassenden Flächen 80 und 81 bilden den Rückführweg für die HF-Energie, die erzeugt wird, wenn die HF-Vorspannung an den Waferträger 20 angelegt ist. Die HF-Ströme verlaufen längs der Oberflächen, nicht durch die Masse des Metalls. Dementsprechend wird das Dichtungsmaterial nahe an den Metallberührungsflächen angeordnet. Darüber hinaus ist die Platzierung des Verteilers 17 in der Behandlungskammer 16 wichtig. Der Verteiler 17 wird in unmittelbarer Nähe zum Waferträger 20 verglichen mit der unmittelbaren Nähe der Plasmaquelle 12 und des ersten Gaseinspritzverteilers 15 an dem Waferträger 20 angeordnet. Die zirkulierenden HF-Ströme treffen insgesamt auf den zweiten Gaseinspritzsammler 17 und werden entfernt, bevor sie auf andere Komponenten treffen. In dem Fall, in welchem die HF-Ströme durch die Plasmaquelle 12 im Gegensatz zur vorliegenden Erfindung zurückkehren, kann die Resonanz der Plasmaquelle 12 nachteilig beeinflusst werden. Wie vorstehend beschrieben, sind auch die Frequenzen ausreichend verschieden, um zu vermeiden, dass dies eintritt.
  • Der Reaktor 10 der Erfindung ist besonders für einen stabilen, im Wesentlichen wiederholbaren Betrieb geeignet, indem für eine Trennung der HF-Ströme und des Plasmapotenzials der Quelle 10 und des ersten Verteilers 15 von dem Waferträger 20 gesorgt wird. Eine solche Trennung ermöglicht, dass das Plasmapotenzial an der Fläche 41 des ersten Gasverteilers 15 genau bestimmt und aufrechterhalten wird. Ohne ein genau bestimmtes Plasmapotenzial kann sich das System von Tag zu Tag abhängig von der Größe des Plasmakontakts mit der Fläche 41 des ersten Gasverteilers 15 ändern, was dazu führt, dass das System driftet und die Wiederholbarkeit des Abscheideprozesses nachteilig beeinflusst. Wesentlich ist zu vermerken, dass sich die mechanische Ausgestaltung des zweiten Gasverteilers 17 beträchtlich ändern kann, während die gleiche HF-Rückführfunktion, wie oben beschrieben, erreicht wird, und dass alle solchen mechanischen Änderungen innerhalb des Rahmens der Erfindung liegen.
  • Wie vorstehend erwähnt, liegt ein besonderer Vorteil der Erfindung in der symmetrischen Strömung der Gase in dem Reaktor, die durch die erfindungsgemäße Konstruktion und die auf Achse befindliche Pumpe insbesondere vorgesehen wird, was einer Reduzierung der Interferenz mit der Symmetrie des Pumpenstroms in dem Bereich nahe an dem Wafer 24 entspricht. Gemäß 6 wird die symmetrische Strömung in dem Reaktor 10 durch Strömungslinien dargestellt und zeigt einen erwünschten gleichförmigen radialen Strom auf der Waferebene. Bei niedrigen Drucken ist die mittlere freie Weglänge des Gases relativ groß, so dass es weniger Kollisionen zwischen den Molekülen gibt. Die Gasdichte soll jedoch äußerst gleichförmig in dem Bereich in unmittelbarer Nähe des Wafers sein. Dies wird durch den Reaktor verbessert, indem die gleiche effektive Pumpgeschwindigkeit um die Waferebene herum an dem Waferträger 20 vorgesehen wird. Eine gleiche effektive Pumpgeschwindigkeit wird dadurch erreicht, dass der Wafer und die Pumpe zu der Behandlungskammer axial fluchtend ausgerichtet werden, so dass die geometrische Ausrüstung die Strömung mit gleichem Abstand um den Wafer herum begünstigt. Dadurch ist der Gasstrom über dem Wafer symmetrisch, was die gleichförmige Behandlung des Wafers verbessert. Darüber hinaus werden während des Reak tor-Selbstreinigungsvorgangs Gase vorzugsweise durch den ersten Gaseinspritzverteiler 15 eingespritzt, wobei die längs der Symmetrieachse angeordnete Pumpe den gleichförmigen Gasstrom und somit die Reinigungswirkung in dem ganzen Reaktor 10 verbessert.
  • Die erfindungsgemäße Auslegung des Reaktors 10 begünstigt die Abscheidung gleichförmiger Filme, was in 10a und 10b gezeigt ist. Es wird ein Wafer 24 vorgesehen, der ein Substrat 80 aufweist, auf dem eine Vielzahl von Bauelementstrukturen 81a bis 81d ausgebildet ist. Der Spaltabstand zwischen den Elementstrukturen 81a und 81b beträgt 0,25 μm, während der Spaltabstand zwischen den Elementstrukturen 81a und 81c 0,30 μm beträgt. Das Seitenverhältnis liegt bei 2,5:1. Auf den Elementstrukturen 81 und dem Substrat 80 im Reaktor dieser Erfindung wird eine Oxidschicht 82 abgeschieden. Wie gezeigt, scheiden der Reaktor 10 und das Verfahren erfolgreich hohlraumfreie Schichten ab, die die Spalte von 0,25 μm und 0,30 μm mit hervorragender Stufenabdeckung füllen.
  • In 11 ist die Abscheidungsrate als Funktion der HF-Vorspannung gezeigt, die bei der Erfindung an den Waferträger angelegt wird. Die Abscheidungsrate ist normalisiert und dargestellt als die Abscheidungsrate pro Silanstrom (μm pro Minute pro sccm), die dann als Funktion der HF-Vorspannungsleistung (Watt) aufgetragen ist, die an den Waferträger angelegt ist.
  • Die vorstehende Beschreibung der speziellen Ausführungsformen der Erfindung wurden zum Zwecke der Erläuterung und Beschreibung angegeben. Sie sollen nicht erschöpfend sein oder die Erfindung auf die genauen offenbarten Formen beschränken; offensichtlich sind viele Modifizierungen, Ausführungen und Variationen im Lichte der obigen Lehre möglich. Der Rahmen der Erfindung soll durch die beiliegenden Ansprüche definiert sein.

Claims (28)

  1. Plasmaverstärkter chemischer Behandlungsreaktor (10) – mit einer Plasmakammer (18), – mit einem ersten Gaseinspritzverteiler (15), der mit der Plasmakammer (18) für die Aufnahme wenigstens eines ersten Gases in Verbindung steht und der die Oberseite der Plasmakammer (18) bildet, – mit einer Quelle für elektromagnetische Energie (12) zum Erregen des wenigstens einen ersten Gases zur Bildung eines Plasmas, – mit einer Behandlungskammer (16), die mit der Plasmakammer (18) in Verbindung steht, wodurch sich das Plasma in die Behandlungskammer (16) erstreckt, – mit einem Waferträger (20) zum Halten eines Wafers (24), wobei der Waferträger (20) in der Behandlungskammer (16) angeordnet ist, – mit einem zweiten Gasverteiler (17), der in der Behandlungskammer (16) angeordnet ist und den Waferträger (20) umgibt, um reaktive Gase zu dem Waferträger zu führen, wodurch die reaktiven Gase mit dem Plasma interagieren um die Oberfläche eines Wafers (24) zu behandeln, der auf dem Waferträger (20) gehalten wird, und – mit einem Vakuumsystem zum Entfernen von Gasen vom Boden der Behandlungskammer (16).
  2. Reaktor (10) nach Anspruch 1, bei welchem die Quelle für die elektromagnetische Energie (12) eine induktiv gekoppelte Plasmaquelle ist.
  3. Reaktor (10) nach Anspruch 1, bei welchem die Quelle für elektromagnetische Energie (12) einen wendelförmigen Resonator (13) und eine kapazitive Abschirmung (19) aufweist, die in dem wendelförmigen Resonator (20) angeordnet ist.
  4. Reaktor (10) nach Anspruch 1, bei welchem der Waferträger (20) an wenigstens einer Fläche der Behandlungskammer (16) so befestigt ist, dass der Waferträger (20) in der Prozesskammer (16) aufgehängt ist.
  5. Reaktor (10) nach Anspruch 1, bei welchem das Vakuumsystem eine Turbopumpe (26) aufweist.
  6. Reaktor (10) nach Anspruch 5, bei welchem das Vakuumsystem weiterhin ein Vakuumtrennventil (25) aufweist, das zwischen der Behandlungskammer (16) und der Pumpe (26) angeordnet ist, um die Behandlungskammer von der Pumpe zu trennen.
  7. Reaktor nach Anspruch 1, bei welchem der zweite Gasverteiler (17) eine Vielzahl von im Abstand angeordneten Düsen zum Verteilen von Gasen nahe am Wafer aufweist.
  8. Reaktor (10) nach Anspruch 1, bei welchem der Waferträger (20) – einen Trägerkörper mit einer Trägerfläche zum Halten des Wafers (24), – eine Spannungsquelle (23), die mit dem Trägerkörper für eine elektrostatische Koppelung des Wafers (24) mit der Trägerfläche gekoppelt ist, – ein Kühlsystem, das eine Vielzahl von Gasverteilungsnuten aufweist, die in der Trägerfläche (52) ausgebildet und für eine gleichförmige Verteilung einer gasförmigen Substanz zwischen dem Wafer (24) und der Trägerfläche (52) ausgebildet sind und – wenigstens ein Element mit zwei Enden aufweist, von denen eines an dem Trägerkörper (50) und das andere an einer Fläche der Behandlungskammer (16) festgelegt ist.
  9. Reaktor (10) nach Anspruch 8, bei welchem das wenigstens eine Element an einer vertikalen Fläche der Behandlungskammer (16) so festgelegt ist, dass der Trägerkörper in der Behandlungskammer aufgehängt ist.
  10. Reaktor (10) nach Anspruch 8 oder Anspruch 9, bei welchem das wenigstens eine Element hohl ist und in sich wenigstens eine Leitung für den Durchgang von Kühlmedium zu dem Trägerkörper (50) sowie wenigstens eine Leitung zum Anschließen von Gleichstromenergie an den Waferträger enthält.
  11. Reaktor (10) nach Anspruch (10), bei welchem das wenigstens eine Element weiterhin wenigstens eine Leitung zum Einkoppeln von HF-Energie in den Waferhalter aufweist.
  12. Reaktor (10) nach Anspruch 1, bei welchem der Waferhalter (20) an einer Schlittenanordnung (86) festgelegt ist, die an der Behandlungskammer (16) so befestigt ist, dass der Waferträger (20) aus der Behandlungskammer entfernt werden kann.
  13. Reaktor (10) nach Anspruch 1, bei welchem der erste Gasverteiler (15) wenigstens eine in ihm ausgebildete Kammer für die Aufnahme von wenigstens einer gasförmigen Chemikalie und eine Vielzahl von Löchern aufweist, die mit jeder der wenigstens einen Kammer und den längs der Kammer angeordneten Löchern zum Verteilen der wenigstens einen gasförmigen Chemikalie auf die Plasmakammer (18) in Verbindung steht.
  14. Reaktor (10) nach Anspruch 1, bei welchem die Plasmakammer (18) zylindrisch ist, die Behandlungskammer zylindrisch ist und das Vakuumsystem auf der Achse der Behandlungskammer angeordnet ist.
  15. Reaktor (10) nach Anspruch 14, bei welchem der zweite Gasverteiler (17) weiterhin so angeordnet ist, dass die reaktiven Gase so geleitet werden, dass sie mit dem Plasma für das Abscheiden einer Materialschicht auf der Oberfläche des Wafers (24) zusammenwirken.
  16. Reaktor (10) nach Anspruch 14, bei welchem der zweite Gasverteiler (17) für ein solches Leiten der reaktiven Gase angeordnet ist, dass sie mit dem Plasma zusammenwirken, um die Oberfläche des Wafers (24) zu ätzen.
  17. Reaktor (10) nach Anspruch 1, bei welchem – der Waferträger (20) so angeordnet ist, dass er im Wesentlichen axial zu der Behandlungskammer (16) ausgerichtet ist, – der zweite Gasverteiler (17) so angeordnet ist, dass er im Wesentlichen axial zu der Behandlungskammer (16) ausgerichtet und zum Leiten der reaktiven Gase zu dem Waferträger (20) ausgelegt ist, wodurch die reaktiven Gase mit dem Plasma zusammenwirken und ein Material auf dem Wafer (24) abscheiden, und – das Vakuumsystem im Wesentlichen axial zu der Behandlungskammer (16) ausgerichtet ist.
  18. Reaktor (10) nach Anspruch 17, bei welchem der erste Gasverteiler (15) – eine Vielzahl von Kanälen, die in ihm für ein getrenntes Aufnehmen wenigstens einer gasförmigen Chemikalie und – eine Vielzahl von Löchern aufweist, die mit jedem der Kanäle in Verbindung stehen, um die wenigstens eine gasförmige Chemikalie getrennt auf die Plasmakammer (16) zu verteilen.
  19. Reaktor (10) nach Anspruch 1, bei welchem der Waferträger (20) auf einer Achse in der Behandlungskammer (16) angeordnet und an wenigstens einer Fläche der Behandlungskammer (16) so festgelegt ist, dass der Waferträger (20) in der Behandlungskammer (16) aufgehängt ist.
  20. Verfahren zum Betreiben eines plasmaverstärkten chemischen Behandlungsreaktors (10), der eine Plasmakammer mit einer oberen Fläche, einen ersten Gaseinspritzverteiler (15), der mit der Plasmakammer (18) in Verbindung steht und die obere Fläche der Plasmakammer (18) bildet, eine Behandlungskammer (16), die einen Waferträger (20) zum Halten eines Wafers (24) hat, der in der Behandlungskammer angeordnet ist, und einen zweiten Gasverteiler (17) zum Leiten von reaktiven Gasen zu dem Waferträger hin aufweist, wobei das Verfahren die Schritte aufweist: – Erzeugen eines Plasmas in der Plasmakammer (18), – Verweisen des Plasmas auf ein erstes Spannungspotential längs der oberen Fläche und – Anlegen von HF-Energie an den Waferträger, wodurch ein zweites Spannungspotential erzeugt wird, – wobei die Differenz zwischen dem ersten Spannungspotential und dem zweiten Spannungspotential eine Diffusion des Plasmas zu dem Bereich in unmittelbarer Nähe des Waferträgers (20) induziert.
  21. Verfahren nach Anspruch 20, welches den zusätzlichen Schritt aufweist, wenigstens eine gasförmige Chemikalie in die Behandlungskammer (16) in der Nähe des Waferträgers (20) einzuführen, wodurch die wenigstens eine gasförmige Chemikalie und das Plasma in der Nähe des Waferträgers (20) so interagieren, dass eine Materialschicht auf dem Wafer (24) abgeschieden wird.
  22. Verfahren nach Anspruch 20, welches den zusätzlichen Schritt aufweist, wenigstens eine gasförmige Chemikalie in die Behandlungskammer (16) in der Nähe des Waferträgers (20) und in die Plasmakammer (16) einzuführen, wodurch die wenigstens eine gasförmige Chemikalie und das Plasma in der Nähe des Waferträgers (20) zum Abscheiden einer Materialschicht auf dem Wafer (24) zusammenwirken.
  23. Verfahren nach Anspruch 20, welches den zusätzlichen Schritt aufweist, wenigstens eine gasförmige Chemikalie in die Behandlungskammer (16) einzuführen, wodurch die wenigstens eine gasförmige Chemikalie und das Plasma in der Nähe des Waferträgers (20) zum Ätzen der Oberfläche des Wafers (24) interagieren.
  24. Verfahren nach Anspruch 20, welches den zusätzlichen Schritt aufweist, wenigstens eine gasförmige Chemikalie in die Behandlungskammer und in die Plasmakammer einzuführen, wodurch die wenigstens eine gasförmige Chemikalie und das Plasma nahe an dem Waferträger zum Ätzen der Oberfläche des Wafers interagieren.
  25. Verfahren nach Anspruch 20, bei welchem der Schritt des Verweisens des Plasmas weiterhin das Bereitstellen einer Verbindung für die obere Fläche mit der elektrischen Masse und das Erzeugen eines Potentials in dem Bereich von im Wesentlichen 10 bis 30 Volt an der oberen Platte aufweist.
  26. Verfahren nach Anspruch 20, bei welcher der Schritt des Anlegens von HF-Energie an den Waferträger (20) weiterhin das Anlegen von HF-Energie im Bereich von im Wesentlichen 1 bis 60 MHz aufweist.
  27. Verfahren nach Anspruch 20, bei welchem der Schritt des Anlegens von HF-Energie an den Waferträger (20) weiterhin das Anlegen von HF-Energie bei etwa 3,39 MHz aufweist.
  28. Verfahren nach Anspruch 20, welches den zusätzlichen Schritt aufweist, wenigstens eine gasförmige Chemikalie in die Plasmakammer (16) einzuführen, wodurch sich die wenigstens eine gasförmige Chemikalie in die Behandlungskammer erstreckt und die Oberflächen der Plasma- und Behandlungskammer (18, 16) reinigt.
DE69636286T 1995-07-10 1996-06-21 Plasmaunterstützter chemischer reaktor und verfahren Expired - Fee Related DE69636286T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US50049395A 1995-07-10 1995-07-10
US500493 1995-07-10
PCT/US1996/010705 WO1997003224A1 (en) 1995-07-10 1996-06-21 A plasma enhanced chemical processing reactor and method

Publications (2)

Publication Number Publication Date
DE69636286D1 DE69636286D1 (de) 2006-08-03
DE69636286T2 true DE69636286T2 (de) 2007-04-12

Family

ID=23989658

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69636286T Expired - Fee Related DE69636286T2 (de) 1995-07-10 1996-06-21 Plasmaunterstützter chemischer reaktor und verfahren

Country Status (9)

Country Link
US (4) US6001267A (de)
EP (1) EP0839217B1 (de)
JP (1) JP3701390B2 (de)
KR (1) KR100241171B1 (de)
CN (1) CN1160479C (de)
AT (1) ATE331053T1 (de)
DE (1) DE69636286T2 (de)
TW (1) TW283250B (de)
WO (1) WO1997003224A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102010056021B3 (de) * 2010-12-23 2012-04-19 Centrotherm Sitec Gmbh Düsenanordnung und CVD-Reaktor

Families Citing this family (498)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6193878B1 (en) * 1995-01-25 2001-02-27 Zpm, Inc. Multi-modal method and apparatus for treating a solution
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5862223A (en) 1996-07-24 1999-01-19 Walker Asset Management Limited Partnership Method and apparatus for a cryptographically-assisted commercial network system designed to facilitate and support expert-based commerce
JP3640478B2 (ja) * 1996-09-20 2005-04-20 アネルバ株式会社 プラズマ処理装置
EP0854210B1 (de) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Aufdampfungsvorrichtung zur Herstellung von Dünnfilmen
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6749717B1 (en) 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US5994662A (en) * 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6083344A (en) * 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6109206A (en) * 1997-05-29 2000-08-29 Applied Materials, Inc. Remote plasma source for chamber cleaning
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
JPH1167675A (ja) * 1997-08-21 1999-03-09 Toshiba Ceramics Co Ltd 高速回転気相薄膜形成装置及びそれを用いる高速回転気相薄膜形成方法
US6129807A (en) 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
US6041735A (en) * 1998-03-02 2000-03-28 Ball Semiconductor, Inc. Inductively coupled plasma powder vaporization for fabricating integrated circuits
JPH11193468A (ja) * 1997-12-30 1999-07-21 Shimadzu Corp 薄膜形成装置
KR100524204B1 (ko) * 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 가스 처리장치
KR100370440B1 (ko) * 1998-03-05 2003-02-05 동경 엘렉트론 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
JPH11274137A (ja) * 1998-03-18 1999-10-08 Kenichi Nanbu エッチング方法
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
JP2000183037A (ja) * 1998-12-11 2000-06-30 Tokyo Electron Ltd 真空処理装置
US7077159B1 (en) * 1998-12-23 2006-07-18 Applied Materials, Inc. Processing apparatus having integrated pumping system
USRE40195E1 (en) * 1998-12-30 2008-04-01 Tokyo Electron Limited Large area plasma source
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6394109B1 (en) 1999-04-13 2002-05-28 Applied Materials, Inc. Method and apparatus for removing carbon contamination in a sub-atmospheric charged particle beam lithography system
KR100584818B1 (ko) * 1999-04-16 2006-05-30 동경 엘렉트론 주식회사 반도체 장치의 제조 방법 및 그 제조 라인
US6402848B1 (en) 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
DE60043505D1 (de) 1999-05-06 2010-01-21 Tokyo Electron Ltd Apparat für die plasma-behandlung
US6395095B1 (en) * 1999-06-15 2002-05-28 Tokyo Electron Limited Process apparatus and method for improved plasma processing of a substrate
US6143144A (en) * 1999-07-30 2000-11-07 Tokyo Electronlimited Method for etch rate enhancement by background oxygen control in a soft etch system
US6225745B1 (en) * 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
WO2001046492A1 (en) * 1999-12-22 2001-06-28 Tokyo Electron Limited Method and system for reducing damage to substrates during plasma processing with a resonator source
US6426280B2 (en) 2000-01-26 2002-07-30 Ball Semiconductor, Inc. Method for doping spherical semiconductors
JP2001323376A (ja) * 2000-03-06 2001-11-22 Canon Inc 堆積膜の形成装置
EP1139402A1 (de) * 2000-03-27 2001-10-04 Infineon Technologies AG Verfahren und Vorrichtung zur Abscheidung einer dielektrischen Schicht
US6592709B1 (en) * 2000-04-05 2003-07-15 Applied Materials Inc. Method and apparatus for plasma processing
US20020078893A1 (en) * 2000-05-18 2002-06-27 Applied Materials , Inc. Plasma enhanced chemical processing reactor and method
US6709522B1 (en) 2000-07-11 2004-03-23 Nordson Corporation Material handling system and methods for a multichamber plasma treatment system
US6481447B1 (en) * 2000-09-27 2002-11-19 Lam Research Corporation Fluid delivery ring and methods for making and implementing the same
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
DE10101548C1 (de) * 2001-01-15 2002-05-29 Infineon Technologies Ag Reaktionskammer zur Bearbeitung einer Substratscheibe und Verfahren zum Betrieb derselben
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6673199B1 (en) 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US20020129768A1 (en) * 2001-03-15 2002-09-19 Carpenter Craig M. Chemical vapor deposition apparatuses and deposition methods
KR100443905B1 (ko) * 2001-03-23 2004-08-09 삼성전자주식회사 화학 기상 증착장치
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US20040144492A1 (en) * 2001-06-01 2004-07-29 Taro Ikeda Plasma processing device
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
KR100433285B1 (ko) * 2001-07-18 2004-05-31 주성엔지니어링(주) 멀티 홀 앵글드 가스분사 시스템을 갖는 반도체소자제조장치
US6677250B2 (en) * 2001-08-17 2004-01-13 Micron Technology, Inc. CVD apparatuses and methods of forming a layer over a semiconductor substrate
US6926926B2 (en) * 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US6461436B1 (en) * 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100446619B1 (ko) * 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
JP2003201566A (ja) * 2002-01-08 2003-07-18 Mitsubishi Electric Corp 化学気相堆積装置
JP4574987B2 (ja) * 2002-01-10 2010-11-04 東京エレクトロン株式会社 処理装置
US7229666B2 (en) * 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US7351291B2 (en) * 2002-02-20 2008-04-01 Tokyo Electron Limited Semiconductor processing system
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US7468104B2 (en) * 2002-05-17 2008-12-23 Micron Technology, Inc. Chemical vapor deposition apparatus and deposition method
KR100483886B1 (ko) * 2002-05-17 2005-04-20 (주)엔피씨 나노분말 양산용 고주파 유도 플라즈마 반응로
US20060162656A1 (en) * 2002-07-31 2006-07-27 Tokyo Electron Limited Reduced volume, high conductance process chamber
JP3861036B2 (ja) * 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20030047536A1 (en) * 2002-10-02 2003-03-13 Johnson Wayne L. Method and apparatus for distributing gas within high density plasma process chamber to ensure uniform plasma
US20040065256A1 (en) * 2002-10-03 2004-04-08 Kim Gi Youl Systems and methods for improved gas delivery
US7097716B2 (en) * 2002-10-17 2006-08-29 Applied Materials, Inc. Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect
EP1420080A3 (de) * 2002-11-14 2005-11-09 Applied Materials, Inc. Vorrichtung und Verfahren zu hybriden chemischen Abscheidungsverfahren
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
CN101457338B (zh) * 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
JP4588329B2 (ja) * 2003-02-14 2010-12-01 東京エレクトロン株式会社 プラズマ発生装置およびリモートプラズマ処理装置
US6818249B2 (en) * 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7045014B2 (en) * 2003-04-24 2006-05-16 Applied Materials, Inc. Substrate support assembly
JP4179041B2 (ja) * 2003-04-30 2008-11-12 株式会社島津製作所 有機el用保護膜の成膜装置、製造方法および有機el素子
JP4394073B2 (ja) * 2003-05-02 2010-01-06 東京エレクトロン株式会社 処理ガス導入機構およびプラズマ処理装置
CN100508117C (zh) * 2003-05-02 2009-07-01 东京毅力科创株式会社 等离子体处理装置
JP4074224B2 (ja) * 2003-06-26 2008-04-09 住友重機械工業株式会社 真空装置及び電子ビーム近接露光装置
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
CN1313640C (zh) * 2003-09-18 2007-05-02 中芯国际集成电路制造(上海)有限公司 等离子体增强式化学气相沉积处理方法
KR101025323B1 (ko) * 2004-01-13 2011-03-29 가부시키가이샤 아루박 에칭 장치 및 에칭 방법
US7431772B2 (en) * 2004-03-09 2008-10-07 Applied Materials, Inc. Gas distributor having directed gas flow and cleaning method
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7780791B2 (en) * 2004-06-30 2010-08-24 Lam Research Corporation Apparatus for an optimized plasma chamber top piece
US8540843B2 (en) 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
KR100589046B1 (ko) * 2004-09-23 2006-06-12 삼성전자주식회사 박막 형성 방법
CN100369201C (zh) * 2004-11-17 2008-02-13 上海华虹Nec电子有限公司 一种高密度等离子体化学气相沉淀装置
EP1866465A2 (de) 2005-01-18 2007-12-19 ASM America, Inc. Reaktionssystem zur herstellung eines dünnen films
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
CN100462300C (zh) * 2005-07-29 2009-02-18 鸿富锦精密工业(深圳)有限公司 碳纳米管生长装置
US7651587B2 (en) 2005-08-11 2010-01-26 Applied Materials, Inc. Two-piece dome with separate RF coils for inductively coupled plasma reactors
CN101150909B (zh) * 2006-09-22 2010-05-12 中微半导体设备(上海)有限公司 等离子体约束装置
US20070084407A1 (en) * 2005-10-14 2007-04-19 Hon Hai Precision Industry Co., Ltd. Apparatus and method for manufacturing carbon nanotubes
KR100725108B1 (ko) * 2005-10-18 2007-06-04 삼성전자주식회사 가스 공급 장치 및 이를 갖는 기판 가공 장치
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
GB0616131D0 (en) * 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
JP5074741B2 (ja) * 2006-11-10 2012-11-14 株式会社日立ハイテクノロジーズ 真空処理装置
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
JP5426811B2 (ja) * 2006-11-22 2014-02-26 パール工業株式会社 高周波電源装置
US20080206987A1 (en) 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US20080190364A1 (en) * 2007-02-13 2008-08-14 Applied Materials, Inc. Substrate support assembly
CN101657565A (zh) * 2007-04-17 2010-02-24 株式会社爱发科 成膜装置
US20090023274A1 (en) * 2007-07-07 2009-01-22 Xinmin Cao Hybrid Chemical Vapor Deposition Process Combining Hot-Wire CVD and Plasma-Enhanced CVD
EP2215282B1 (de) * 2007-10-11 2016-11-30 Valence Process Equipment, Inc. Cvd-reaktor
FR2923946A1 (fr) * 2007-11-21 2009-05-22 Alcatel Lucent Sas Equipement pour la fabrication de semi-conducteurs, dispositif de pompage et porte-substrat correspondant
KR100892249B1 (ko) * 2007-11-21 2009-04-09 주식회사 디엠에스 플라즈마 반응장치
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US8298338B2 (en) 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
KR101161407B1 (ko) * 2007-12-26 2012-07-09 삼성엘이디 주식회사 화학기상 증착장치
US7879183B2 (en) * 2008-02-27 2011-02-01 Applied Materials, Inc. Apparatus and method for front side protection during backside cleaning
US20090221149A1 (en) * 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
KR100982987B1 (ko) * 2008-04-18 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
KR101004822B1 (ko) 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
JP2011521735A (ja) * 2008-05-30 2011-07-28 コロラド ステート ユニバーシティ リサーチ ファンデーション プラズマを発生させるためのシステム、方法、および装置
US9288886B2 (en) * 2008-05-30 2016-03-15 Colorado State University Research Foundation Plasma-based chemical source device and method of use thereof
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
US8852696B2 (en) * 2008-05-30 2014-10-07 Alta Devices, Inc. Method for vapor deposition
US20100206229A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Vapor deposition reactor system
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
US9028656B2 (en) 2008-05-30 2015-05-12 Colorado State University Research Foundation Liquid-gas interface plasma device
JP5520455B2 (ja) * 2008-06-11 2014-06-11 東京エレクトロン株式会社 プラズマ処理装置
WO2010042883A2 (en) * 2008-10-10 2010-04-15 Alta Devices, Inc. Concentric showerhead for vapor deposition
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR200475462Y1 (ko) * 2009-03-27 2014-12-03 램 리써치 코포레이션 플라즈마 처리 장치의 교체 가능한 상부 챔버 섹션
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
JP5634037B2 (ja) 2009-06-18 2014-12-03 三菱重工業株式会社 排気構造、プラズマ処理装置及び方法
JP5558035B2 (ja) 2009-06-18 2014-07-23 三菱重工業株式会社 プラズマ処理装置及び方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWM412453U (en) * 2009-09-10 2011-09-21 Lam Res Corp Replaceable upper chamber parts of plasma reaction chamber and ceramic side gas injector
US8222822B2 (en) * 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
US9127364B2 (en) 2009-10-28 2015-09-08 Alta Devices, Inc. Reactor clean
KR101092122B1 (ko) * 2010-02-23 2011-12-12 주식회사 디엠에스 에칭 프로파일 제어를 위한 가스 인젝션 시스템
JP5889806B2 (ja) * 2010-03-12 2016-03-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 複式噴射を伴う原子層堆積チャンバ
JP2013529352A (ja) 2010-03-31 2013-07-18 コロラド ステート ユニバーシティー リサーチ ファウンデーション 液体−気体界面プラズマデバイス
US20110308458A1 (en) * 2010-06-21 2011-12-22 Semes Co., Ltd. Thin Film Deposition Apparatus
JPWO2012026241A1 (ja) * 2010-08-26 2013-10-28 株式会社日立国際電気 半導体装置の製造方法、及び基板処理装置
RU2604828C2 (ru) * 2011-02-03 2016-12-10 Текна Плазма Системз Инк. Высокопроизводительный индукционный плазматрон
CN103620729B (zh) * 2011-04-11 2016-10-12 朗姆研究公司 用于半导体处理的电子束增强解耦源
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9212422B2 (en) 2011-08-31 2015-12-15 Alta Devices, Inc. CVD reactor with gas flow virtual walls
US10066297B2 (en) 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9175393B1 (en) 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US9279722B2 (en) 2012-04-30 2016-03-08 Agilent Technologies, Inc. Optical emission system including dichroic beam combiner
US9267205B1 (en) 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
JP5940375B2 (ja) * 2012-06-01 2016-06-29 シャープ株式会社 気相成長装置および窒化物半導体発光素子の製造方法
US9928987B2 (en) 2012-07-20 2018-03-27 Applied Materials, Inc. Inductively coupled plasma source with symmetrical RF feed
US10131994B2 (en) * 2012-07-20 2018-11-20 Applied Materials, Inc. Inductively coupled plasma source with top coil over a ceiling and an independent side coil and independent air flow
US10249470B2 (en) 2012-07-20 2019-04-02 Applied Materials, Inc. Symmetrical inductively coupled plasma source with coaxial RF feed and coaxial shielding
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US10170279B2 (en) 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140187045A1 (en) * 2013-01-02 2014-07-03 Applied Materials, Inc. Silicon nitride gapfill implementing high density plasma
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
WO2014163742A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
US9957601B2 (en) * 2013-03-15 2018-05-01 Applied Materials, Inc. Apparatus for gas injection in a physical vapor deposition chamber
CN107221487B (zh) 2013-03-15 2019-06-28 应用材料公司 具有高度对称四重式气体注入的等离子体反应器
WO2014161199A1 (zh) * 2013-04-03 2014-10-09 Wang Dongjun 等离子体增强原子层沉积设备
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN105431924B (zh) * 2014-04-09 2020-11-17 应用材料公司 用于解决具有改良的流动均匀性/气体传导性的可变的处理容积的对称腔室主体设计架构
US20150294843A1 (en) * 2014-04-09 2015-10-15 Applied Materials, Inc. Methods for extending chamber component life for plasma processing semiconductor applications
US20150345019A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10113232B2 (en) * 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10883168B2 (en) 2014-09-11 2021-01-05 Massachusetts Institute Of Technology Processing system for small substrates
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10297457B2 (en) * 2015-03-19 2019-05-21 Mattson Technology, Inc. Controlling azimuthal uniformity of etch process in plasma processing chamber
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP7166759B2 (ja) * 2015-12-04 2022-11-08 アプライド マテリアルズ インコーポレイテッド Hdp-cvdチャンバのアーク発生を防止するための高度なコーティング方法および材料
CN106876299B (zh) * 2015-12-11 2019-08-23 北京北方华创微电子装备有限公司 半导体加工设备
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) * 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
RU2019110512A (ru) * 2016-09-09 2020-10-09 Кристиан Ассун Ремедиация, добыча и рафинирование космического мусора на основе системы pert
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10934620B2 (en) 2016-11-29 2021-03-02 Applied Materials, Inc. Integration of dual remote plasmas sources for flowable CVD
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
CN107093545B (zh) * 2017-06-19 2019-05-31 北京北方华创微电子装备有限公司 反应腔室的下电极机构及反应腔室
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11077410B2 (en) 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (de) 2018-02-14 2020-11-18 ASM IP Holding B.V. Verfahren zum abscheiden eines ruthenium-haltigen films auf einem substrat durch ein zyklisches abscheidungsverfahren
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11694879B2 (en) * 2018-12-07 2023-07-04 Applied Materials, Inc. Component, method of manufacturing the component, and method of cleaning the component
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
CN111613508A (zh) * 2019-02-25 2020-09-01 北京北方华创微电子装备有限公司 进气装置及反应腔室
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
IT201900004609A1 (it) * 2019-03-27 2020-09-27 Afros Spa Dispositivo di miscelazione ad alta pressione con condotto di erogazione autopulente sensorizzato.
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7285152B2 (ja) * 2019-07-08 2023-06-01 東京エレクトロン株式会社 プラズマ処理装置
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
FI129609B (en) * 2020-01-10 2022-05-31 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
CN111341698B (zh) * 2020-03-09 2022-07-26 苏州能讯高能半导体有限公司 一种刻蚀设备
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
CN111501024A (zh) * 2020-05-08 2020-08-07 Tcl华星光电技术有限公司 气相沉积装置
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11674227B2 (en) * 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US20220307129A1 (en) * 2021-03-23 2022-09-29 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers
US20220364231A1 (en) * 2021-05-11 2022-11-17 Applied Materials, Inc. Gas injector for epitaxy and cvd chamber
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
DE102022102768A1 (de) * 2022-02-07 2023-08-10 Stephan Wege Symmetrischer Prozessreaktor

Family Cites Families (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3634740A (en) 1970-04-20 1972-01-11 Addressograph Multigraph Electrostatic holddown
US3656454A (en) * 1970-11-23 1972-04-18 Air Reduction Vacuum coating apparatus
US3916270A (en) 1974-05-02 1975-10-28 Tektronix Inc Electrostatic holddown apparatus
US4184188A (en) 1978-01-16 1980-01-15 Veeco Instruments Inc. Substrate clamping technique in IC fabrication processes
JPS5846057B2 (ja) 1979-03-19 1983-10-14 富士通株式会社 プラズマ処理方法
US4514636A (en) 1979-09-14 1985-04-30 Eaton Corporation Ion treatment apparatus
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4680061A (en) 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4313783A (en) 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
US4324611A (en) 1980-06-26 1982-04-13 Branson International Plasma Corporation Process and gas mixture for etching silicon dioxide and silicon nitride
US4384918A (en) 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
JPS57149734A (en) 1981-03-12 1982-09-16 Anelva Corp Plasma applying working device
US4365588A (en) * 1981-03-13 1982-12-28 Rca Corporation Fixture for VPE reactor
JPS5816078A (ja) 1981-07-17 1983-01-29 Toshiba Corp プラズマエツチング装置
US4512391A (en) 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4512283A (en) * 1982-02-01 1985-04-23 Texas Instruments Incorporated Plasma reactor sidewall shield
JPS59186955A (ja) * 1983-04-06 1984-10-23 Toyo Kasei Kogyo Kk β−メルカプトプロピオン酸エステルの製造法
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
KR890004881B1 (ko) 1983-10-19 1989-11-30 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리 방법 및 그 장치
US4558388A (en) * 1983-11-02 1985-12-10 Varian Associates, Inc. Substrate and substrate holder
GB2162207B (en) * 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
JPS6164124A (ja) * 1984-09-06 1986-04-02 Anelva Corp 薄膜作成装置
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
US4949671A (en) * 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
DE3539981C1 (de) * 1985-11-11 1987-06-11 Telog Systems Gmbh Verfahren und Vorrichtung zur Behandlung von Halbleitermaterialien
JPH0691020B2 (ja) * 1986-02-14 1994-11-14 日本電信電話株式会社 気相成長方法および装置
US4724621A (en) * 1986-04-17 1988-02-16 Varian Associates, Inc. Wafer processing chuck using slanted clamping pins
US4705951A (en) 1986-04-17 1987-11-10 Varian Associates, Inc. Wafer processing system
DE3717985A1 (de) * 1986-05-28 1987-12-03 Minolta Camera Kk Elektrochrome vorrichtung
JPS6372877A (ja) * 1986-09-12 1988-04-02 Tokuda Seisakusho Ltd 真空処理装置
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
DE3853890T2 (de) * 1987-01-19 1995-10-19 Hitachi Ltd Mit einem Plasma arbeitendes Gerät.
JP2750430B2 (ja) * 1987-05-26 1998-05-13 住友金属工業株式会社 プラズマ制御方法
JPH01276736A (ja) * 1988-04-28 1989-11-07 Tokyo Electron Ltd エッチング装置
JPH0730468B2 (ja) 1988-06-09 1995-04-05 日電アネルバ株式会社 ドライエッチング装置
US5376628A (en) * 1988-06-30 1994-12-27 Anelva Corporation Method of improving or producing oxide superconductor
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
JPH02271626A (ja) * 1989-04-13 1990-11-06 Sumitomo Metal Ind Ltd プラズマ装置
DE69017744T2 (de) * 1989-04-27 1995-09-14 Fujitsu Ltd Gerät und Verfahren zur Bearbeitung einer Halbleitervorrichtung unter Verwendung eines durch Mikrowellen erzeugten Plasmas.
JPH0791645B2 (ja) * 1989-04-28 1995-10-04 株式会社日立製作所 薄膜形成装置
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5091049A (en) * 1989-06-13 1992-02-25 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
JPH0376112A (ja) * 1989-08-17 1991-04-02 Nippon Sanso Kk 気相成長装置
US5314845A (en) * 1989-09-28 1994-05-24 Applied Materials, Inc. Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer
US5223457A (en) * 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
FR2653633B1 (fr) * 1989-10-19 1991-12-20 Commissariat Energie Atomique Dispositif de traitement chimique assiste par un plasma de diffusion.
DE69128345T2 (de) * 1990-01-04 1998-03-26 Mattson Tech Inc Induktiver plasmareaktor im unteren hochfrequenzbereich
JPH0740569B2 (ja) * 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
US5452177A (en) 1990-06-08 1995-09-19 Varian Associates, Inc. Electrostatic wafer clamp
JPH06103683B2 (ja) 1990-08-07 1994-12-14 株式会社東芝 静電吸着方法
US5099571A (en) 1990-09-07 1992-03-31 International Business Machines Corporation Method for fabricating a split-ring electrostatic chuck
US5707692A (en) * 1990-10-23 1998-01-13 Canon Kabushiki Kaisha Apparatus and method for processing a base substance using plasma and a magnetic field
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5325261A (en) 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
JP3042127B2 (ja) * 1991-09-02 2000-05-15 富士電機株式会社 酸化シリコン膜の製造方法および製造装置
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
US5539609A (en) 1992-12-02 1996-07-23 Applied Materials, Inc. Electrostatic chuck usable in high density plasma
EP0578047B1 (de) * 1992-06-23 1998-05-13 Nippon Telegraph And Telephone Corporation Plasmabearbeitungsgerät
JP3259380B2 (ja) * 1992-12-04 2002-02-25 ソニー株式会社 半導体装置の製造方法
US5460684A (en) 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
KR100238629B1 (ko) 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
US5433812A (en) * 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
US5545591A (en) * 1993-01-29 1996-08-13 Nec Corporation Method for forming an aluminum film used as an interconnect in a semiconductor device
US5537004A (en) * 1993-03-06 1996-07-16 Tokyo Electron Limited Low frequency electron cyclotron resonance plasma processor
TW249313B (de) * 1993-03-06 1995-06-11 Tokyo Electron Co
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
JP2916735B2 (ja) * 1993-03-24 1999-07-05 株式会社日本製鋼所 プラズマ表面改質方法および装置
US5330610A (en) * 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
US5365057A (en) 1993-07-02 1994-11-15 Litton Systems, Inc. Light-weight night vision device
EP0635870A1 (de) 1993-07-20 1995-01-25 Applied Materials, Inc. Eine elektrostatische Halteplatte mit einer gerillten Fläche
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JP3172759B2 (ja) 1993-12-02 2001-06-04 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5449432A (en) * 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
TW293983B (de) * 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5463525A (en) 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
US5452510A (en) 1993-12-20 1995-09-26 International Business Machines Corporation Method of making an electrostatic chuck with oxide insulator
US5467249A (en) 1993-12-20 1995-11-14 International Business Machines Corporation Electrostatic chuck with reference electrode
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
EP0668608A1 (de) 1994-02-22 1995-08-23 Applied Materials, Inc. Elektrostatischer Substrathalter mit erosionsbeständiger Elektrodenverbindung
US5522937A (en) * 1994-05-03 1996-06-04 Applied Materials, Inc. Welded susceptor assembly
JP2630257B2 (ja) * 1994-06-03 1997-07-16 日本電気株式会社 半導体装置の製造方法
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
EP0697467A1 (de) 1994-07-21 1996-02-21 Applied Materials, Inc. Verfahren und Vorrichtung zur Reinigung einer Beschichtungskammer
US5597439A (en) * 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
JP3424867B2 (ja) * 1994-12-06 2003-07-07 富士通株式会社 プラズマ処理装置及びプラズマ処理方法
US5688357A (en) 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5958134A (en) * 1995-06-07 1999-09-28 Tokyo Electron Limited Process equipment with simultaneous or sequential deposition and etching capabilities
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5767628A (en) 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
US5824607A (en) 1997-02-06 1998-10-20 Applied Materials, Inc. Plasma confinement for an inductively coupled plasma reactor
US6027601A (en) 1997-07-01 2000-02-22 Applied Materials, Inc Automatic frequency tuning of an RF plasma source of an inductively coupled plasma reactor

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102010056021B3 (de) * 2010-12-23 2012-04-19 Centrotherm Sitec Gmbh Düsenanordnung und CVD-Reaktor

Also Published As

Publication number Publication date
WO1997003224A1 (en) 1997-01-30
US6375750B1 (en) 2002-04-23
KR970008401A (ko) 1997-02-24
EP0839217A4 (de) 2001-04-04
CN1160479C (zh) 2004-08-04
DE69636286D1 (de) 2006-08-03
US6178918B1 (en) 2001-01-30
CN1189859A (zh) 1998-08-05
KR100241171B1 (ko) 2000-02-01
TW283250B (en) 1996-08-11
EP0839217B1 (de) 2006-06-21
JP3701390B2 (ja) 2005-09-28
JPH09167762A (ja) 1997-06-24
US6001267A (en) 1999-12-14
EP0839217A1 (de) 1998-05-06
ATE331053T1 (de) 2006-07-15
US5792272A (en) 1998-08-11

Similar Documents

Publication Publication Date Title
DE69636286T2 (de) Plasmaunterstützter chemischer reaktor und verfahren
DE69734619T2 (de) Verfahren mit einem induktiv gekoppelten Plasmareaktor
DE69727624T2 (de) Induktiv gekoppelter HDP-CVD-Reaktor
US20200185192A1 (en) Symmetric plasma process chamber
DE60033312T2 (de) Plasmabehandlungsvorrichtung und -verfahren
DE69814687T2 (de) Plasmavorrichtung mit einem mit einer spannungsquelle verbundenen metallteil, das zwischen einer rf-plasma-anregungsquelle und dem plasma angeordnet ist
DE69635640T2 (de) Plasmabearbeitungsgerät
DE19781667B4 (de) Plasmaerzeugungsverfahren und -gerät mit einer induktiv gekoppelten Plasmaquelle
DE69736977T2 (de) Vakuumkammer mit hohem durchfluss und modularen ausstattungselementen wie plasmaerzeugungsquelle, vakuumpumpe und/oder freitragendem werkstückträger
DE69935321T2 (de) Verfahren und vorrichtung zur ionisierten physikalischen dampfabscheidung
US20020078893A1 (en) Plasma enhanced chemical processing reactor and method
DE19980683C2 (de) Gestapelte Duschkopfeinheit zum Leiten von Gasen und HF-Leistung in eine Reaktionskammer
DE102006037144B4 (de) ECR-Plasmaquelle
DE60221535T2 (de) Zwei-frequenz-plasmaätzreaktor mit unabhängiger kontrolle für dichte, chemie und ionenenergie
DE112008002015B4 (de) Weiterentwickelte Bearbeitungskammer für mehrere Werkstücke und Verfahren zu deren Erzeugung
EP0467046B1 (de) Aetz- oder Beschichtungsanlagen
DE69735271T2 (de) Verfahren zum Reinigen eines Vakuumbearbeitungskammer einschliesslich der Gaseinlassöffnung
DE112007002459T5 (de) Plasmafilmbildungsvorrichtung und Plasmafilmbildungsverfahren
DE10060002A1 (de) Vorrichtung zur Oberflächenbehandlung
DE69815163T2 (de) Verfahren und Vorrichtung zur Abscheidung von Titanschichten
US9472379B2 (en) Method of multiple zone symmetric gas injection for inductively coupled plasma
EP0034706B1 (de) Verfahren und Vorrichtung zum Plasmaätzen oder zur Plasma CVD
EP2636054B1 (de) Vorrichtung zum behandeln von substraten
DE69907687T2 (de) Plasmabearbeitungsvorrichtung mit elektrisch leitender Wand
EP1352417A2 (de) Vorrichtung zur plasmagestützten bearbeitung von oberflächen planarer substrate

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee