DE69711515T2 - Verfahren zum Herstellen eines isolierenden Zwischenfilms - Google Patents

Verfahren zum Herstellen eines isolierenden Zwischenfilms

Info

Publication number
DE69711515T2
DE69711515T2 DE69711515T DE69711515T DE69711515T2 DE 69711515 T2 DE69711515 T2 DE 69711515T2 DE 69711515 T DE69711515 T DE 69711515T DE 69711515 T DE69711515 T DE 69711515T DE 69711515 T2 DE69711515 T2 DE 69711515T2
Authority
DE
Germany
Prior art keywords
making
insulating film
intermediate insulating
film
insulating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69711515T
Other languages
English (en)
Other versions
DE69711515D1 (de
Inventor
Gaku Sugahara
Nobuo Aoi
Koji Arai
Kazuyuki Sawada
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Application granted granted Critical
Publication of DE69711515D1 publication Critical patent/DE69711515D1/de
Publication of DE69711515T2 publication Critical patent/DE69711515T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
DE69711515T 1996-08-29 1997-08-29 Verfahren zum Herstellen eines isolierenden Zwischenfilms Expired - Fee Related DE69711515T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP22811296 1996-08-29
JP26857196 1996-10-09
JP2352297 1997-02-06

Publications (2)

Publication Number Publication Date
DE69711515D1 DE69711515D1 (de) 2002-05-08
DE69711515T2 true DE69711515T2 (de) 2002-07-18

Family

ID=27284296

Family Applications (4)

Application Number Title Priority Date Filing Date
DE69729490T Expired - Fee Related DE69729490T2 (de) 1996-08-29 1997-08-29 Verfahren zum Herstellen eines isolierenden Zwischenfilms
DE69727846T Expired - Fee Related DE69727846T2 (de) 1996-08-29 1997-08-29 Verfahren zum Herstellen eines isolierenden Zwischenfilms
DE69731566T Expired - Fee Related DE69731566T2 (de) 1996-08-29 1997-08-29 Verfahren zum Herstellen eines isolierenden Zwischenfilms
DE69711515T Expired - Fee Related DE69711515T2 (de) 1996-08-29 1997-08-29 Verfahren zum Herstellen eines isolierenden Zwischenfilms

Family Applications Before (3)

Application Number Title Priority Date Filing Date
DE69729490T Expired - Fee Related DE69729490T2 (de) 1996-08-29 1997-08-29 Verfahren zum Herstellen eines isolierenden Zwischenfilms
DE69727846T Expired - Fee Related DE69727846T2 (de) 1996-08-29 1997-08-29 Verfahren zum Herstellen eines isolierenden Zwischenfilms
DE69731566T Expired - Fee Related DE69731566T2 (de) 1996-08-29 1997-08-29 Verfahren zum Herstellen eines isolierenden Zwischenfilms

Country Status (3)

Country Link
US (3) US5989998A (de)
EP (4) EP1207217B1 (de)
DE (4) DE69729490T2 (de)

Families Citing this family (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
JP3173426B2 (ja) * 1997-06-09 2001-06-04 日本電気株式会社 シリカ絶縁膜の製造方法及び半導体装置の製造方法
US6121159A (en) 1997-06-19 2000-09-19 Lsi Logic Corporation Polymeric dielectric layers having low dielectric constants and improved adhesion to metal lines
US6731007B1 (en) * 1997-08-29 2004-05-04 Hitachi, Ltd. Semiconductor integrated circuit device with vertically stacked conductor interconnections
WO1999028962A1 (fr) * 1997-11-27 1999-06-10 Tokyo Electron Limited Procede de formation de films de plasma
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6852650B2 (en) * 1998-02-05 2005-02-08 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US6881683B2 (en) * 1998-02-05 2005-04-19 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US6432846B1 (en) 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6660656B2 (en) * 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
JP3305251B2 (ja) * 1998-02-26 2002-07-22 松下電器産業株式会社 配線構造体の形成方法
US6207545B1 (en) * 1998-11-30 2001-03-27 Taiwan Semiconductor Manufacturing Corporation Method for forming a T-shaped plug having increased contact area
US6252303B1 (en) 1998-12-02 2001-06-26 Advanced Micro Devices, Inc. Intergration of low-K SiOF as inter-layer dielectric
US6177364B1 (en) * 1998-12-02 2001-01-23 Advanced Micro Devices, Inc. Integration of low-K SiOF for damascene structure
JP2000174123A (ja) * 1998-12-09 2000-06-23 Nec Corp 半導体装置及びその製造方法
FR2789804B1 (fr) * 1999-02-17 2002-08-23 France Telecom Procede de gravure anisotrope par plasma gazeux d'un materiau polymere dielectrique organique et application a la microelectronique
GB2361809B (en) 1999-02-26 2003-11-05 Trikon Holdings Ltd A method of processing a polymer layer
JP4270632B2 (ja) * 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
US20060017162A1 (en) * 1999-03-12 2006-01-26 Shoji Seta Semiconductor device and manufacturing method of the same
US6849923B2 (en) * 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
JP2000332010A (ja) * 1999-03-17 2000-11-30 Canon Sales Co Inc 層間絶縁膜の形成方法及び半導体装置
US6114233A (en) * 1999-05-12 2000-09-05 United Microelectronics Corp. Dual damascene process using low-dielectric constant materials
DE10081808T1 (de) 1999-06-26 2002-11-07 Trikon Holdings Ltd Verfahren und Vorrichtung zur Ausbildung eines Filmes auf einem Substrat
US6458720B1 (en) * 1999-07-23 2002-10-01 Matsushita Electric Industrial Co., Ltd. Method for forming interlayer dielectric film
JP2001044191A (ja) * 1999-07-27 2001-02-16 Sony Corp 積層絶縁膜とその製造方法および半導体装置とその製造方法
JP2001077086A (ja) * 1999-08-31 2001-03-23 Oki Electric Ind Co Ltd 半導体装置のドライエッチング方法
US6498399B2 (en) * 1999-09-08 2002-12-24 Alliedsignal Inc. Low dielectric-constant dielectric for etchstop in dual damascene backend of integrated circuits
US6391795B1 (en) * 1999-10-22 2002-05-21 Lsi Logic Corporation Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning
JP3838614B2 (ja) * 1999-11-10 2006-10-25 松下電器産業株式会社 半導体装置の製造方法
DE19960092A1 (de) * 1999-12-14 2001-07-12 Bosch Gmbh Robert Beschichtungsverfahren
JP3348084B2 (ja) 1999-12-28 2002-11-20 キヤノン販売株式会社 成膜方法及び半導体装置
JP3615979B2 (ja) * 2000-01-18 2005-02-02 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
EP1123991A3 (de) * 2000-02-08 2002-11-13 Asm Japan K.K. Materialen mit niedrieger Dielektrizitätskonstante und Verfahren
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP2001267310A (ja) * 2000-03-17 2001-09-28 Tokyo Electron Ltd プラズマ成膜方法及びその装置
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
EP1149933A1 (de) * 2000-04-28 2001-10-31 STMicroelectronics S.r.l. Prozess zur Abscheidung von dielectrischen Filmen mit niedriger Dielektrizitätskonstante
US6521546B1 (en) 2000-06-14 2003-02-18 Applied Materials, Inc. Method of making a fluoro-organosilicate layer
US6492731B1 (en) 2000-06-27 2002-12-10 Lsi Logic Corporation Composite low dielectric constant film for integrated circuit structure
US6890848B2 (en) * 2000-06-30 2005-05-10 Tokyo Electron Limited Fabrication process of a semiconductor device
FR2811686B1 (fr) * 2000-07-17 2003-01-10 Commissariat Energie Atomique Procede de fabrication de couches de carbone aptes a emettre des electrons, par depot chimique en phase vapeur
US6835669B2 (en) * 2000-07-21 2004-12-28 Canon Sales Co., Inc. Film forming method, semiconductor device and semiconductor device manufacturing method
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6573196B1 (en) 2000-08-12 2003-06-03 Applied Materials Inc. Method of depositing organosilicate layers
EP1314193A2 (de) * 2000-08-21 2003-05-28 Dow Global Technologies Inc. Organosilikatharz-hart-maske für polymere mit niedriger dielektrischer konstante in der herstellung von mikroelektronischen schaltungen
JP2002075980A (ja) * 2000-08-30 2002-03-15 Miyazaki Oki Electric Co Ltd 真空紫外光cvdによる低誘電体膜の製造方法
US6489242B1 (en) 2000-09-13 2002-12-03 Lsi Logic Corporation Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures
KR100852995B1 (ko) * 2000-10-18 2008-08-19 에이에스엠 저펜 가부시기가이샤 반도체 기판상에 저 유전율을 갖는 막을 형성하는 방법
JP4272424B2 (ja) * 2000-10-25 2009-06-03 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体素子のレベル内またはレベル間誘電体としての超低誘電率材料、その製造方法、およびそれを含む電子デバイス
US6768200B2 (en) 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6531398B1 (en) * 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6423630B1 (en) 2000-10-31 2002-07-23 Lsi Logic Corporation Process for forming low K dielectric material between metal lines
US6537923B1 (en) 2000-10-31 2003-03-25 Lsi Logic Corporation Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6607967B1 (en) 2000-11-15 2003-08-19 Lsi Logic Corporation Process for forming planarized isolation trench in integrated circuit structure on semiconductor substrate
US6905981B1 (en) 2000-11-24 2005-06-14 Asm Japan K.K. Low-k dielectric materials and processes
US6500773B1 (en) * 2000-11-27 2002-12-31 Applied Materials, Inc. Method of depositing organosilicate layers
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6455443B1 (en) * 2001-02-21 2002-09-24 International Business Machines Corporation Method of fabricating low-dielectric constant interlevel dielectric films for BEOL interconnects with enhanced adhesion and low-defect density
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6572925B2 (en) 2001-02-23 2003-06-03 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon containing silicon oxide dielectric material
US6649219B2 (en) 2001-02-23 2003-11-18 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
KR20030093270A (ko) 2001-03-23 2003-12-06 다우 코닝 코포레이션 수소화 규소 옥시카바이드 필름의 제조 방법
US6472333B2 (en) 2001-03-28 2002-10-29 Applied Materials, Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
US6777171B2 (en) 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US7074489B2 (en) 2001-05-23 2006-07-11 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6559048B1 (en) 2001-05-30 2003-05-06 Lsi Logic Corporation Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning
US6583026B1 (en) 2001-05-31 2003-06-24 Lsi Logic Corporation Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6599826B2 (en) * 2001-06-01 2003-07-29 United Microelectronics Corp. Method for fabricating a low dielectric constant material layer
US6566171B1 (en) 2001-06-12 2003-05-20 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6930056B1 (en) * 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US6559033B1 (en) 2001-06-27 2003-05-06 Lsi Logic Corporation Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US7183201B2 (en) * 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US6881664B2 (en) * 2001-08-28 2005-04-19 Lsi Logic Corporation Process for planarizing upper surface of damascene wiring structure for integrated circuit structures
US6521520B1 (en) 2001-08-30 2003-02-18 Lsi Logic Corporation Semiconductor wafer arrangement and method of processing a semiconductor wafer
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6528423B1 (en) 2001-10-26 2003-03-04 Lsi Logic Corporation Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
US6613665B1 (en) 2001-10-26 2003-09-02 Lsi Logic Corporation Process for forming integrated circuit structure comprising layer of low k dielectric material having antireflective properties in an upper surface
US7001823B1 (en) 2001-11-14 2006-02-21 Lsi Logic Corporation Method of manufacturing a shallow trench isolation structure with low trench parasitic capacitance
US6537896B1 (en) 2001-12-04 2003-03-25 Lsi Logic Corporation Process for treating porous low k dielectric material in damascene structure to form a non-porous dielectric diffusion barrier on etched via and trench surfaces in the porous low k dielectric material
US6562735B1 (en) 2001-12-11 2003-05-13 Lsi Logic Corporation Control of reaction rate in formation of low k carbon-containing silicon oxide dielectric material using organosilane, unsubstituted silane, and hydrogen peroxide reactants
US6699784B2 (en) 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
KR101227664B1 (ko) 2002-01-31 2013-01-29 도소 가부시키가이샤 유기실란화합물을 포함하여 구성되는 절연막용 재료, 그 제조방법 및 반도체장치
JP2003234180A (ja) * 2002-02-08 2003-08-22 Nippon Zeon Co Ltd 有機エレクトロルミネッセンス素子用カバー膜、並びにそれを用いた有機エレクトロルミネッセンス素子及びその製造方法
US6849562B2 (en) * 2002-03-04 2005-02-01 Applied Materials, Inc. Method of depositing a low k dielectric barrier film for copper damascene application
US6818570B2 (en) * 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6936309B2 (en) 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US6858548B2 (en) * 2002-04-18 2005-02-22 Applied Materials, Inc. Application of carbon doped silicon oxide film to flat panel industry
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
TWI288443B (en) 2002-05-17 2007-10-11 Semiconductor Energy Lab SiN film, semiconductor device, and the manufacturing method thereof
US7105460B2 (en) * 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
US6927178B2 (en) 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US6939808B2 (en) * 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
JP3967253B2 (ja) * 2002-11-08 2007-08-29 東京エレクトロン株式会社 多孔質絶縁膜の形成方法及び多孔質絶縁膜の形成装置
CN101442003B (zh) * 2002-11-28 2012-12-26 东曹株式会社 含有有机硅烷、有机硅氧烷化合物形成的绝缘膜用材料、其制造方法和半导体器件
TWI282124B (en) 2002-11-28 2007-06-01 Tosoh Corp Insulating film material containing an organic silane compound, its production method and semiconductor device
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US6897163B2 (en) 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US6740602B1 (en) * 2003-03-17 2004-05-25 Asm Japan K.K. Method of forming low-dielectric constant film on semiconductor substrate by plasma reaction using high-RF power
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
WO2005004221A2 (en) * 2003-07-08 2005-01-13 Silecs Oy Low-k-dielectric material
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
CN101942648A (zh) * 2003-08-15 2011-01-12 东京毅力科创株式会社 等离子cvd法用气体
JP4413556B2 (ja) * 2003-08-15 2010-02-10 東京エレクトロン株式会社 成膜方法、半導体装置の製造方法
CN1868044B (zh) * 2003-08-15 2012-04-18 东京毅力科创株式会社 半导体装置、半导体装置的制造方法及等离子体cvd用气体
JP4628954B2 (ja) * 2003-08-25 2011-02-09 株式会社アルバック 酸化物薄膜製造方法
US7520790B2 (en) * 2003-09-19 2009-04-21 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method of display device
CN100446193C (zh) 2004-02-13 2008-12-24 松下电器产业株式会社 有机无机混合绝缘膜的形成方法
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US7229911B2 (en) 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
JP2007536107A (ja) * 2004-05-04 2007-12-13 ミヌタ・テクノロジー・カンパニー・リミテッド 非結晶性フッ素樹脂を用いた鋳型及びその製造方法
US7098105B2 (en) * 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
KR100685675B1 (ko) * 2004-06-30 2007-02-23 주식회사 하이닉스반도체 반도체소자의 콘택홀 형성 방법
US7288205B2 (en) 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7442976B2 (en) * 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US8139131B2 (en) * 2005-01-18 2012-03-20 Panasonic Corporation Solid state imaging device and fabrication method thereof, and camera incorporating the solid state imaging device
US7902598B2 (en) * 2005-06-24 2011-03-08 Micron Technology, Inc. Two-sided surround access transistor for a 4.5F2 DRAM cell
US7768051B2 (en) * 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US20100034970A1 (en) * 2006-07-28 2010-02-11 Daisankasei Co., Ltd. Apparatus and method for chemical vapor deposition
US8067772B2 (en) 2006-12-05 2011-11-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7968884B2 (en) * 2006-12-05 2011-06-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
TWI535028B (zh) 2009-12-21 2016-05-21 半導體能源研究所股份有限公司 薄膜電晶體
KR101836067B1 (ko) 2009-12-21 2018-03-08 가부시키가이샤 한도오따이 에네루기 켄큐쇼 박막 트랜지스터와 그 제작 방법
US8476744B2 (en) 2009-12-28 2013-07-02 Semiconductor Energy Laboratory Co., Ltd. Thin film transistor with channel including microcrystalline and amorphous semiconductor regions
EP2536867A4 (de) 2010-02-17 2013-07-10 Air Liquide Dampfablagerungsverfahren für sicoh-filme mit niedriger dielektrizitätskonstante
US8704230B2 (en) 2010-08-26 2014-04-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US9230826B2 (en) 2010-08-26 2016-01-05 Semiconductor Energy Laboratory Co., Ltd. Etching method using mixed gas and method for manufacturing semiconductor device
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
WO2015112958A1 (en) 2014-01-27 2015-07-30 Corning Incorporated Articles and methods for controlled bonding of thin sheets with carriers
EP3129221A1 (de) 2014-04-09 2017-02-15 Corning Incorporated Vorrichtungsmodifizierter substratartikel und herstellungsverfahren
KR102573207B1 (ko) 2015-05-19 2023-08-31 코닝 인코포레이티드 시트와 캐리어의 결합을 위한 물품 및 방법
US11905201B2 (en) 2015-06-26 2024-02-20 Corning Incorporated Methods and articles including a sheet and a carrier
US10283348B2 (en) * 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US20170358445A1 (en) 2016-06-13 2017-12-14 Gvd Corporation Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles
US11679412B2 (en) 2016-06-13 2023-06-20 Gvd Corporation Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles
TW202216444A (zh) 2016-08-30 2022-05-01 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI810161B (zh) 2016-08-31 2023-08-01 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
WO2019118660A1 (en) 2017-12-15 2019-06-20 Corning Incorporated Method for treating a substrate and method for making articles comprising bonded sheets
US11600486B2 (en) * 2020-09-15 2023-03-07 Applied Materials, Inc. Systems and methods for depositing low-κdielectric films
US11621162B2 (en) * 2020-10-05 2023-04-04 Applied Materials, Inc. Systems and methods for forming UV-cured low-κ dielectric films

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3632386A (en) * 1968-10-31 1972-01-04 Arbco Inc Treated silicone surface
CA1077787A (en) * 1975-11-21 1980-05-20 National Aeronautics And Space Administration Abrasion resistant coatings for plastic surfaces
JPS5949215B2 (ja) * 1976-11-27 1984-12-01 日本化薬株式会社 メタクリル酸又はアクリル酸の製造方法
US4252848A (en) * 1977-04-11 1981-02-24 Rca Corporation Perfluorinated polymer thin films
US4123308A (en) * 1977-10-19 1978-10-31 Union Carbide Corporation Process for chemically bonding a poly-p-xylylene to a thermosetting resin and article produced thereby
SE7804052L (sv) * 1978-04-11 1979-10-12 Atlas Copco Ab Bergborrmaskin
US4435476A (en) * 1982-08-18 1984-03-06 Foster Grant Corporation Method of making an abrasion resistant coating on a solid substrate and articles produced thereby
US4493855A (en) * 1982-12-23 1985-01-15 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
US4599243A (en) * 1982-12-23 1986-07-08 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
US4590117A (en) * 1983-03-10 1986-05-20 Toray Industries, Inc. Transparent material having antireflective coating
US4693927A (en) * 1984-03-19 1987-09-15 Fuji Photo Film Company Limited Magnetic recording medium and process for producing the same
KR870000750A (ko) * 1985-06-14 1987-02-20 이마드 마하윌리 이산화실리콘 필름을 화학적으로 증기피복하는 방법
DE3531578A1 (de) * 1985-09-04 1987-03-05 Siemens Ag Verfahren zum herstellen von dielektrikumsschichten durch polymerisation von gasen
EP0393271A1 (de) * 1987-08-08 1990-10-24 The Standard Oil Company Dünnschichtüberzüge aus Fluorpolymer und Verfahren zu ihrer Herstellung durch Plasmapolymerisation
RO101057B1 (en) * 1988-04-27 1992-11-23 Amorphous silicon and polysilicon thin layers coating method for electronic device production
JPH03101123A (ja) * 1989-09-13 1991-04-25 Agency Of Ind Science & Technol 非晶質系半導体膜の製造法
US5252250A (en) * 1990-02-21 1993-10-12 Bridgestone Corporation Electrorheological fluids comprising dielectric particulates dispersed in a highly electrically insulating oily medium
US5112025A (en) * 1990-02-22 1992-05-12 Tdk Corporation Molds having wear resistant release coatings
US5198263A (en) * 1991-03-15 1993-03-30 The United States Of America As Represented By The United States Department Of Energy High rate chemical vapor deposition of carbon films using fluorinated gases
US5270082A (en) * 1991-04-15 1993-12-14 Lin Tyau Jeen Organic vapor deposition process for corrosion protection of metal substrates
DE4126759A1 (de) * 1991-08-13 1993-02-18 Siemens Ag Verfahren zur erzeugung siliciumhaltiger organischer schichten
EP0560617A3 (en) * 1992-03-13 1993-11-24 Kawasaki Steel Co Method of manufacturing insulating film on semiconductor device and apparatus for carrying out the same
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5298587A (en) * 1992-12-21 1994-03-29 The Dow Chemical Company Protective film for articles and method
JPH0758100A (ja) 1993-08-10 1995-03-03 Kawasaki Steel Corp 半導体装置の製造方法
JP3419045B2 (ja) * 1993-10-20 2003-06-23 松下電器産業株式会社 磁気記録媒体の製造方法
JPH07183292A (ja) 1993-12-24 1995-07-21 Kawasaki Steel Corp 半導体装置の製造方法
JPH07254592A (ja) * 1994-03-16 1995-10-03 Fujitsu Ltd 半導体装置の製造方法
JP2748864B2 (ja) 1994-09-12 1998-05-13 日本電気株式会社 半導体装置及びその製造方法及び非晶質炭素膜の製造方法及びプラズマcvd装置
CA2157257C (en) * 1994-09-12 1999-08-10 Kazuhiko Endo Semiconductor device with amorphous carbon layer and method of fabricating the same
US5462784A (en) * 1994-11-02 1995-10-31 International Business Machines Corporation Fluorinated diamond-like carbon protective coating for magnetic recording media devices
JP2655821B2 (ja) 1994-11-16 1997-09-24 工業技術院長 一方向電気伝導性ケイ素系プラズマ重合膜及びその製造方法
JP3482725B2 (ja) 1995-02-23 2004-01-06 東亞合成株式会社 フッ素含有シリコン酸化膜の製造方法
US5637351A (en) * 1995-05-11 1997-06-10 Air Products And Chemicals, Inc. Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promoter
JP3401993B2 (ja) * 1995-05-26 2003-04-28 ソニー株式会社 層間絶縁膜および層間絶縁膜の形成方法
JPH0969518A (ja) 1995-08-31 1997-03-11 Sony Corp シリコン化合物系絶縁膜の成膜方法
JP2737720B2 (ja) * 1995-10-12 1998-04-08 日本電気株式会社 薄膜形成方法及び装置
EP0854891B1 (de) * 1995-10-13 2003-05-28 Dow Global Technologies Inc. Verfahren zur herstellung von beschichteten kunststoffoberflächen
JP3666106B2 (ja) 1996-02-29 2005-06-29 ソニー株式会社 半導体装置の製造方法
US5942328A (en) 1996-02-29 1999-08-24 International Business Machines Corporation Low dielectric constant amorphous fluorinated carbon and method of preparation
JP2850834B2 (ja) 1996-03-07 1999-01-27 日本電気株式会社 非晶質炭素膜の製造方法及び半導体装置
JP3226479B2 (ja) 1996-08-29 2001-11-05 松下電器産業株式会社 層間絶縁膜の形成方法
JP3260352B2 (ja) 1996-08-29 2002-02-25 松下電器産業株式会社 層間絶縁膜の形成方法
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
JP3226524B1 (ja) 1996-08-29 2001-11-05 松下電器産業株式会社 層間絶縁膜の形成方法
JP3538159B2 (ja) 1996-08-29 2004-06-14 松下電器産業株式会社 層間絶縁膜の形成方法
KR100205318B1 (ko) 1996-10-11 1999-07-01 구본준 자유전율의 절연막 제조방법
US6310300B1 (en) * 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
JP3409984B2 (ja) * 1996-11-14 2003-05-26 東京エレクトロン株式会社 半導体装置及び半導体装置の製造方法
JPH10214829A (ja) 1997-01-28 1998-08-11 Matsushita Electric Ind Co Ltd 層間絶縁膜の形成方法
JPH10242143A (ja) 1997-02-27 1998-09-11 Mitsubishi Electric Corp 半導体装置、半導体装置の製造方法及び半導体装置の絶縁膜形成方法
JP3202657B2 (ja) 1997-05-23 2001-08-27 日本電気株式会社 半導体装置の製造方法
JP3178375B2 (ja) * 1997-06-03 2001-06-18 日本電気株式会社 絶縁膜の形成方法
JP3173426B2 (ja) 1997-06-09 2001-06-04 日本電気株式会社 シリカ絶縁膜の製造方法及び半導体装置の製造方法
US6051321A (en) * 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US6660656B2 (en) * 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US5900290A (en) * 1998-02-13 1999-05-04 Sharp Microelectronics Technology, Inc. Method of making low-k fluorinated amorphous carbon dielectric
JP3189781B2 (ja) * 1998-04-08 2001-07-16 日本電気株式会社 半導体装置の製造方法
US6184572B1 (en) * 1998-04-29 2001-02-06 Novellus Systems, Inc. Interlevel dielectric stack containing plasma deposited fluorinated amorphous carbon films for semiconductor devices
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD

Also Published As

Publication number Publication date
DE69731566D1 (de) 2004-12-16
EP1050599A2 (de) 2000-11-08
US20030203655A1 (en) 2003-10-30
EP0826791A3 (de) 1998-08-12
US5989998A (en) 1999-11-23
DE69727846D1 (de) 2004-04-01
DE69727846T2 (de) 2004-07-15
EP1207217B1 (de) 2004-11-10
EP1050599B1 (de) 2004-06-09
DE69731566T2 (de) 2005-03-24
EP1182275B1 (de) 2004-02-25
EP1182275A2 (de) 2002-02-27
US7060323B2 (en) 2006-06-13
DE69729490T2 (de) 2004-10-07
DE69711515D1 (de) 2002-05-08
US6558756B2 (en) 2003-05-06
US20020004298A1 (en) 2002-01-10
EP0826791B1 (de) 2002-04-03
EP1207217A1 (de) 2002-05-22
EP1182275A3 (de) 2002-04-24
EP0826791A2 (de) 1998-03-04
EP1050599A3 (de) 2000-12-13
DE69729490D1 (de) 2004-07-15

Similar Documents

Publication Publication Date Title
DE69731566D1 (de) Verfahren zum Herstellen eines isolierenden Zwischenfilms
DE69520028D1 (de) Verfahren zum Herstellen eines Isolieraufbaus
DE59702585D1 (de) Verfahren zum Bereitstellen eines Scheinziels
DE69107101T2 (de) Verfahren zum Herstellen eines Oxydfilms.
DE69527724T2 (de) Verfahren zur kanalabtastung nach thema
DE69711416D1 (de) Verfahren zum herstellen von aethylacetat
DE69519071T2 (de) Verfahren zum herstellen von expandiertem polytetrafluorethylen
DE69810359T2 (de) Verfahren zum Herstellen von rohrförmigen Behältern
DE69634072D1 (de) Herstellungsverfahren eines Elektronenerzeugungsgerät
DE69505880T3 (de) Verfahren zum Herstellen von Gussformen
DE59607516D1 (de) Verfahren zur vorgabe der übersetzung eines stufenlosen getriebes
DE69529343T2 (de) Verfahren zur isolierung von mesophasepech
DE69805711D1 (de) Verfahren zum herstellen eines Bilderzeugungsgerätes
DE69909696D1 (de) Verfahren zum Beheizen eines Ofens
DE69737237D1 (de) Verfahren zur trockenätzung
DE69836618D1 (de) Verfahren zum herstellen eines Bilderzeugungsgerätes
DE59601156D1 (de) Verfahren zum orten eines objektes
ATA66195A (de) Verfahren zum herstellen von gehäusen
DE69601540T2 (de) Verfahren zur oxychlorierung
ATA901893A (de) Verfahren zum herstellen eines dämmelementes
DE69609963T2 (de) Verfahren zur iodierung
DE59703015D1 (de) Verfahren zum herstellen von eisenschwamm
DE69621261D1 (de) Verfahren zum Herstellen von Beuteln
DE59408770D1 (de) Verfahren zum herstellen eines gekapselten detektors
DE59914733D1 (de) Verfahren zum Herstellen einer Elektrode

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee