US20010011740A1 - Capacitor having tantalum oxynitride film and method for making same - Google Patents

Capacitor having tantalum oxynitride film and method for making same Download PDF

Info

Publication number
US20010011740A1
US20010011740A1 US09/031,526 US3152698A US2001011740A1 US 20010011740 A1 US20010011740 A1 US 20010011740A1 US 3152698 A US3152698 A US 3152698A US 2001011740 A1 US2001011740 A1 US 2001011740A1
Authority
US
United States
Prior art keywords
tantalum
plate electrode
forming
capacitor
bottom plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/031,526
Other versions
US6458645B2 (en
Inventor
Scott Jeffrey DeBoer
Husam N. Al-Shareef
Randhir P.S. Thakur
Dan Gealy
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
US Bank NA
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US09/031,526 priority Critical patent/US6458645B2/en
Application filed by Individual filed Critical Individual
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GEALY, DAN, THAKUR, RANDHIR P.S., AL-SHAREEF, HUSAM N., DEBOER, SCOTT JEFFREY
Publication of US20010011740A1 publication Critical patent/US20010011740A1/en
Priority to US10/232,206 priority patent/US6864527B2/en
Priority to US10/232,205 priority patent/US7206215B2/en
Publication of US6458645B2 publication Critical patent/US6458645B2/en
Application granted granted Critical
Priority to US11/073,800 priority patent/US7038265B2/en
Priority to US11/419,942 priority patent/US20060199311A1/en
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON TECHNOLOGY, INC.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT reassignment MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: MICRON TECHNOLOGY, INC.
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST. Assignors: MICRON TECHNOLOGY, INC.
Anticipated expiration legal-status Critical
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C17/00Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards
    • G11C17/14Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards in which contents are determined by selectively establishing, breaking or modifying connecting links by permanently altering the state of coupling elements, e.g. PROM
    • G11C17/16Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards in which contents are determined by selectively establishing, breaking or modifying connecting links by permanently altering the state of coupling elements, e.g. PROM using electrically-fusible links
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31637Deposition of Tantalum oxides, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/20Programmable ROM [PROM] devices comprising field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5252Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising anti-fuses, i.e. connections having their state changed from non-conductive to conductive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/65Electrodes comprising a noble metal or a noble metal oxide, e.g. platinum (Pt), ruthenium (Ru), ruthenium dioxide (RuO2), iridium (Ir), iridium dioxide (IrO2)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/84Electrodes with an enlarged surface, e.g. formed by texturisation being a rough surface, e.g. using hemispherical grains
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to semiconductor structures and methods for fabricating such structures in semiconductor integrated circuits and, in particular, to forming capacitors for memory cells having high dielectric constant materials therein.
  • DRAMs Dynamic random access memories
  • DRAMs are the most widely used form of memory integrated circuits to date.
  • DRAMs are composed of memory cell arrays and peripheral circuitry required for cell access and external input and output.
  • Each memory cell array is formed of a plurality of memory cells for storing bits of data.
  • Typical memory cells are formed of a capacitor, for storing electric charges and a transistor, for controlling charge and discharge of the capacitor.
  • Of primary concern is maximizing the storage capacitance of each memory cell capacitor, particularly in light of the demand for 256 Mb DRAMs today and higher densities in the future without increasing the chip space required to form the array.
  • the importance of high density DRAMs cannot be overstated in today's competitive microelectronics market. Devices are becoming smaller, but they are required to provide much more performance.
  • Increasing the capacitor area (A) by forming the storage capacitor in a trench shape etched in the substrate is well known in the art, as well as using a stacked capacitor structure.
  • Stacked-type capacitors feature a major part of the capacitor extending over the gate electrode and field isolating film of the underlying transistor.
  • Such structures are generally composed of a lower plate electrode (consisting of a base portion and a standing wall portion), a capacitive dielectric film, and an upper plate electrode.
  • Other complex topographical lower plate electrode configurations have also been used to maximize the capacitive area (A) of a memory cell, such as fin-type, double-sided, and roughened lower plate electrode structures produced using hemispherical grain (HSG) polysilicon.
  • HSG hemispherical grain
  • the thickness of the capacitive dielectric film (t cdf ) must be minimized to maintain the maximum charge stored on the capacitor.
  • the capacitive dielectric film must also prevent direct electrical contact between the lower and upper electrodes to prevent the charge from decaying.
  • dielectric constant
  • tantalum oxide such as tantalum penta oxide (Ta 2 O 5 ).
  • Ta 2 O 5 potentially has a dielectric constant ( ⁇ ) of about 22, which is significantly greater than conventional silicon oxide, which has a dielectric constant ( ⁇ ) of only about 3.9.
  • a capacitor of a memory cell formed with Ta 2 O 5 insulator includes an electrode.
  • the electrode may be initially formed with an organometallic precursor that contains carbon.
  • the integrated circuit is subject to a high temperature processing step, such as borophosphosilicate glass (BPSG) reflow or polysilicon activation.
  • BPSG borophosphosilicate glass
  • carbon from the electrode diffuses into the Ta 2 O 5 insulator.
  • the carbon in the Ta 2 O 5 insulator forms a leakage mechanism that renders the capacitor unusable.
  • a relatively high leakage current flows through the capacitor even when relatively small voltage is applied across the capacitor. Therefore, there is a need for a capacitor that has a relatively high capacitance per unit area, to ensure high device density, and a relatively low leakage current.
  • dielectric material having a high dielectric constant that is substantially unaffected by subsequent high temperature processing steps.
  • a capacitor has a tantalum oxynitride film.
  • One method for making the film comprises forming a bottom plate electrode and then forming a tantalum oxide film on the bottom plate electrode. Nitrogen is introduced to form a tantalum oxynitride film. A top plate electrode is formed on the tantalum oxynitride film. In another embodiment, an anti-fuse is formed in the same manner.
  • the step of forming the tantalum oxide film comprises the steps of forming tantalum on the bottom electrode, and oxidizing the tantalum.
  • tantalum oxide film is formed by chemical vapor deposition with tantalum and oxygen sources.
  • the tantalum oxide film is annealed to form a tantalum oxynitride film Ta x N y O z , wherein (y+z)/x>2.5.
  • the method of forming the capacitor includes the step of forming a bottom plate electrode.
  • a tantalum oxynitride film is formed on the bottom plate electrode.
  • a top plate electrode is formed on the tantalum oxynitride film.
  • the tantalum oxynitride film is formed by metal organo chemical vapor deposition with a nitrogen source that is hydrazene at a temperature between approximately 400 and 600 degrees Celsius so as to form amorphous tantalum oxynitride.
  • the invention is a capacitor that has a bottom plate electrode, a tantalum oxynitride film and a top plate electrode.
  • the tantalum oxynitride film is amorphous.
  • the capacitor may be used in a memory array of a memory.
  • the memory comprises the memory array, a control circuit, operatively coupled to the memory array, and address logic, operatively coupled to the memory array and the control logic.
  • the capacitor may be used in the memory array of a system.
  • the system comprises a memory and a processor coupled to the memory.
  • an antifuse is operated by applying a voltage across the electrodes of the capacitor having a tantalum oxynitride film. A hole is formed in the tantalum oxynitride film.
  • the capacitor has a high dielectric constant. It is also a benefit of the present invention that it is less affected by heat so as to have reduced leakage current. It is a further advantage of the invention that the capacitor has enhanced reliability.
  • FIG. 1A is a cross-sectional representation of a polysilicon electrode stacked, double-sided capacitor memory cell formed according to the method of the invention, wherein the capacitor is stacked on a source/drain region of an underlying access transistor.
  • FIG. 1B is a cross-sectional representation of a trench-type capacitor memory cell formed according to the method of the invention.
  • FIG. 2 is a graphical representation of voltage versus capacitance for planar tantalum penta oxide capacitive dielectric films formed according to the method of the invention compared to conventional silicon nitride capacitive dielectric films.
  • FIG. 3A is an Auger plot of atomic concentrations in a memory cell having a tantalum oxide dielectric.
  • FIG. 3B is an Auger plot of atomic concentrations in a memory cell having a tantalum oxynitride dielectric
  • FIG. 4 is a block diagram of a memory having a capacitor in accordance with the present invention.
  • FIG. 5 is a block diagram of the memory of FIG. 4 coupled to an external system.
  • wafer and substrate used in the following description include any structure having an exposed surface with which to form the integrated circuit (IC) structure of the invention.
  • substrate is understood to include semiconductor wafers.
  • substrate is also used to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereupon.
  • Both wafer and substrate include doped and undoped semiconductors, epitaxial semiconductor layers supported by a base semiconductor or insulator, as well as other semiconductor structures well known to one skilled in the art.
  • the term conductor is understood to include semiconductors, and the term insulator is defined to include any material that is less electrically conductive than the materials referred to as conductors. The following detailed description is, therefore, not to be taken in a limiting sense.
  • FIGS. 1A and 1B represent, generally, capacitors 100 of memory cells formed in accordance with the method of the invention.
  • the following description details process steps utilized to form the capacitors 100 .
  • the process steps described are directed towards forming a tantalum oxynitride film 102 between bottom and top plate electrodes 104 , 106 , respectively, of a capacitor 100 .
  • FIG. 1A is a cross-sectional representation of a stacked, double-sided capacitor 100 formed according to the method of the invention, wherein the capacitor 100 is stacked on a source/drain region 108 of an underlying access transistor.
  • the access transistor comprises source/drain regions 108 on both sides of a gate structure, which includes a polysilicon gate 110 and gate oxide 112 .
  • the access transistor is fabricated in an active area of an underlying substrate 101 that is defined by field oxide 114 .
  • the gate oxide 112 and the field oxide 114 are formed using a conventional method, such as LOCal Oxidation of Silicon (LOCOS).
  • LOCOS LOCal Oxidation of Silicon
  • the capacitor 100 is supported over the source/drain region 108 by an insulating material 116 , such as borophosphosilicate glass (BPSG), which reflows easily to produce rounded comers over the gate 110 , allowing better step coverage.
  • BPSG borophosphosilicate glass
  • FIG. 1B is a cross-sectional representation of a trench-type capacitor 100 formed according to the method of the invention, wherein the trench shape is defined by sidewalls 118 and a bottom surface 120 .
  • FIGS. 1A and 1B are meant to be illustrative, generally, of various capacitor 100 shapes and electrode 104 , 106 topographies that increase the surface area of the capacitor 100 .
  • the capacitor 100 shape and topography of the electrodes 104 and 106 in a capacitor 100 are not critical to practicing the invention.
  • the capacitor 100 may also be formed as a fin-type, container-type, or crown-type capacitor. The scope of the invention is not meant to be limited to those capacitors 100 illustrated.
  • polysilicon, crystalline silicon, hemispherical grain polysilicon, germanium, silicon-germanium, ruthenium, ruthenium oxide, iridium, iridium oxide, platinum, rhenium, and combinations thereof is used as electrode 104 , 106 material in a capacitor 100 .
  • An increased surface area polysilicon bottom plate electrode 104 is formed over a supporting semiconductor structure, as well known to one skilled in the art.
  • the shape of the bottom plate electrode 104 may be stacked-type and trench-type structures, as illustrated in FIGS. 1A and 1B, to provide an increased capacitive surface area for the capacitor 100 .
  • the topography of the bottom plate electrode 104 may include single-sided, double-sided, and roughened lower plate electrode 104 topographies produced using hemispherical grain (HSG) polysilicon.
  • the lower plate 104 may be roughened on one side or both sides.
  • the bottom plate electrode 104 can be doped or undoped, and rough or smooth. Phosphorus is a common dopant for a bottom plate electrode 104 .
  • the bottom plate electrode 104 After cleaning the bottom plate electrode 104 , such as with a hydrofluoric acid (HF) solution, the bottom plate electrode 104 is subjected to a surface treatment.
  • the capacitor 100 is then annealed, such as by rapid thermal nitridation (RTN), rapid thermal oxidation (RTO), rapid thermal oxynitridation (RTN 2 O), dry oxidation or high pressure oxidation.
  • RTN rapid thermal nitridation
  • RTO rapid thermal oxidation
  • RTN 2 O rapid thermal oxynitridation
  • a tantalum oxide film such as tantalum penta oxide (Ta 2 O 5 ), is then formed on the bottom plate electrode.
  • the tantalum oxide film is preferably stoichiometric. Stoichiometric films have a lower defect density, resulting in a decreased leakage current. This is essential for optimum electrical properties, particularly in capacitors 100 .
  • Tantalum oxide film deposition is accomplished in a manner known to those skilled in the art.
  • inorganic or organic precursors both liquids and/or solids, may be used for starting materials.
  • tantalum is deposited on the bottom electrode 104 of the capacitor 100 .
  • the tantalum is oxidized to form the tantalum oxide film.
  • the tantalum oxide film can also be formed by chemical vapor deposition (CVD) with tantalum and oxide sources.
  • a film of Ta 2 O 5 can be deposited on the capacitor 100 with low pressure CVD (LPCVD) at a temperature between approximately 400 and 500 degrees Celsius.
  • LPCVD low pressure CVD
  • the thickness of tantalum oxide film is approximately 30 to 200 angstroms, and typically 90 angstroms.
  • the formation of tantalum oxide films is further described in P. C. Fazan et al., “A High-C Capacitor (20.4 fF/ ⁇ m 2 ) with Ultrathin CVD—Ta 2 O 5 Films Deposited on Rugged Poly-Si for High Density DRAMs,” IEEE, pp. 263-266, 1992, and P. C. Fazan et al., “Ultrathin Ta 2 O 5 Films on Rapid Thermal Nitrided Rugged Polysilicon for High Density DRAMs,” International Conference on Solid State Devices and Materials, pp. 697-698, 1992, both incorporated herein by reference.
  • the capacitor 100 is annealed in an environment containing oxygen. This anneal crystallizes and fills vacancies in the tantalum oxide film.
  • This oxygen anneal may be performed after the subsequently described introduction of nitrogen, such as by anneal, if the nitrogen introduction is performed at a temperature of greater than approximately 600 degrees Celsius.
  • the oxygen anneal is performed after the nitrogen anneal, when the nitrogen introduction is performed at a temperature between approximately 500 and 750 degrees Celsius.
  • the oxygen anneal is performed after the nitrogen anneal when the nitrogen introduction is performed at a temperature between approximately 750 and 1050 degrees Celsius.
  • the tantalum oxide is transformed into tantalum oxynitride (Ta x N y O z ) film 102 , where preferably (y+z)/x>2.5, by introducing nitrogen into the tantalum oxide film.
  • the transformation is accomplished annealing the tantalum oxide, in a furnace or with rapid thermal processing (RTP), in a nitrogen atmosphere at a temperature ranging between approximately 25 and 1100 degrees Celsius.
  • the nitrogen atmosphere may be nitrogen (N 2 ), ammonia (NH 3 ), or hydrazene (N 2 H 4 ).
  • the capacitor 100 is annealed in nitrogen atmosphere at a temperature range between approximately 200 and 825 degrees Celsius, and at a pressure range between approximately 1 and 50 atmospheres.
  • the tantalum oxynitride is formed to be amorphous.
  • Amorphous tantalum oxynitride has a higher dielectric constant than crystalline tantalum oxynitride, and therefore can form capacitors having higher capacitance per area.
  • Amorphous tantalum oxynitride can also be formed at temperatures between approximately 350 and 575 degrees Celsius, and pressures between approximately 10 milliTorr and 400 Torr. Preferably, the pressure is between approximately 1 atmosphere and 30 atmospheres.
  • the capacitor 100 is annealed in an ammonia environment in a furnace at a temperature range between approximately 500 and 1050 degrees Celsius and at a pressure less than 5 torr for approximately 5 minutes.
  • the capacitor 100 can be furnace annealed between approximately 1 and 60 minutes at a pressure between approximately 10 milliTorr and 1 atmosphere.
  • the capacitor 100 is annealed in a nitrogen environment, such as ammonia, with RTP between approximately 700 and 1050 degrees Celsius for a time between approximately 10 and 120 seconds. As a result of annealing, the tantalum oxide film is converted to a tantalum oxynitride film 102 .
  • the capacitor 100 is annealed in a nitrogen atmosphere in the presence of a plasma.
  • the plasma may be a plasma for enhanced deposition, such as high density plasma (HDP), a radio frequency (RF) plasma, or an electron cyclotron resonance (ECR) plasma, or a remote plasma.
  • the nitrogen atmosphere may include the previously described nitrogen sources, N 2 O, NO, O 3 , O 2 or a combination thereof.
  • the tantalum oxide film can be transformed into tantalum oxynitride film 102 by implanting nitrogen ions into the tantalum oxide. Ion implantation of nitrogen is known to persons skilled in the art.
  • the tantalum oxynitride film 102 can be directly formed by CVD, such as metal organo CVD (MOCVD), with tantalum and nitrogen sources, in a manner known to those skilled in the art.
  • the tantalum source may be tantalum (V) ethoxide (Ta(OC 2 H 5 )).
  • the nitrogen source may be N 2 , NH 3 , N 2 O, N 2 H 4 , monomethyl hydrazene (MMH), or other nitrogen sources.
  • the nitrogen source may be introduced to the capacitor 100 in the presence of one of the plasmas described above.
  • the tantalum oxynitride film 102 formed by the methods described above has a crystalline structure.
  • tantalum oxynitride film 102 that is amorphous.
  • a volatile nitrogen source such as hydrazene, or hydrazene derivatives such as monomethyl hydrazene (MMH)
  • MMH monomethyl hydrazene
  • the MOCVD process can be performed at relatively lower temperatures of between approximately 300 and 600 degrees Celsius to form the amorphous tantalum oxynitride film 102 .
  • the temperature ranges between 400 and 550 degrees Celsius.
  • silicon is preferably formed on the tantalum oxynitride film 102 .
  • a silicon nitridation process is used.
  • the memory cell 100 is exposed to a combination of silicon and nitrogen sources.
  • the silicon sources include silane, disilane and dichlorosilane.
  • the nitrogen sources include N 2 , NH 3 , N 2 H 4 , N 2 O, and MMH.
  • the silane and nitrogen are respectively disilane and ammonia combined in a one to five ratio.
  • this process is conducted for a sufficiently small period of time, such as less than the incubation time, so that no, or an insubstantial amount of, silicon nitride is actually formed on the capacitor 100 .
  • the top electrode 106 is formed.
  • the top electrode 106 is formed from titanium nitride (TiN) either formed by CVD with an organic precursor such as tetradimethyl amino titanium (TDMAT), or by a high-temperature CVD process with inorganic precursors such as TiCl 4 and NH 3 .
  • the top electrode 106 may be initially formed with tungsten nitride.
  • Subsequent processing of the capacitor 100 is completed in a manner known to those skilled in the art. For example, the capacitor 100 is later subjected to high temperature processing steps, such as BPSG reflow or polysilicon activation.
  • the resulting capacitor 100 has reduced leakage current when a dielectric that is tantalum oxynitride film 102 is used rather than tantalum oxide film.
  • FIG. 2 shows the voltage required to induce a leakage current density of 3 ⁇ 10 ⁇ 7 A/cm 2 in a capacitor 100 .
  • FIG. 2 illustrates that such current density occurs in a capacitor 100 having a tantalum oxide film dielectric with the application of zero volts ( 201 ). However, at least 0.8 volts must be applied across a memory cell 100 with a tantalum oxynitride film 102 dielectric to induce the same current density ( 202 ). Thus, the tantalum oxynitride film 102 in a capacitor 100 reduces the leakage current density.
  • FIGS. 3A and 3B are Auger plots of the relative concentrations of atomic concentrations of a capacitor 100 having dielectrics that are respectively tantalum oxide and tantalum oxynitride.
  • FIG. 3A and 3B show that the concentration of carbon carbide in the capacitor 100 having a tantalum oxynitride film 102 ( 304 ) is substantially less then for a capacitor 100 having a tantalum oxide film ( 302 ).
  • the capacitors 100 made with the aforementioned process have significantly enhanced reliability resulting from the use of the tantalum oxynitride film 102 .
  • the capacitor 100 has enhanced time-dependent dielectric breakdown and rupture voltage.
  • FIGS. 2 and 3 are illustrative of the present invention. However, different results may occur if process parameters, such as the length and temperature of the high temperature processing steps, vary.
  • the present invention can be performed in a single tool without exposing the capacitor 100 to the atmosphere.
  • the nitridization of the bottom electrode 104 , oxidation of the tantalum, and the nitridization of the tantalum oxide can be accomplished in a single tool.
  • undesired effects, such as oxidation of the bottom electrode can be diminished.
  • the stoichiometry of the tantalum oxynitride film 102 can be more tightly controlled.
  • the aforementioned processes may be used to form capacitors 100 in a memory array 402 of a memory 400 , such as a dynamic random access memory, as illustrated in FIG. 4.
  • the memory 400 may include a memory array 402 , control logic 404 , and address logic 406 coupled in a manner known to one skilled in the art and exemplified in FIG. 4.
  • the memory 400 may be coupled to an external system 524 , such as a processor, as illustrated in FIG. 5.
  • the capacitor 100 made according to the present invention may also be used as an antifuse, for example, for programming redundant elements in a memory 400 .
  • the antifuse is activated in the following manner. A large voltage is applied across the electrodes 104 , 106 of the capacitor 100 . A hole is formed in the tantalum oxynitride film 102 . As a result, the capacitor 100 becomes a resistor having a resistance between approximately 1000 and 6000 ohms, typically about 3000 ohms. The resistance may also range between approximately 500 and 7000 ohms.
  • the antifuse is superior to other antifuse structures because it has a relatively lower resistance.

Abstract

A capacitor has a tantalum oxynitride film. One method for making the film comprises forming a bottom plate electrode and then forming a tantalum oxide film on the bottom plate electrode. Nitrogen is introduced to form a tantalum oxynitride film. A top plate electrode is formed on the tantalum oxynitride film.

Description

    FIELD OF THE INVENTION
  • The present invention relates to semiconductor structures and methods for fabricating such structures in semiconductor integrated circuits and, in particular, to forming capacitors for memory cells having high dielectric constant materials therein. [0001]
  • BACKGROUND OF THE INVENTION
  • Dynamic random access memories (DRAMs) are the most widely used form of memory integrated circuits to date. DRAMs are composed of memory cell arrays and peripheral circuitry required for cell access and external input and output. Each memory cell array is formed of a plurality of memory cells for storing bits of data. Typical memory cells are formed of a capacitor, for storing electric charges and a transistor, for controlling charge and discharge of the capacitor. Of primary concern is maximizing the storage capacitance of each memory cell capacitor, particularly in light of the demand for 256 Mb DRAMs today and higher densities in the future without increasing the chip space required to form the array. There is a need to decrease the chip space required to form each memory cell while maximizing the capacitance of the memory cells. The importance of high density DRAMs cannot be overstated in today's competitive microelectronics market. Devices are becoming smaller, but they are required to provide much more performance. [0002]
  • One way to achieve greater capacitance per unit area is to roughen the surface of the capacitor plate, increasing the surface area. As can be seen from the following equation (I), the most important parameters involved in achieving maximum charge, Q, stored on the capacitor are the thickness of the capacitive dielectric film (t[0003] cdf), the area of the capacitor (A), and the dielectric constant (ε). The voltage applied across the capacitor plates is V.
  • Q=(ε·A·V)/t cdf  (I)
  • Increasing the capacitor area (A) by forming the storage capacitor in a trench shape etched in the substrate is well known in the art, as well as using a stacked capacitor structure. Stacked-type capacitors feature a major part of the capacitor extending over the gate electrode and field isolating film of the underlying transistor. Such structures are generally composed of a lower plate electrode (consisting of a base portion and a standing wall portion), a capacitive dielectric film, and an upper plate electrode. Other complex topographical lower plate electrode configurations have also been used to maximize the capacitive area (A) of a memory cell, such as fin-type, double-sided, and roughened lower plate electrode structures produced using hemispherical grain (HSG) polysilicon. [0004]
  • In addition to increasing the capacitive surface area (A) of a memory cell, as can be seen from the above equation (I), the thickness of the capacitive dielectric film (t[0005] cdf) must be minimized to maintain the maximum charge stored on the capacitor. However, the capacitive dielectric film must also prevent direct electrical contact between the lower and upper electrodes to prevent the charge from decaying.
  • It is also desirable to utilize a capacitive dielectric film having as high of a dielectric constant (ε) as possible to further increase the capacitance per unit area of a memory cell. One material that has a high dielectric constant is tantalum oxide, such as tantalum penta oxide (Ta[0006] 2O5). Ta2O5 potentially has a dielectric constant (ε) of about 22, which is significantly greater than conventional silicon oxide, which has a dielectric constant (ε) of only about 3.9.
  • A capacitor of a memory cell formed with Ta[0007] 2O5 insulator includes an electrode. To attain adequate step coverage for the electrode on an integrated circuit, the electrode may be initially formed with an organometallic precursor that contains carbon.
  • After the capacitor is formed, the integrated circuit is subject to a high temperature processing step, such as borophosphosilicate glass (BPSG) reflow or polysilicon activation. During such heating, in the memory cell capacitor, carbon from the electrode diffuses into the Ta[0008] 2O5 insulator. The carbon in the Ta2O5 insulator forms a leakage mechanism that renders the capacitor unusable. A relatively high leakage current flows through the capacitor even when relatively small voltage is applied across the capacitor. Therefore, there is a need for a capacitor that has a relatively high capacitance per unit area, to ensure high device density, and a relatively low leakage current. There is also a need for a dielectric material having a high dielectric constant that is substantially unaffected by subsequent high temperature processing steps.
  • SUMMARY OF THE INVENTION
  • A capacitor has a tantalum oxynitride film. One method for making the film comprises forming a bottom plate electrode and then forming a tantalum oxide film on the bottom plate electrode. Nitrogen is introduced to form a tantalum oxynitride film. A top plate electrode is formed on the tantalum oxynitride film. In another embodiment, an anti-fuse is formed in the same manner. [0009]
  • In one embodiment, the step of forming the tantalum oxide film comprises the steps of forming tantalum on the bottom electrode, and oxidizing the tantalum. In another embodiment, tantalum oxide film is formed by chemical vapor deposition with tantalum and oxygen sources. In yet another embodiment, the tantalum oxide film is annealed to form a tantalum oxynitride film Ta[0010] xNyOz, wherein (y+z)/x>2.5.
  • In another embodiment, the method of forming the capacitor includes the step of forming a bottom plate electrode. A tantalum oxynitride film is formed on the bottom plate electrode. A top plate electrode is formed on the tantalum oxynitride film. In one embodiment, the tantalum oxynitride film is formed by metal organo chemical vapor deposition with a nitrogen source that is hydrazene at a temperature between approximately 400 and 600 degrees Celsius so as to form amorphous tantalum oxynitride. [0011]
  • In another embodiment, the invention is a capacitor that has a bottom plate electrode, a tantalum oxynitride film and a top plate electrode. In one embodiment, the tantalum oxynitride film is amorphous. [0012]
  • In one embodiment, the capacitor may be used in a memory array of a memory. The memory comprises the memory array, a control circuit, operatively coupled to the memory array, and address logic, operatively coupled to the memory array and the control logic. [0013]
  • In yet another embodiment, the capacitor may be used in the memory array of a system. The system comprises a memory and a processor coupled to the memory. [0014]
  • In yet a further embodiment, an antifuse is operated by applying a voltage across the electrodes of the capacitor having a tantalum oxynitride film. A hole is formed in the tantalum oxynitride film. [0015]
  • It is an advantage of the present invention that the capacitor has a high dielectric constant. It is also a benefit of the present invention that it is less affected by heat so as to have reduced leakage current. It is a further advantage of the invention that the capacitor has enhanced reliability. [0016]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A is a cross-sectional representation of a polysilicon electrode stacked, double-sided capacitor memory cell formed according to the method of the invention, wherein the capacitor is stacked on a source/drain region of an underlying access transistor. [0017]
  • FIG. 1B is a cross-sectional representation of a trench-type capacitor memory cell formed according to the method of the invention. [0018]
  • FIG. 2 is a graphical representation of voltage versus capacitance for planar tantalum penta oxide capacitive dielectric films formed according to the method of the invention compared to conventional silicon nitride capacitive dielectric films. [0019]
  • FIG. 3A is an Auger plot of atomic concentrations in a memory cell having a tantalum oxide dielectric. [0020]
  • FIG. 3B is an Auger plot of atomic concentrations in a memory cell having a tantalum oxynitride dielectric [0021]
  • FIG. 4 is a block diagram of a memory having a capacitor in accordance with the present invention. [0022]
  • FIG. 5 is a block diagram of the memory of FIG. 4 coupled to an external system. [0023]
  • DESCRIPTION OF THE EMBODIMENTS
  • In the following detailed description of the invention, reference is made to the accompanying drawings which form a part hereof, and in which is shown, by way of illustration, specific embodiments in which the invention may be practiced. In the drawings, like numerals describe substantially similar components throughout the several views. These embodiments are described in sufficient detail to enable those skilled in the art to practice the invention. Other embodiments may be utilized and structural, logical, and electrical changes may be made without departing from the scope of the present invention. The terms wafer and substrate used in the following description include any structure having an exposed surface with which to form the integrated circuit (IC) structure of the invention. The term substrate is understood to include semiconductor wafers. The term substrate is also used to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereupon. Both wafer and substrate include doped and undoped semiconductors, epitaxial semiconductor layers supported by a base semiconductor or insulator, as well as other semiconductor structures well known to one skilled in the art. The term conductor is understood to include semiconductors, and the term insulator is defined to include any material that is less electrically conductive than the materials referred to as conductors. The following detailed description is, therefore, not to be taken in a limiting sense. [0024]
  • FIGS. 1A and 1B represent, generally, [0025] capacitors 100 of memory cells formed in accordance with the method of the invention. The following description details process steps utilized to form the capacitors 100. In particular, the process steps described are directed towards forming a tantalum oxynitride film 102 between bottom and top plate electrodes 104, 106, respectively, of a capacitor 100.
  • FIG. 1A is a cross-sectional representation of a stacked, double-[0026] sided capacitor 100 formed according to the method of the invention, wherein the capacitor 100 is stacked on a source/drain region 108 of an underlying access transistor. The access transistor comprises source/drain regions 108 on both sides of a gate structure, which includes a polysilicon gate 110 and gate oxide 112. The access transistor is fabricated in an active area of an underlying substrate 101 that is defined by field oxide 114. Typically, the gate oxide 112 and the field oxide 114 are formed using a conventional method, such as LOCal Oxidation of Silicon (LOCOS). The capacitor 100 is supported over the source/drain region 108 by an insulating material 116, such as borophosphosilicate glass (BPSG), which reflows easily to produce rounded comers over the gate 110, allowing better step coverage.
  • FIG. 1B is a cross-sectional representation of a trench-[0027] type capacitor 100 formed according to the method of the invention, wherein the trench shape is defined by sidewalls 118 and a bottom surface 120. FIGS. 1A and 1B are meant to be illustrative, generally, of various capacitor 100 shapes and electrode 104, 106 topographies that increase the surface area of the capacitor 100. The capacitor 100 shape and topography of the electrodes 104 and 106 in a capacitor 100 are not critical to practicing the invention. The capacitor 100 may also be formed as a fin-type, container-type, or crown-type capacitor. The scope of the invention is not meant to be limited to those capacitors 100 illustrated.
  • In one embodiment, polysilicon, crystalline silicon, hemispherical grain polysilicon, germanium, silicon-germanium, ruthenium, ruthenium oxide, iridium, iridium oxide, platinum, rhenium, and combinations thereof (deposited using chemical vapor deposition) is used as [0028] electrode 104, 106 material in a capacitor 100. An increased surface area polysilicon bottom plate electrode 104 is formed over a supporting semiconductor structure, as well known to one skilled in the art. The shape of the bottom plate electrode 104 may be stacked-type and trench-type structures, as illustrated in FIGS. 1A and 1B, to provide an increased capacitive surface area for the capacitor 100. The topography of the bottom plate electrode 104 may include single-sided, double-sided, and roughened lower plate electrode 104 topographies produced using hemispherical grain (HSG) polysilicon. The lower plate 104 may be roughened on one side or both sides. The bottom plate electrode 104 can be doped or undoped, and rough or smooth. Phosphorus is a common dopant for a bottom plate electrode 104.
  • After cleaning the [0029] bottom plate electrode 104, such as with a hydrofluoric acid (HF) solution, the bottom plate electrode 104 is subjected to a surface treatment. The capacitor 100 is then annealed, such as by rapid thermal nitridation (RTN), rapid thermal oxidation (RTO), rapid thermal oxynitridation (RTN2O), dry oxidation or high pressure oxidation.
  • A tantalum oxide film, such as tantalum penta oxide (Ta[0030] 2O5), is then formed on the bottom plate electrode. The tantalum oxide film is preferably stoichiometric. Stoichiometric films have a lower defect density, resulting in a decreased leakage current. This is essential for optimum electrical properties, particularly in capacitors 100.
  • Tantalum oxide film deposition is accomplished in a manner known to those skilled in the art. In one embodiment, inorganic or organic precursors, both liquids and/or solids, may be used for starting materials. Then, tantalum is deposited on the [0031] bottom electrode 104 of the capacitor 100. Then the tantalum is oxidized to form the tantalum oxide film. Alternatively, the tantalum oxide film can also be formed by chemical vapor deposition (CVD) with tantalum and oxide sources. In one embodiment, using tantalum (V) ethoxide (Ta(OC2H5)5) and oxygen (O2) sources, a film of Ta2O5 can be deposited on the capacitor 100 with low pressure CVD (LPCVD) at a temperature between approximately 400 and 500 degrees Celsius.
  • The thickness of tantalum oxide film is approximately 30 to 200 angstroms, and typically 90 angstroms. The formation of tantalum oxide films is further described in P. C. Fazan et al., “A High-C Capacitor (20.4 fF/μm[0032] 2) with Ultrathin CVD—Ta2O5 Films Deposited on Rugged Poly-Si for High Density DRAMs,” IEEE, pp. 263-266, 1992, and P. C. Fazan et al., “Ultrathin Ta2O5 Films on Rapid Thermal Nitrided Rugged Polysilicon for High Density DRAMs,” International Conference on Solid State Devices and Materials, pp. 697-698, 1992, both incorporated herein by reference.
  • After formation of the tantalum oxide film, the [0033] capacitor 100 is annealed in an environment containing oxygen. This anneal crystallizes and fills vacancies in the tantalum oxide film. This oxygen anneal may be performed after the subsequently described introduction of nitrogen, such as by anneal, if the nitrogen introduction is performed at a temperature of greater than approximately 600 degrees Celsius. In one embodiment, to form partially crystallized tantalum oxynitride, the oxygen anneal is performed after the nitrogen anneal, when the nitrogen introduction is performed at a temperature between approximately 500 and 750 degrees Celsius. In another embodiment, to form fully crystallized tantalum oxynitride, the oxygen anneal is performed after the nitrogen anneal when the nitrogen introduction is performed at a temperature between approximately 750 and 1050 degrees Celsius.
  • Subsequently, the tantalum oxide is transformed into tantalum oxynitride (Ta[0034] xNyOz) film 102, where preferably (y+z)/x>2.5, by introducing nitrogen into the tantalum oxide film. In one embodiment, the transformation is accomplished annealing the tantalum oxide, in a furnace or with rapid thermal processing (RTP), in a nitrogen atmosphere at a temperature ranging between approximately 25 and 1100 degrees Celsius. The nitrogen atmosphere may be nitrogen (N2), ammonia (NH3), or hydrazene (N2H4).
  • In one embodiment, the [0035] capacitor 100 is annealed in nitrogen atmosphere at a temperature range between approximately 200 and 825 degrees Celsius, and at a pressure range between approximately 1 and 50 atmospheres. As a result, the tantalum oxynitride is formed to be amorphous. Amorphous tantalum oxynitride has a higher dielectric constant than crystalline tantalum oxynitride, and therefore can form capacitors having higher capacitance per area. Amorphous tantalum oxynitride can also be formed at temperatures between approximately 350 and 575 degrees Celsius, and pressures between approximately 10 milliTorr and 400 Torr. Preferably, the pressure is between approximately 1 atmosphere and 30 atmospheres.
  • In another embodiment, the [0036] capacitor 100 is annealed in an ammonia environment in a furnace at a temperature range between approximately 500 and 1050 degrees Celsius and at a pressure less than 5 torr for approximately 5 minutes. Alternatively, the capacitor 100 can be furnace annealed between approximately 1 and 60 minutes at a pressure between approximately 10 milliTorr and 1 atmosphere. In another embodiment, the capacitor 100 is annealed in a nitrogen environment, such as ammonia, with RTP between approximately 700 and 1050 degrees Celsius for a time between approximately 10 and 120 seconds. As a result of annealing, the tantalum oxide film is converted to a tantalum oxynitride film 102.
  • In yet a further embodiment, the [0037] capacitor 100 is annealed in a nitrogen atmosphere in the presence of a plasma. The plasma may be a plasma for enhanced deposition, such as high density plasma (HDP), a radio frequency (RF) plasma, or an electron cyclotron resonance (ECR) plasma, or a remote plasma. The nitrogen atmosphere may include the previously described nitrogen sources, N2O, NO, O3, O2 or a combination thereof.
  • In yet another embodiment, the tantalum oxide film can be transformed into [0038] tantalum oxynitride film 102 by implanting nitrogen ions into the tantalum oxide. Ion implantation of nitrogen is known to persons skilled in the art.
  • In an alternative embodiment, the [0039] tantalum oxynitride film 102 can be directly formed by CVD, such as metal organo CVD (MOCVD), with tantalum and nitrogen sources, in a manner known to those skilled in the art. The tantalum source may be tantalum (V) ethoxide (Ta(OC2H5)). The nitrogen source may be N2, NH3, N2O, N2H4, monomethyl hydrazene (MMH), or other nitrogen sources. The nitrogen source may be introduced to the capacitor 100 in the presence of one of the plasmas described above. Typically, the tantalum oxynitride film 102 formed by the methods described above has a crystalline structure.
  • However, it may be desirable to create [0040] tantalum oxynitride film 102 that is amorphous. To form an amorphous tantalum oxynitride film 102, a volatile nitrogen source such as hydrazene, or hydrazene derivatives such as monomethyl hydrazene (MMH), is used. As a result, the MOCVD process can be performed at relatively lower temperatures of between approximately 300 and 600 degrees Celsius to form the amorphous tantalum oxynitride film 102. Preferably, the temperature ranges between 400 and 550 degrees Celsius.
  • In another embodiment, to diminish the amount of carbon that subsequently enters the [0041] tantalum oxynitride film 102 to form a leakage mechanism, silicon is preferably formed on the tantalum oxynitride film 102. Thus, in one embodiment, a silicon nitridation process is used. The memory cell 100 is exposed to a combination of silicon and nitrogen sources. The silicon sources include silane, disilane and dichlorosilane. The nitrogen sources include N2, NH3, N2H4, N2O, and MMH. In one embodiment, the silane and nitrogen are respectively disilane and ammonia combined in a one to five ratio. In another embodiment, this process is conducted for a sufficiently small period of time, such as less than the incubation time, so that no, or an insubstantial amount of, silicon nitride is actually formed on the capacitor 100.
  • After [0042] tantalum oxynitridation film 102 formation, the top electrode 106 is formed. In one embodiment, the top electrode 106 is formed from titanium nitride (TiN) either formed by CVD with an organic precursor such as tetradimethyl amino titanium (TDMAT), or by a high-temperature CVD process with inorganic precursors such as TiCl4 and NH3. Alternatively, the top electrode 106 may be initially formed with tungsten nitride. Subsequent processing of the capacitor 100 is completed in a manner known to those skilled in the art. For example, the capacitor 100 is later subjected to high temperature processing steps, such as BPSG reflow or polysilicon activation.
  • The resulting [0043] capacitor 100 has reduced leakage current when a dielectric that is tantalum oxynitride film 102 is used rather than tantalum oxide film. This beneficial property is illustrated in FIG. 2 which shows the voltage required to induce a leakage current density of 3×10−7 A/cm2 in a capacitor 100. FIG. 2 illustrates that such current density occurs in a capacitor 100 having a tantalum oxide film dielectric with the application of zero volts (201). However, at least 0.8 volts must be applied across a memory cell 100 with a tantalum oxynitride film 102 dielectric to induce the same current density (202). Thus, the tantalum oxynitride film 102 in a capacitor 100 reduces the leakage current density.
  • These benefits arise because the formation of the [0044] tantalum oxynitride film 102 and introduction of silicon, described above, diminish the amount of carbon that enters the tantalum oxynitride film 102 during the high temperature processing steps. FIGS. 3A and 3B are Auger plots of the relative concentrations of atomic concentrations of a capacitor 100 having dielectrics that are respectively tantalum oxide and tantalum oxynitride. FIG. 3A and 3B show that the concentration of carbon carbide in the capacitor 100 having a tantalum oxynitride film 102 (304) is substantially less then for a capacitor 100 having a tantalum oxide film (302).
  • Additionally, the [0045] capacitors 100 made with the aforementioned process have significantly enhanced reliability resulting from the use of the tantalum oxynitride film 102. Specifically, the capacitor 100 has enhanced time-dependent dielectric breakdown and rupture voltage.
  • FIGS. 2 and 3 are illustrative of the present invention. However, different results may occur if process parameters, such as the length and temperature of the high temperature processing steps, vary. [0046]
  • The present invention can be performed in a single tool without exposing the [0047] capacitor 100 to the atmosphere. For example the nitridization of the bottom electrode 104, oxidation of the tantalum, and the nitridization of the tantalum oxide can be accomplished in a single tool. As a result, undesired effects, such as oxidation of the bottom electrode, can be diminished. Also, the stoichiometry of the tantalum oxynitride film 102 can be more tightly controlled.
  • The aforementioned processes may be used to form [0048] capacitors 100 in a memory array 402 of a memory 400, such as a dynamic random access memory, as illustrated in FIG. 4. The memory 400 may include a memory array 402, control logic 404, and address logic 406 coupled in a manner known to one skilled in the art and exemplified in FIG. 4. The memory 400 may be coupled to an external system 524, such as a processor, as illustrated in FIG. 5.
  • The [0049] capacitor 100 made according to the present invention may also be used as an antifuse, for example, for programming redundant elements in a memory 400. The antifuse is activated in the following manner. A large voltage is applied across the electrodes 104, 106 of the capacitor 100. A hole is formed in the tantalum oxynitride film 102. As a result, the capacitor 100 becomes a resistor having a resistance between approximately 1000 and 6000 ohms, typically about 3000 ohms. The resistance may also range between approximately 500 and 7000 ohms. The antifuse is superior to other antifuse structures because it has a relatively lower resistance.
  • CONCLUSION
  • It is an advantage of the present invention that it forms a capacitor having relatively high capacitance to area ratio. It is also a benefit of the present invention that it is less affected by heat so as to have a diminished leakage current. It is also an advantage of the invention that the capacitor has enhanced reliability. It is a further benefit of the present invention that it can be performed in a single tool without exposing the [0050] memory cell 100 to the atmosphere. It is to be understood that the above description is intended to be illustrative, and not restrictive. Many other embodiments will be apparent to those of skill in the art upon reviewing the above description. The scope of the invention should, therefore, be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.

Claims (54)

What is claimed is:
1. A method for fabricating a capacitor on an integrated circuit, comprising the steps of:
forming a bottom plate electrode;
forming a tantalum oxide film on the bottom plate electrode;
annealing the tantalum oxide film in an environment containing oxygen;
introducing nitrogen into the tantalum oxide film to form a tantalum oxynitride film; and
forming a top plate electrode on the tantalum oxynitride film.
2. The method of
claim 1
, wherein the annealing step is performed after the introducing step when the introducing step is performed at temperatures greater than approximately 600 degrees Celsius.
3. The method of
claim 1
, wherein the step of forming the tantalum oxide film comprises the steps of:
forming tantalum on the bottom electrode; and
oxidizing the tantalum.
4. The method of
claim 1
, wherein the step of forming the tantalum oxide film comprises the step of forming tantalum oxide film by chemical vapor deposition with tantalum and oxygen sources.
5. The method of
claim 4
, wherein the step of forming the tantalum oxide film by chemical vapor deposition with tantalum and oxygen sources comprises the step of forming tantalum oxide film by low pressure chemical vapor deposition with tantalum (V) ethoxide and oxygen at a temperature between approximately 400 and 500 degrees Celsius.
6. The method of
claim 1
, wherein the step of introducing nitrogen into the tantalum oxide film to form the tantalum oxynitride film comprises the step of annealing the tantalum oxide film to form a tantalum oxynitride film TaxNyOz, wherein (y+z)/x>2.5.
7. The method of
claim 1
, wherein the step of introducing nitrogen compromises the step of implanting nitrogen ions into the tantalum oxide film to form the tantalum oxynitride film.
8. The method of
claim 1
, wherein the step of introducing nitrogen compromises the step of annealing the tantalum oxide film in a nitrogen atmosphere in the presence of a plasma.
9. The method of
claim 1
, further comprising the step of silicon nitridation.
10. The method of
claim 9
, wherein the step of silicon nitridation comprises the step of exposing the capacitor to a combination of silicon and nitrogen sources.
11. The method of
claim 10
, wherein the step of exposing comprises the step of exposing the capacitor to a combination of silicon and nitrogen sources, wherein the silicon source is one of a group consisting of: silane, disilane and dichlorosilane.
12. The method of
claim 10
, wherein the step of exposing comprises the step of exposing the capacitor to a combination of silicon and nitrogen sources, wherein the nitrogen source is one of a group consisting of: N2, NH3, N2H4, N2O, and monomethyl hydrazene (MMH).
13. The method of
claim 10
, wherein the step of exposing compromises the step of exposing the capacitor to disilane and ammonia combined respectively in a one to five ratio.
14. The method of
claim 10
, wherein the step of exposing is conducted for less than approximately the incubation time so that an insubstantial amount of silicon nitride is formed on the capacitor.
15. A method for fabricating a capacitor in an integrated circuit, comprising the steps of:
forming a bottom plate electrode;
forming a tantalum oxide film on the bottom plate electrode;
annealing the tantalum oxide film in an environment containing oxygen;
introducing nitrogen into the tantalum oxide film to form a tantalum oxynitride film;
forming a top plate electrode on the tantalum oxynitride film; and
wherein the step of introducing nitrogen comprises the step of annealing the tantalum oxide film in a nitrogen atmosphere at a temperature range between approximately 25 and 1100 degrees Celsius.
16. The method of
claim 15
, wherein the step of annealing comprises the step of annealing the tantalum oxide film in a nitrogen atmosphere that is one of a group consisting of: N2, NH3, and N2H4.
17. The method of
claim 15
, wherein the step of annealing comprises the step of annealing the tantalum oxide film in a nitrogen atmosphere at a temperature range between approximately 200 and 825 degrees Celsius at a pressure range between approximately 1 and 50 atmospheres.
18. The method of
claim 15
, wherein the step of annealing in an environment containing oxygen is performed after the step of annealing in a nitrogen atmosphere when the step of annealing in a nitrogen atmosphere is performed at temperatures greater than approximately 600 degrees Celsius.
19. A method for fabricating a capacitor in an integrated circuit, comprising the <steps of:
forming a bottom plate electrode;
forming a tantalum oxide film on the bottom plate electrode;
annealing the tantalum oxide film in an environment containing oxygen;
introducing nitrogen into the tantalum oxide film to form a tantalum oxynitride film;
forming a top plate electrode on the tantalum oxynitride film; and
wherein the step of introducing nitrogen comprises the step of annealing in a furnace having an ammonia atmosphere at a temperature range between approximately 500 and 1050 degrees Celsius, and at a pressure less than 5 torr, for approximately five minutes.
20. The method of
claim 19
, wherein the step of annealing in an environment containing oxygen is performed after the step of annealing in a nitrogen atmosphere when the step of annealing in a nitrogen atmosphere is performed at temperatures greater than approximately 600 degrees Celsius.
21. A method for fabricating a capacitor in an integrated circuit, comprising the steps of:
forming a bottom plate electrode;
forming a tantalum oxide film on the bottom plate electrode;
annealing the tantalum oxide film in an environment containing oxygen;
introducing nitrogen into the tantalum oxide film to form a tantalum oxynitride film;
forming a top plate electrode on the tantalum oxynitride film; and
wherein the step of introducing nitrogen comprises the step of rapid thermal processing in a nitrogen atmosphere at a temperature between approximately 700 and 1050 degrees Celsius for a time between approximately 10 and 120 seconds.
22. The method of
claim 21
, wherein the introducing step is performed before the annealing step.
23. A method for fabricating a capacitor, comprising the steps of:
forming a bottom plate electrode;
forming a tantalum oxynitride film on the bottom plate electrode; and
forming a top plate electrode on the tantalum oxynitride film.
24. The method of
claim 23
, wherein the step of forming tantalum oxynitride film comprises the step of forming tantalum oxynitride film by metal organo chemical vapor deposition with tantalum and nitrogen sources.
25. The method of
claim 24
, wherein the step of forming tantalum oxynitride film by metal organo chemical vapor deposition comprises the step of forming tantalum oxynitride film by metal organo chemical vapor deposition wherein the nitrogen source is introduced to the capacitor in the presence of a remote plasma.
26. The method of
claim 24
, wherein the step of forming tantalum oxynitride film by metal organo chemical vapor deposition comprises the step of forming tantalum oxynitride film by metal organo chemical vapor deposition wherein the nitrogen source is introduced to the capacitor in the presence of a plasma for enhanced deposition.
27. The method of
claim 24
, wherein the step of forming tantalum oxynitride film by metal organo chemical vapor deposition comprises the step of forming tantalum oxynitride film by metal organo chemical vapor deposition with a nitrogen source at a temperature between approximately 300 and 600 degrees Celsius to form amorphous tantalum oxynitride; and wherein the nitrogen source is one of a group consisting of hydrazene and hydrazene derivatives.
28. A method of fabricating a capacitor in an integrated circuit, comprising the steps of:
forming a bottom plate electrode;
forming a tantalum oxide film on the bottom plate electrode;
annealing the tantalum oxide film in an environment containing oxygen;
introducing nitrogen into the tantalum oxide film to form a tantalum oxynitride film;
forming a top plate electrode on the tantalum oxynitride film; and
wherein the step of introducing nitrogen comprises the step of annealing in a nitrogen atmosphere and a plasma.
29. The method of
claim 28
, wherein the step of annealing comprises the step of annealing in nitrogen atmosphere and a plasma that is one of a group consisting of: high density plasma, remote plasma, radio frequency plasma, and electron cyclotron resonance plasma.
30. The method of
claim 31
wherein the step of annealing comprises the step of annealing in a nitrogen atmosphere that is one of a group consisting of: N2O, NH3, NO, and N2H4.
31. A capacitor, comprising:
a bottom plate electrode;
a tantalum oxynitride film on the bottom plate electrode; and
a top plate electrode on the tantalum oxynitride film.
32. The capacitor of
claim 31
, wherein the bottom plate electrode comprises a material selected from the group consisting of: polysilicon, crystalline silicon, hemispherical grain polysilicon, germanium, silicon-germanium, ruthenium, ruthenium oxide, iridium, iridium oxide, platinum and rhenium.
33. The capacitor of
claim 31
, wherein the bottom plate electrode is doped.
34. The capacitor of
claim 31
, wherein the bottom plate electrode has a shape selected from the group consisting of: fin-type, stacked-type, container-type, crown-type and trenched-type.
35. The capacitor of
claim 31
, wherein the bottom plate electrode has a topography selected from the group consisting of single-sided, double-sided, and roughened.
36. The capacitor of
claim 31
, wherein the tantalum oxynitride is amorphous.
37. The capacitor of
claim 31
, wherein the tantalum oxynitride is crystalline.
38. A memory, comprising:
a memory array having a plurality of capacitors, each capacitor comprising,
a bottom plate electrode,
a tantalum oxynitride film on the bottom plate electrode, and
a top plate electrode on the tantalum oxynitride film;
a control circuit, operatively coupled to the memory array; and
address logic, operatively coupled to the memory array and the control logic.
39. The memory of
claim 38
, wherein the bottom plate electrode comprises a material selected from the group consisting of: polysilicon, crystalline silicon, hemispherical grain polysilicon, germanium, silicon-germanium, ruthenium, ruthenium oxide, iridium, iridium oxide, platinum and rhenium.
40. The memory of
claim 38
, wherein the bottom plate electrode is doped.
41. The memory of
claim 38
, wherein the bottom plate electrode has a shape selected from the group consisting of: fin-type, stacked-type, container-type, crown-type and trenched-type.
42. The memory of
claim 38
, wherein the bottom plate electrode has a topography selected from the group consisting of single-sided, double-sided, single-sided roughened, and double-sided roughened.
43. The memory of
claim 38
, wherein the tantalum oxynitride is amorphous.
44. The memory of
claim 38
, wherein the tantalum oxynitride is crystalline.
45. A system, comprising:
a memory including,
a memory array having a plurality of capacitors, each capacitor comprising,
a bottom plate electrode,
a tantalum oxynitride film on the bottom plate electrode, and
a top plate electrode on the tantalum oxynitride film,
a control circuit, operatively coupled to the memory array, and
address logic, operatively coupled to the memory array and the control logic; and
a processor coupled to the memory.
46. The system of
claim 45
, wherein the bottom plate electrode comprises a material selected from the group consisting of: polysilicon, crystalline silicon, hemispherical grain polysilicon, germanium, and silicon-germanium.
47. The system of
claim 45
, wherein the bottom plate electrode is doped.
48. The system of
claim 45
, wherein the bottom plate electrode has a shape selected from the group consisting of: fin-type, stacked-type and trenched-type.
49. The system of
claim 45
, wherein the bottom plate electrode has a topography selected from the group consisting of single-sided, double-sided, and roughened.
50. The system of
claim 45
, wherein the tantalum oxynitride is amorphous.
51. The system of
claim 45
, wherein the tantalum oxynitride is crystalline.
52. A method of operating an antifuse, comprising the steps of:
applying a voltage across the electrodes of a capacitor having a tantalum oxynitride film;
forming a hole in the tantalum oxynitride film;
creating a resistance between approximately 1000 and 6000 ohms.
53. The method of
claim 52
, further comprising the step of programming a redundant element in a memory.
54. A method of forming an antifuse, comprising the steps of:
forming a bottom plate electrode;
forming a tantalum oxide film on the bottom plate electrode;
annealing the tantalum oxide film in an environment containing oxygen;
introducing nitrogen into the tantalum oxide film to form a tantalum oxynitride film; and
forming a top plate electrode on the tantalum oxynitride film.
US09/031,526 1998-02-26 1998-02-26 Capacitor having tantalum oxynitride film and method for making same Expired - Lifetime US6458645B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US09/031,526 US6458645B2 (en) 1998-02-26 1998-02-26 Capacitor having tantalum oxynitride film and method for making same
US10/232,206 US6864527B2 (en) 1998-02-26 2002-08-29 Capacitor having tantalum oxynitride film and method for making same
US10/232,205 US7206215B2 (en) 1998-02-26 2002-08-29 Antifuse having tantalum oxynitride film and method for making same
US11/073,800 US7038265B2 (en) 1998-02-26 2005-03-07 Capacitor having tantalum oxynitride film and method for making same
US11/419,942 US20060199311A1 (en) 1998-02-26 2006-05-23 Antifuse having tantalum oxynitride film and method for making same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/031,526 US6458645B2 (en) 1998-02-26 1998-02-26 Capacitor having tantalum oxynitride film and method for making same

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US10/232,205 Division US7206215B2 (en) 1998-02-26 2002-08-29 Antifuse having tantalum oxynitride film and method for making same
US10/232,206 Division US6864527B2 (en) 1998-02-26 2002-08-29 Capacitor having tantalum oxynitride film and method for making same

Publications (2)

Publication Number Publication Date
US20010011740A1 true US20010011740A1 (en) 2001-08-09
US6458645B2 US6458645B2 (en) 2002-10-01

Family

ID=21859947

Family Applications (5)

Application Number Title Priority Date Filing Date
US09/031,526 Expired - Lifetime US6458645B2 (en) 1998-02-26 1998-02-26 Capacitor having tantalum oxynitride film and method for making same
US10/232,206 Expired - Fee Related US6864527B2 (en) 1998-02-26 2002-08-29 Capacitor having tantalum oxynitride film and method for making same
US10/232,205 Expired - Fee Related US7206215B2 (en) 1998-02-26 2002-08-29 Antifuse having tantalum oxynitride film and method for making same
US11/073,800 Expired - Fee Related US7038265B2 (en) 1998-02-26 2005-03-07 Capacitor having tantalum oxynitride film and method for making same
US11/419,942 Abandoned US20060199311A1 (en) 1998-02-26 2006-05-23 Antifuse having tantalum oxynitride film and method for making same

Family Applications After (4)

Application Number Title Priority Date Filing Date
US10/232,206 Expired - Fee Related US6864527B2 (en) 1998-02-26 2002-08-29 Capacitor having tantalum oxynitride film and method for making same
US10/232,205 Expired - Fee Related US7206215B2 (en) 1998-02-26 2002-08-29 Antifuse having tantalum oxynitride film and method for making same
US11/073,800 Expired - Fee Related US7038265B2 (en) 1998-02-26 2005-03-07 Capacitor having tantalum oxynitride film and method for making same
US11/419,942 Abandoned US20060199311A1 (en) 1998-02-26 2006-05-23 Antifuse having tantalum oxynitride film and method for making same

Country Status (1)

Country Link
US (5) US6458645B2 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6451662B1 (en) * 2001-10-04 2002-09-17 International Business Machines Corporation Method of forming low-leakage on-chip capacitor
GB2375229A (en) * 2001-04-30 2002-11-06 Hynix Semiconductor Inc A capacitor with metastable polysilicon lower electrode and TaON dielectric
US6548368B1 (en) * 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6573547B2 (en) * 2000-12-29 2003-06-03 Hynix Semiconductor Inc. Method for forming cell capacitor for high-integrated DRAMs
US6677254B2 (en) * 2001-07-23 2004-01-13 Applied Materials, Inc. Processes for making a barrier between a dielectric and a conductor and products produced therefrom
US20070052001A1 (en) * 2005-08-12 2007-03-08 Samsung Electronics Co., Ltd. Nonvolatile semiconductor memory device and method of fabricating the same
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US20130183804A1 (en) * 2012-01-18 2013-07-18 United Microelectronics Corp. Method for fabricating mos device
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20190229053A1 (en) * 2018-01-22 2019-07-25 United Microelectronics Corp. Metal-insulator-metal capacitor structure and manufacturing method thereof

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6458645B2 (en) * 1998-02-26 2002-10-01 Micron Technology, Inc. Capacitor having tantalum oxynitride film and method for making same
US6682970B1 (en) * 1998-02-27 2004-01-27 Micron Technology, Inc. Capacitor/antifuse structure having a barrier-layer electrode and improved barrier layer
US7034353B2 (en) 1998-02-27 2006-04-25 Micron Technology, Inc. Methods for enhancing capacitors having roughened features to increase charge-storage capacity
US6727148B1 (en) * 1998-06-30 2004-04-27 Lam Research Corporation ULSI MOS with high dielectric constant gate insulator
GB2355113B (en) * 1999-06-25 2004-05-26 Hyundai Electronics Ind Method of manufacturing capacitor for semiconductor memory device
KR20010066386A (en) * 1999-12-31 2001-07-11 박종섭 Method of forming gate electrode of Flash memory
KR100350675B1 (en) * 2000-01-26 2002-08-28 삼성전자 주식회사 method of manufacturing semiconductor memory device and thereof structure
KR100618682B1 (en) * 2000-06-01 2006-09-06 주식회사 하이닉스반도체 Method for manufacturing capacitor in semiconductor memory divice
KR100587047B1 (en) * 2000-06-01 2006-06-07 주식회사 하이닉스반도체 Method for manufacturing capac itor in semiconductor memory divice
KR100639200B1 (en) * 2000-06-30 2006-10-31 주식회사 하이닉스반도체 Method for manufactruing capacitor in semiconductor memory device
US6846737B1 (en) * 2000-08-15 2005-01-25 Intel Corporation Plasma induced depletion of fluorine from surfaces of fluorinated low-k dielectric materials
US6566171B1 (en) 2001-06-12 2003-05-20 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
US6620702B2 (en) * 2001-06-25 2003-09-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method of producing low thermal budget high dielectric constant structures
JP2003224204A (en) * 2002-01-29 2003-08-08 Mitsubishi Electric Corp Semiconductor device having capacitor
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
KR100562493B1 (en) * 2002-12-10 2006-03-21 삼성전자주식회사 Semiconductor devices having capacitor dielectric and methods of fabricating the same
KR100540474B1 (en) * 2002-12-30 2006-01-11 주식회사 하이닉스반도체 Capacitor with oxygen barrier and method of fabricating the same
KR100469158B1 (en) * 2002-12-30 2005-02-02 주식회사 하이닉스반도체 A method for forming a capacitor of a semiconductor device
US6927474B1 (en) 2003-05-01 2005-08-09 National Semiconductor Corporation Method of programming an antifuse
US6841846B1 (en) * 2003-07-22 2005-01-11 Actel Corporation Antifuse structure and a method of forming an antifuse structure
US6979849B2 (en) * 2003-12-31 2005-12-27 Micron Technology, Inc. Memory cell having improved interconnect
US7791141B2 (en) * 2004-07-09 2010-09-07 International Business Machines Corporation Field-enhanced programmable resistance memory cell
US7768014B2 (en) * 2005-01-31 2010-08-03 Semiconductor Energy Laboratory Co., Ltd. Memory device and manufacturing method thereof
US7968967B2 (en) * 2006-07-17 2011-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. One-time-programmable anti-fuse formed using damascene process
US7834395B2 (en) * 2007-02-13 2010-11-16 Qimonda Ag 3-D channel field-effect transistor, memory cell and integrated circuit
US8367506B2 (en) * 2007-06-04 2013-02-05 Micron Technology, Inc. High-k dielectrics with gold nano-particles
US8124528B2 (en) * 2008-04-10 2012-02-28 Micron Technology, Inc. Method for forming a ruthenium film
US8125048B2 (en) * 2009-10-07 2012-02-28 International Business Machines Corporation Antifuse structure for in line circuit modification
US8466443B2 (en) 2010-06-30 2013-06-18 International Business Machines Corporation Voltage sensitive resistor (VSR) read only memory
JP5683388B2 (en) * 2010-08-19 2015-03-11 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
US8811108B2 (en) * 2011-08-01 2014-08-19 Freescale Semiconductor, Inc. Code coverage circuitry
US20150376000A1 (en) * 2014-06-30 2015-12-31 Texas Instruments Incorporated Method for reducing discharge defects and electrode delamination in piezoelectric optical mems devices

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA942828A (en) * 1968-08-26 1974-02-26 James E. Adams Method for producing images by flash exposure
JPS6041458B2 (en) * 1975-04-21 1985-09-17 ソニー株式会社 Manufacturing method of semiconductor device
US5381035A (en) * 1992-09-23 1995-01-10 Chen; Wenn-Jei Metal-to-metal antifuse including etch stop layer
US5111355A (en) * 1990-09-13 1992-05-05 National Semiconductor Corp. High value tantalum oxide capacitor
JPH0521744A (en) * 1991-07-10 1993-01-29 Sony Corp Capacitor of semiconductor memory device and manufacture thereof
US5110754A (en) * 1991-10-04 1992-05-05 Micron Technology, Inc. Method of making a DRAM capacitor for use as an programmable antifuse for redundancy repair/options on a DRAM
US5142438A (en) 1991-11-15 1992-08-25 Micron Technology, Inc. Dram cell having a stacked capacitor with a tantalum lower plate, a tantalum oxide dielectric layer, and a silicide buried contact
US5475253A (en) * 1992-08-21 1995-12-12 Xilinx, Inc. Antifuse structure with increased breakdown at edges
JP2786071B2 (en) * 1993-02-17 1998-08-13 日本電気株式会社 Method for manufacturing semiconductor device
JPH07263647A (en) * 1994-02-04 1995-10-13 Canon Inc Electronic circuit device
US5362632A (en) 1994-02-08 1994-11-08 Micron Semiconductor, Inc. Barrier process for Ta2 O5 capacitor
KR950034588A (en) * 1994-03-17 1995-12-28 오가 노리오 Tantalum high dielectric material and formation method of high dielectric film and semiconductor device
TW280943B (en) * 1994-07-15 1996-07-11 Sharp Kk
EP0774164A1 (en) * 1995-06-02 1997-05-21 Actel Corporation Raised tungsten plug antifuse and fabrication process
US5585301A (en) * 1995-07-14 1996-12-17 Micron Display Technology, Inc. Method for forming high resistance resistors for limiting cathode current in field emission displays
JPH0955478A (en) 1995-08-14 1997-02-25 Hitachi Ltd Manufacture of semiconductor integrated circuit
US5741720A (en) * 1995-10-04 1998-04-21 Actel Corporation Method of programming an improved metal-to-metal via-type antifuse
US5930106A (en) 1996-07-11 1999-07-27 Micron Technology, Inc. DRAM capacitors made from silicon-germanium and electrode-limited conduction dielectric films
US5753540A (en) * 1996-08-20 1998-05-19 Vlsi Technology, Inc. Apparatus and method for programming antifuse structures
US6458645B2 (en) * 1998-02-26 2002-10-01 Micron Technology, Inc. Capacitor having tantalum oxynitride film and method for making same

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6548368B1 (en) * 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6573547B2 (en) * 2000-12-29 2003-06-03 Hynix Semiconductor Inc. Method for forming cell capacitor for high-integrated DRAMs
GB2375229A (en) * 2001-04-30 2002-11-06 Hynix Semiconductor Inc A capacitor with metastable polysilicon lower electrode and TaON dielectric
GB2375229B (en) * 2001-04-30 2005-07-13 Hynix Semiconductor Inc Method of fabrication of capacitor for semiconductor devices
US6677254B2 (en) * 2001-07-23 2004-01-13 Applied Materials, Inc. Processes for making a barrier between a dielectric and a conductor and products produced therefrom
US6451662B1 (en) * 2001-10-04 2002-09-17 International Business Machines Corporation Method of forming low-leakage on-chip capacitor
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8921914B2 (en) 2005-07-20 2014-12-30 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20070052001A1 (en) * 2005-08-12 2007-03-08 Samsung Electronics Co., Ltd. Nonvolatile semiconductor memory device and method of fabricating the same
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US8951903B2 (en) 2005-08-30 2015-02-10 Micron Technology, Inc. Graded dielectric structures
US9627501B2 (en) 2005-08-30 2017-04-18 Micron Technology, Inc. Graded dielectric structures
US20130183804A1 (en) * 2012-01-18 2013-07-18 United Microelectronics Corp. Method for fabricating mos device
US8946031B2 (en) * 2012-01-18 2015-02-03 United Microelectronics Corp. Method for fabricating MOS device
US20190229053A1 (en) * 2018-01-22 2019-07-25 United Microelectronics Corp. Metal-insulator-metal capacitor structure and manufacturing method thereof

Also Published As

Publication number Publication date
US20060199311A1 (en) 2006-09-07
US20030015769A1 (en) 2003-01-23
US6458645B2 (en) 2002-10-01
US20030001194A1 (en) 2003-01-02
US6864527B2 (en) 2005-03-08
US7038265B2 (en) 2006-05-02
US7206215B2 (en) 2007-04-17
US20050161710A1 (en) 2005-07-28

Similar Documents

Publication Publication Date Title
US6458645B2 (en) Capacitor having tantalum oxynitride film and method for making same
US6251720B1 (en) High pressure reoxidation/anneal of high dielectric constant materials
US6218260B1 (en) Methods of forming integrated circuit capacitors having improved electrode and dielectric layer characteristics and capacitors formed thereby
US7446363B2 (en) Capacitor including a percentage of amorphous dielectric material and a percentage of crystalline dielectric material
US6475855B1 (en) Method of forming integrated circuitry, method of forming a capacitor and method of forming DRAM integrated circuitry
US6656789B2 (en) Capacitor for highly-integrated semiconductor memory devices and a method for manufacturing the same
US6673668B2 (en) Method of forming capacitor of a semiconductor memory device
US6486022B2 (en) Method of fabricating capacitors
US20050124113A1 (en) Method for fabricating semiconductor device
US20020079526A1 (en) Semiconductor device and method for fabricating the same
US6656788B2 (en) Method for manufacturing a capacitor for semiconductor devices
US7064052B2 (en) Method of processing a transistor gate dielectric film with stem
US6458700B1 (en) Integrated circuitry fabrication method of making a conductive electrical connection
US6777740B2 (en) Capacitor for semiconductor memory device and method of manufacturing the same
JP3646013B2 (en) Method for manufacturing DRAM capacitor
KR100618684B1 (en) CAPACITOR HAVING TaON DIELECTRIC LAYER IN SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME
US6329237B1 (en) Method of manufacturing a capacitor in a semiconductor device using a high dielectric tantalum oxide or barium strontium titanate material that is treated in an ozone plasma
US6635524B2 (en) Method for fabricating capacitor of semiconductor memory device
US6602722B2 (en) Process for fabricating capacitor having dielectric layer with pervskite structure and apparatus for fabricating the same
US6528436B1 (en) Method of forming silicon nitride layer directly on HSG polysilicon
KR100504434B1 (en) Method of forming capacitor

Legal Events

Date Code Title Description
AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:DEBOER, SCOTT JEFFREY;AL-SHAREEF, HUSAM N.;THAKUR, RANDHIR P.S.;AND OTHERS;REEL/FRAME:009394/0916;SIGNING DATES FROM 19980414 TO 19980511

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT, MARYLAND

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT;REEL/FRAME:047243/0001

Effective date: 20180629

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT;REEL/FRAME:050937/0001

Effective date: 20190731