US20010034121A1 - High selectivity Si-rich SiON etch-stop layer - Google Patents

High selectivity Si-rich SiON etch-stop layer Download PDF

Info

Publication number
US20010034121A1
US20010034121A1 US09/838,627 US83862701A US2001034121A1 US 20010034121 A1 US20010034121 A1 US 20010034121A1 US 83862701 A US83862701 A US 83862701A US 2001034121 A1 US2001034121 A1 US 2001034121A1
Authority
US
United States
Prior art keywords
layer
sccm
flow
etch stop
sion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/838,627
Other versions
US6316348B1 (en
Inventor
Chu Fu
Chia Tsai
Syun-Ming Jang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US09/838,627 priority Critical patent/US6316348B1/en
Publication of US20010034121A1 publication Critical patent/US20010034121A1/en
Application granted granted Critical
Publication of US6316348B1 publication Critical patent/US6316348B1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Definitions

  • the present invention relates to semiconductor devices in general, and more particularly to semiconductor devices having anti-reflective coatings and hard masks to aid in photolithography steps, such as those used to form in a dual damascene interconnect structure and gate electrodes.
  • ARCs Anti-reflective coatings
  • these ARCs are conductive materials which are deposited as a blanket layer on top of metal and simultaneously patterned with the metal to form interconnects.
  • a problem with these ARCs is that many of these materials cannot be used in applications such as dual damascene, wherein the metal layer is not patterned.
  • openings are formed in the interlayer dielectric, and the metal is blanket deposited in those openings and subsequently polished back to form a planar inlaid plug. In such application, the metal layer is never etched and therefore, any conductive ARC on top of the inlaid metal would cause the metal plugs to be electrically short circuited together through the conductive ARC.
  • Some dielectric ARCs are also known, such as conventional silicon rich silicon nitride or aluminum nitride, but a disadvantage with these conventional ARCs is that they are most suitable for deep ultraviolet (DUV) radiation, whereas a vast majority of photolithography steps occur at higher wave lengths such as I-line or G-line where these ARCs are not optimal.
  • DUV deep ultraviolet
  • U.S. Pat. No. 5,252,515(Tsai et al.) shows a Si-Rich Silicon oxynitride barrier layer.
  • U.S. Pat. No. 4,871,689 shows a Si-rich Silicon oxynitride layer for a dielectric filled trench.
  • a Novel Si-rich SiON ARC etch barrier layer hard mask
  • SAC Si-rich SiON self aligned contact
  • SAC self aligned contact
  • SiON Si rich Silicon oxynitride
  • RI Refractive index
  • the invention has two preferred embodiments where the invention's Si rich SiON layers are used in semiconductor structures: ⁇ circle over (1) ⁇ a dual damascene structure with using the invention's Si rich SiON etch stop layer and ⁇ circle over (2) ⁇ a polysilicon line/gate self aligned contact (SAC) structure where the invention's Si rich SiON etch stop layer.
  • SAC self aligned contact
  • a second major feature of the invention is a specialized SiO 2 etch that has a high selectivity for invention's Si-rich SiON.
  • the first embodiment of the present invention involves using a dielectric phase of Si Rich Silicon oxynitride Anti-Reflection Coating (ARC) layer in conjunction with damascene or dual inlaid metalization processing.
  • ARC Si Rich Silicon oxynitride Anti-Reflection Coating
  • a conductive region/line is provided overlying the surface of a semiconductor wafer.
  • a damascene-type contact is etched to expose the conductive region.
  • the invention's damascene process involves deposition of two dielectric layers with a Novel Si Rich silicon oxynitride ARC layer in the middle as an etch stop material.
  • An opening with a small width (via) is formed using the Novel Si Rich silicon oxynitride Anti-Reflection Coating (ARC) layer as an etch stop.
  • a specialized SiO 2 etch process is used to form a larger opening (interconnect trench).
  • the specialized SiO 2 etch is specifically designed to be used with the invention's Si rich SiON layer.
  • the photolithographic processing used to form this damascene contact is alos benefited by the use of the antireflective coating (ARC) Si rich SiON layer.
  • ARC antireflective coating
  • an antireflective coating (ARC) layer is formed overlying the patterned inlaid conductive region to function as an anti-reflective coating (ARC).
  • this dielectric phase antireflective coating (ARC) layer provides several advantages.
  • the invention's etch and Si-Rich SiON antireflective coating (ARC) layer allow use of a very thin layer. This decreases the RC delay.
  • the antireflective coating (ARC) layer has superior light absorption qualities beyond other known ARC layers when I line photo processing is used.
  • the dielectric phase of antireflective coating (ARC) layer is non-conductive and will therefore not produce electrical short circuits of the inlaid damascene structure.
  • the antireflective coating (ARC) layer may be deposited between the two dielectric layers (or oxide layers) to replace the convention SiN layer so that the antireflective coating (ARC) layer can serve the dual purpose of being an anti-reflective coating and being an etch stop layer used to form the damascene contact.
  • the antireflective coating (ARC) layer may be deposited directly on top of the underlying conductive region as a barrier layer which prevents atoms of copper or like atoms from diffusing into adjacent dielectric regions.
  • a second major feature of the invention is the highly selective Si-Rich SiON to SiO 2 or SiN etch process. There are two process options are described below.
  • the Second embodiment of the invention is the Si-Rich SiON self aligned contact (SAC) structure.
  • the Si-Rich SiON self aligned contact (SAC) structure has SION spacers and capping layers that provide anti-reflective improvements.
  • the thickness of the Si-rich SiON etch-stop layer required for self-aligned dual damascene application can be reduced which decreases the RC delay.
  • the capacitance is inversely proportional to the thickness of the RC delay. Since the invention's Si rich SiON ARC layer and specialized SiO 2 etch process has a high selectivity, the Si rich SiON ARC layer can be thinner thus reducing RC delay.
  • ⁇ Wider process window can be achieved when using Si-rich SiON film 34 for SAC application.
  • FIGS. 1, 2 and 3 are cross sectional views for illustrating a method for forming a dual damascene structure using a Si-rich SiON ARC layer 34 , a special selective Si-Rich SiO N to SiO 2 etch process, and a self aligned contact (SAC) according to the present invention.
  • FIGS. 4, 5, 6 , 7 and 8 are cross sectional views for illustrating a method for forming a self aligned contact (SAC) to a conductive line 29 using a Si rich SiON hard mask 18 , a Si rich SiON second spacer 24 , a Si-rich SiON ARC layer 34 A, and a special selective Si-Rich Silicon oxynitride layer 34 to SiO 2 etch process, according to the present invention.
  • SAC self aligned contact
  • the invention provides a method of forming a Si rich SiON etch barrier alyer and two specialized oxide etch processes have the following key elements:
  • the selectivity of SiON etch-stop layer to oxide is not high enough when etching the oxide to form interconnect and via hole simultaneously.
  • the thickness of SiON layer 34 thus needs to be thick (at least 2000A) to be a sufficient etch-stop layer. The capacitance would be higher the thicker the SiON layer is used.
  • the 1 st embodiment's method preferably comprising the steps of:
  • c) form an ARC etch stop layer 34 composed of Si Rich SiON overlying the first insulating layer;
  • the ARC etch stop layer is preferably composed of Si-rich SiON having a Si molar percentage between about 58% and 62% formed by the following process: a Pressure (Torr) between about 4 and 6 Torr, a power between 100 and 150 Watt; an electrode spacing between 450 and 550 mils, a SiH 4 flow between 70 and 90 SCCM, a N 2 O flow between 30 and 50 SCCM and a He flow between 1900 and 2500 SCCM, at a temperature between 300 and 400° C., and the ARC etch stop layer having a refractive index (RI) between 2.65 and 2.75 measure at 633 nm;
  • RI refractive index
  • k) expose the second photoresist layer 48 to light to molecularly alter a portion of the second photoresist layer 44 wherein the ARC etch stop layer 34 attenuates light reflected from the conductive region 29 so that the light reflected from the conductive region 29 has a reduced effect on the portion of the second photoresist layer 48 which is molecularly altered;
  • FIG. 2 etch the second insulating layer 44 thorough the second photoresist opening from a second opening 52 and wherein the ARC etch stop layer 34 is used as an etch stop layer; and etching the first insulating layer 30 extending the first opening 42 to expose the conductive line 29 ; the first and the second openings comprise a dual damascene opening,
  • FIG. 3 deposit a metal layer 60 so that the metal layer fills the first and second opening
  • FIG. 3 planearize the metal layer 60 so that the metal layer forms an electrical interconnect which is electrically coupled to the conductive region 29 .
  • the first embodiment is shown in FIGS. 1 to 3 .
  • a conductive structure 29 of some type is formed over the substrate or semiconductor structure 10 .
  • the conductive structure can be a conductive line.
  • the conductive line can preferably be an Al alloy or Cu alloy line.
  • the semiconductor structure can comprise a wafer, with doped regions formed therein and with gates and other devices formed thereon or thereover.
  • An insulating layer can be formed over the wafer and the conductive line can be formed over the insulating layer.
  • first insulating layer (IMD) 30 over the conductive line 29 .
  • the first insulating layer 30 preferably bas a thickness of between about 5000 and 10,000 ⁇ .
  • the first insulating layer is preferably composed of Silicon oxide.
  • a major advantage of the invention is that the ARC Si rich SiON layer 34 can be formed thinner than conventional etch stop layers because of the high etch selectivity between the Si Rich SION layer 34 and oxide in the invention's subsequent specialized SiO 2 etch process. Because the layer 34 is thinner, the capacitance is reduced.
  • the ARC etch stop layer 34 is composed of Si-rich Silicon oxynitride having a Si molar percentage between about 58% and 62% (more preferably 59 to 60%) and a Refractive index between 2.6 and 2.8 (more preferably between 2.68 and 2.72) measured at a wavelength of about 633 nm.
  • a Si-Rich SiON layer is defined as having a Si molar percentage between about 58% and 62%. This high refractive index is critical to the invention and the etch selectivity in subsequent etch steps.
  • This invention's Si Rich SiON layer has a higher refractive index than conventional Si-Rich SiON layers.
  • the invention's Si Rich SiON layer 34 preferably has a thickness of between about 500 and 2000 ⁇ and more preferably a thickness of between about 500 and 1000 ⁇ .
  • the ARC Si-Rich etch stop layer 34 is preferably formed by the following process: TABLE Si-rich SiON deposition recipe in DCVD chamber Parameters Low limit Target High limit Pressure (Torr) 4 5 Torr 6 power (Watts) 100 130 W 150 electrode spacing 450 500 mils 550 (mils) SiH 4 (SCCM) 70 80 sccm SiH4 90 N 2 O (SCCM) 30 40 sccm N 2 O 50 He (SCCM) 1900 2200 sccm He 2500 temperature (° C.) 300° C. 350° C. 400 Refractive index 2.5 2.7 3.0 (RI)
  • the flow rates can be scaled up or down, keeping the same molar ratios of the gas to use the invention's process in different sized reactors.
  • the most critical parameters for the Anti-Reflection Coating (ARC) properties and the etch selectivity are the SiH 4 and N 2 O flows.
  • a first photoresist layer 38 having a first opening 38 A is formed by exposing the photoresist layer to a first light and developing the first photoresist layer.
  • the first light preferably has a wavelength corresponding to the I-Line or DUV of mercury with a wavelength of about 365 nm (364 to 366 nm) for I-ine and 248 nm (247 to 249 nm for DUV).
  • the invention's SiON ARC layer 34 has superior ARC properties compared to conventional Si Rich SiON layers.
  • the first opening preferably has a depth in a range of between about 11,000 ⁇ and 15,000 ⁇ ; and a width between about 0.22 and 0.50 ⁇ m.
  • FIG. 2 shows the step of forming a second insulating layer 44 over the etch stop layer 34 .
  • the second insulating layer is preferably composed of silicon oxide, high density plasma (HDP) Undoped Silicate Glass (USG), HDP FSG, or low K SOG materials such as Hydrogen-Silsesquioxane (HSQ).
  • the second insulating layer 44 preferably has a thickness of between about 4000 and 8000 ⁇ .
  • a second photoresist layer 48 having a second photoresist opening 48 A over the second insulating layer 44 .
  • the second photoresist opening 48 A is formed by exposing the second photoresist layer 48 to the first light and developing the second photoresist layer.
  • the first light preferably has a wavelength corresponding to the I-Line or DUV of mercury with a wavelength of 365 nm (+/ ⁇ 1 nm for I-line) or 248 (+/ ⁇ 1 nm for DUV).
  • the etch of the second insulating layer 44 , then first insulating layer, and the bottom etch stop layer 26 to form a second opening ((top interconnect opening)) 52 and to extend said first opening 42 preferably comprises etching a MERIE type oxide etcher model MxP+ by Applied Materials company. The etch process is shown in the table below.
  • a Si-Rich SiON layer is defined as having a Si molar percentage between about 58% and 62%.
  • the etch can be performed in a high density plasma (HDP) TCP9100 etcher: type etcher by Lam research company.
  • HDP high density plasma
  • a Si-Rich SiON layer is defined as having a Si molar percentage between about 58% and 62%.
  • FIG. 3 show the deposition of a metal layer 60 so that the metal layer fills the first and second opening.
  • the metal layer 60 is planarized so that the metal layer forms an electrical interconnect which is electrically coupled to the conductive region 29 .
  • FIGS. 4 through 8 show a preferred 2 nd embodiment where a self aligned contact (SAC) is formed using special Si Rich Silicon oxynitride spacer layers on the gate electrode 14 and a Si Rich SiON hard mask 18 .
  • SAC self aligned contact
  • ⁇ oxide etch process to etch oxide layer 30 but is highly selective to the Si rich SiON top hard mask layer 18 and 2 nd spacer 24 .
  • the 2 nd embodiment includes the following steps:
  • the silicon oxynitride hard mask 18 is preferably composed of Si-rich SiON having a Si molar percentage between about 58% and 62% formed by the following process: a Pressure (Torr) between about 4 and 6 Torr, a power between 100 and 150 Watt; an electrode spacing between 450 and 550 mils, a SiH 4 flow between 70 and 90 SCCM, a N 2 O flow between 30 and 50 SCCM and a He flow between 1900 and 2500 SCCM, at a temperature between 300 and 400 ° C., and the silicon oxynitride hard mask having a refractive index (RI) between 2.65 and 2.75 measure at 633 nm;
  • RI refractive index
  • first spacers 22 on the sidewalls 22 of the gate electrode 18 ; the first spacers composed of silicon nitride or oxide formed using a LP TEOS process;
  • the second spacers are composed of Si-rich SiON having a Si molar percentage between about 58% and 62% formed by the following process: a Pressure (Torr) between about 4 and 6 Torr, a power between 100 and 150 Watt; an electrode spacing between 450 and 550 mils, a SiH 4 flow between 70 and 90 SCCM, a N 2 O flow between 30 and 50 SCCM and a He flow between 1900 and 2500 SCCM, at a temperature between 300 and 400 ° C., and the second spacers having a refractive index (RI) between 2.65 and 2.75 measure at 633 nm;
  • RI refractive index
  • g) form a bottom etch stop layer 26 composed of silicon nitride over the second spacers 24 , and elsewhere over the substrate 10 ;
  • the ARC etch stop layer 34 is composed of Si-rich Silicon oxynitride having a Si molar percentage between about 58% and 62% and a Refractive index between 2.68 and 2.72 at a wavelength of about 633 mn ;
  • the ARC etch stop layer 34 is composed of Si-rich SiON formed by the following process: a Pressure (Torr) between about 4 and 6 Torr, a power between 100 and 150 Watt; an electrode spacing between 450 and 550 mils, a SiH 4 flow between 70 and 90 SCCM, a N 2 O flow between 30 and 50 SCCM and a He flow between 1900 and 2500 SCCM, at a temperature between 300 and 400° C., and the ARC etch stop layer 34 having a refractive index (RI) between 2.65 and 2.75 nm measured at a wavelength of about 633 nm;
  • RI refractive index
  • etch the first insulating layer 44 is preformed at the following: a Pressure (torr) between 100 and 250 mtorr, a Power (W) between 900 and 1200 W; a CHF 3 flow between 60 and 95 sccm; a CF 4 flow (Sccm) between 5 and 30 sccm, a Ar flow (Sccm) between 100 and 200 sccm Ar, a Magnetic Field between 0 and 50 G; an etch selectivity between Si-rich SiON : oxide between 1:4.2 and 1:5.7; and an etch selectivity SiON: oxide between 1:2.7 and 1:4.2; an etch selectivity PE SiN:oxide between 1:1.5 and 1:3.
  • FIGS. 4 through 8 show a preferred 2 nd embodiment where a self aligned contact (SAC) is formed using special Si Rich Silicon oxynitride spacer layers on the gate electrode 14 and a Si Rich SiON hard mask 18 .
  • SAC self aligned contact
  • a gate oxide layer (not shown), a polysilicon layer 14 and a hard mask layer consisting of a Si rich SiN layer 18 over a bottom hard mask layer 16 are formed over a substrate 10 .
  • the polysilicon layer 14 can be comprised of multiple layers and can be comprised of metals, polycides and other conductive materials used in gates and conductive lines.
  • the hard mask 16 18 is comprised of a Si-Rich Silicon oxynitride ARC hard mask 18 on a bottom hard mask layer 16 .
  • Bottom hard mask layer 16 can be comprised of LP nitride, SiON or LP TEOS oxide.
  • the invention's key Si Rich SiON ARC layer 18 is formed as described above in the first embodiment.
  • the Si Rich SiON ARC layer 18 preferably has a thickness of between about 500 and 2000 ⁇ and more preferably a thickness of between about 500 and 1000 ⁇ .
  • the hard mask layer 16 18 is patterned using a photoresist layer 21 to form a hard mask 16 18 that defines a gate electrode 14 .
  • the photoresist is exposed preferably using I-line light. All Photolithography processes in this patent involving the invention's Si rich SiON layer are preferably performed using I-line or DUV light and most preferably use I-line light (364 to 366 nm). The photoresist layer is then removed.
  • FIG. 6 shows the etch of the polysilicon layer 14 using the bard mask 16 18 as an etch mask, to form the gate electrode 14 .
  • the gate electrode 18 has sidewalls.
  • first spacers 22 preferably composed of Silicon nitride, LP nitride, LP TEOS, or Silicon oxide, are formed on the sidewalls 22 of the gate electrode or conductive line 18 . Spacers are formed using conventional coating and anisotropic etch back steps.
  • the invention's key Si rich Silicon oxynitride spacers (Second spacers) 24 are formed over the first spacer 22 .
  • the Si rich Silicon oxynitride 2 nd spacers are formed using the invention's process for forming Si rich SiON as describe above in the Si rich Silicon oxynitride 2 nd spacers preferably have a thickness of between about 500 and 1000 ⁇ .
  • a bottom etch stop layer 26 preferably composed of silicon nitride is formed over the Si Rich spacer 24 , the hard mask 16 18 and elsewhere over the substrate 10 .
  • first insulating layer (ILD) 30 over the bottom etch stop layer 26 .
  • the first insulating layer 30 preferably has a thickness of between about 5000 and 10,000 ⁇ .
  • the first insulating layer is preferably composed of Silicon oxide.
  • the invention's Si Rich SiON layer 34 A can be used as a bard mask over the ELD layer 30 .
  • the Si Rich SiON layer 34 A preferably has a thickness of between about 500 and 1000 ⁇ .
  • a first etch stop opening is formed in the Si Rich SiON layer 34 A.
  • a contact opening 43 is formed exposing the substrate, including doped regions 11 .
  • the contact opening is preferably formed using one of the two oxide etch processes described in the first embodiment.
  • the invention's Si Rich SiON layer has a high etch selectivity to SiON and ensures that the spacer 24 and hard mask 18 are not etched down (thinned) by the contact opening etch.
  • the invention provides a method of forming a Si rich SiON etch barrier alyer and two specialized oxide etch processes have the following key elements:

Abstract

The present invention provides an anti-reflective Si-Rich Silicon oxynitride (SiON) etch barrier layer and two compatible oxide etch processes. The Si-Rich Silicon oxynitride (SiON) etch barrier layer can be used as a hard mask in a dual damascene structure and as a hard mask for over a polysilicon gate. The invention has the following key elements: 1) Si rich Silicon oxynitride (SiON) ARC layer, 2) Special Silicon oxide Etch process that has a high selectivity of Si- Rich SiON to silicon oxide or SiN; 3) Special Si Rich SiON spacer process for a self aligned contact (SAC).
A dual damascene structure is formed by depositing a first dielectric layer. A novel anti-reflective Si-Rich Silicon oxynitride (SiON) etch barrier layer is deposited on top of the first dielectric layer. A first opening is etched in the first insulating layer. A second dielectric layer is deposited on the anti-reflective Si-Rich Silicon oxynitride (SiON) etch barrier layer. A second dual damascene opening is etched into the dielectric layers. The anti-reflective Si-Rich Silicon oxynitride (SiON) etch barrier layer can also serve as an ARC layer during these operations to reduce the amount of reflectance from conductive region to reduce distortion of the photoresist pattern.

Description

    BACKGROUND OF THE INVENTION
  • 1) Field of the Invention [0001]
  • The present invention relates to semiconductor devices in general, and more particularly to semiconductor devices having anti-reflective coatings and hard masks to aid in photolithography steps, such as those used to form in a dual damascene interconnect structure and gate electrodes. [0002]
  • 2) Description of the Prior Art [0003]
  • The semiconductor industry's continuing drive toward integrated circuits with ever decreasing geometries, coupled with its pervasive use of highly reflective materials, such as polysilicon, aluminum, and metal suicides, has lead to increased photolithographic patterning problems. Unwanted reflections from these underlying reflective materials during the photoresist patterning process often cause the resulting photoresist patterns to be distorted. [0004]
  • Anti-reflective coatings (ARCs) have been developed to minimize the adverse impact due to reflectance from these reflective materials. In many instances, these ARCs are conductive materials which are deposited as a blanket layer on top of metal and simultaneously patterned with the metal to form interconnects. A problem with these ARCs is that many of these materials cannot be used in applications such as dual damascene, wherein the metal layer is not patterned. In a dual damascene application, openings are formed in the interlayer dielectric, and the metal is blanket deposited in those openings and subsequently polished back to form a planar inlaid plug. In such application, the metal layer is never etched and therefore, any conductive ARC on top of the inlaid metal would cause the metal plugs to be electrically short circuited together through the conductive ARC. [0005]
  • Some dielectric ARCs are also known, such as conventional silicon rich silicon nitride or aluminum nitride, but a disadvantage with these conventional ARCs is that they are most suitable for deep ultraviolet (DUV) radiation, whereas a vast majority of photolithography steps occur at higher wave lengths such as I-line or G-line where these ARCs are not optimal. [0006]
  • Accordingly, there is a need for an improved semiconductor manufacturing operation which utilizes an anti-reflective coating that is applicable to the more prevalent I-line or G-line lithographies and which can be used in applications, such as dual damascene, which require ARCs that are nonconductive and potentially used as a damascene etch stop layer. [0007]
  • The importance of overcoming the various deficiencies noted above is evidenced by the extensive technological development directed to the subject, as documented by the relevant patent and technical literature. The closest and apparently more relevant technical developments in the patent literature can be gleaned by considering U.S. Pat. No. 5,378,659(Roman) shows a Si-Rich SiN layer as an ARC layer for DUV. [0008]
  • U.S. Pat. No. 5,252,515(Tsai et al.) shows a Si-Rich Silicon oxynitride barrier layer. [0009]
  • U.S. Pat. No. 4,871,689 (Bergami) shows a Si-rich Silicon oxynitride layer for a dielectric filled trench. [0010]
  • U.S. Pat. No. 4,870,470(Bass et al. ) shows a Si rich Silicon oxynitride layer for a charge trapping layer in an EEPROM. [0011]
  • U.S. Pat. No. 5,741,626(Jain) shows a dual damascene process. [0012]
  • SUMMARY OF THE INVENTION
  • It is an object of the present invention to provide a method for fabricating a Dual damascene interconnect structure using a Novel Si-rich SiON ARC etch barrier layer. [0013]
  • It is an object of the present invention to provide a method for fabricating a Dual damascene interconnect structure using a Novel Si-rich SiON ARC etch barrier layer and special silicon oxide etch that has a high selectivity to Si-Rich SiON and SiN. [0014]
  • It is an object of the present invention to provide a method for fabricating gate using a Novel Si-rich SiON ARC etch barrier layer (hard mask) that has a Si-rich SiON self aligned contact (SAC) structure. [0015]
  • It is an object of the present invention to provide process to form a Si Rich SiON layer and an SiO[0016] 2 etch process implemented in two preferred embodiments: {circle over (1)} a Dual damascene structure and {circle over (2)} a self aligned contact (SAC) structure.
  • It is an object of the present invention to provide an SiO[0017] 2 etch process that has a higher etch selectivity of Si-Rich SiON to oxide than compared with that of SiON and PE nitride.
  • It is an object of the present invention to provide a method for fabricating a capacitor having a high density and capacitance. [0018]
  • In general the invention teaches a specialized process for forming structure using a Si rich Silicon oxynitride (SiON) etch stop layer (Refractive index (RI)=2.7 measured at 633 nm). This Si-rich SiON layer can also be used as an ARC film for I-line photo. [0019]
  • The invention has two preferred embodiments where the invention's Si rich SiON layers are used in semiconductor structures: {circle over (1)} a dual damascene structure with using the invention's Si rich SiON etch stop layer and {circle over (2)} a polysilicon line/gate self aligned contact (SAC) structure where the invention's Si rich SiON etch stop layer. [0020]
  • A second major feature of the invention is a specialized SiO[0021] 2 etch that has a high selectivity for invention's Si-rich SiON.
  • Generally, the first embodiment of the present invention involves using a dielectric phase of Si Rich Silicon oxynitride Anti-Reflection Coating (ARC) layer in conjunction with damascene or dual inlaid metalization processing. Specifically, a conductive region/line is provided overlying the surface of a semiconductor wafer. A damascene-type contact is etched to expose the conductive region. The invention's damascene process involves deposition of two dielectric layers with a Novel Si Rich silicon oxynitride ARC layer in the middle as an etch stop material. An opening with a small width (via) is formed using the Novel Si Rich silicon oxynitride Anti-Reflection Coating (ARC) layer as an etch stop. In a key step, a specialized SiO[0022] 2 etch process is used to form a larger opening (interconnect trench). The specialized SiO2 etch is specifically designed to be used with the invention's Si rich SiON layer. The photolithographic processing used to form this damascene contact is alos benefited by the use of the antireflective coating (ARC) Si rich SiON layer. In order to reduce reflected light, reduce destructive and constructive interference from reflective light, and reduce adverse effects of light reflection during photoresist processing, an antireflective coating (ARC) layer is formed overlying the patterned inlaid conductive region to function as an anti-reflective coating (ARC).
  • The use of this dielectric phase antireflective coating (ARC) layer provides several advantages. First, the invention's etch and Si-Rich SiON antireflective coating (ARC) layer allow use of a very thin layer. This decreases the RC delay. The antireflective coating (ARC) layer has superior light absorption qualities beyond other known ARC layers when I line photo processing is used. In addition, the dielectric phase of antireflective coating (ARC) layer is non-conductive and will therefore not produce electrical short circuits of the inlaid damascene structure. In addition, the antireflective coating (ARC) layer may be deposited between the two dielectric layers (or oxide layers) to replace the convention SiN layer so that the antireflective coating (ARC) layer can serve the dual purpose of being an anti-reflective coating and being an etch stop layer used to form the damascene contact. In addition, the antireflective coating (ARC) layer may be deposited directly on top of the underlying conductive region as a barrier layer which prevents atoms of copper or like atoms from diffusing into adjacent dielectric regions. [0023]
  • A second major feature of the invention is the highly selective Si-Rich SiON to SiO[0024] 2 or SiN etch process. There are two process options are described below.
  • The Second embodiment of the invention is the Si-Rich SiON self aligned contact (SAC) structure. The Si-Rich SiON self aligned contact (SAC) structure has SION spacers and capping layers that provide anti-reflective improvements. [0025]
  • The invention provides the following benefits: [0026]
  • By using a Si-rich SiON (RI=2.7 measured at a wavelength of 633 nm) ARC layer having a Si molar percentage between about 58% and 62%, better etch selectivity to oxide than that of SiON (RI=2.0 measured at a wavelength of 633 nm) and conventional PE nitride (RI=2.0 measured at a wavelength of 633 nm) is achieved. [0027]
  • ♦ The thickness of the Si-rich SiON etch-stop layer required for self-aligned dual damascene application can be reduced which decreases the RC delay. The capacitance is inversely proportional to the thickness of the RC delay. Since the invention's Si rich SiON ARC layer and specialized SiO[0028] 2 etch process has a high selectivity, the Si rich SiON ARC layer can be thinner thus reducing RC delay.
  • ♦ Dual stack of SiON and Si-rich SiON films is proposed for self-aligned dual damascene application if backend current leakage from Si-rich SiON is a concern. [0029]
  • ♦ Dual stack of LP nitride and Si-rich SiON films as the hardmask and/or spacer is proposed for SAC application. There would be selectivity when removing the LP nitride etch-stop layer, and thus little hardmask and/or spacer would be lost. [0030]
  • ♦ Better via profile can be obtained when using thinner Si-rich SiON etch-stop layer for self-aligned dual damascene application. [0031]
  • ♦ Wider process window can be achieved when using Si-[0032] rich SiON film 34 for SAC application.
  • ♦ Better etch uniformity can be achieved when using Si-rich SiON as an etch-[0033] stop layer 34 compared-with that of conventional SiON and PE nitride.
  • Additional objects and advantages of the invention will be set forth in the description that follows, and in part will be obvious from the description, or may be learned by practice of the invention. The objects and advantages of the invention may be realized and obtained by means of instrumentalities and combinations particularly pointed out in the append claims.[0034]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The features and advantages of a semiconductor device according to the present invention and further details of a process of fabricating such a semiconductor device in accordance with the present invention will be more clearly understood from the following description taken in conjunction with the accompanying drawings in which like reference numerals designate similar or corresponding elements, regions and portions and in which: [0035]
  • FIGS. 1, 2 and [0036] 3 are cross sectional views for illustrating a method for forming a dual damascene structure using a Si-rich SiON ARC layer 34, a special selective Si-Rich SiON to SiO2 etch process, and a self aligned contact (SAC) according to the present invention.
  • FIGS. 4, 5, [0037] 6, 7 and 8 are cross sectional views for illustrating a method for forming a self aligned contact (SAC) to a conductive line 29 using a Si rich SiON hard mask 18, a Si rich SiON second spacer 24, a Si-rich SiON ARC layer 34A, and a special selective Si-Rich Silicon oxynitride layer 34 to SiO2 etch process, according to the present invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • In the following description numerous specific details are set forth such as flow rates, pressure settings, thicknesses, etc., in order to provide a more thorough understanding of the present invention. It will be obvious, however, to one skilled in the art that the present invention may be practiced without these details. In other instances, well know process have not been described in detail in order to not unnecessarily obscure the present invention. [0038]
  • The invention provides a method of forming a Si rich SiON etch barrier alyer and two specialized oxide etch processes have the following key elements: [0039]
  • 1) SiON rich layer Process [0040]
  • 2) Special Silicon oxide Etch process that has a high selectivity of Si-Rich SiON to silicon oxide or SiN. [0041]
  • 3) 1[0042] st embodiment—Dual damascene process (FIGS. 1 to 3) to uses the SiON rich SiON ARC layer 34 and special SiO2 etch processes.
  • 4) 2[0043] nd embodiment—self aligned contact (SAC) process using Special Si Rich SION spacer 22 24 and special SiO2 selective etch process.—See FIGS. 4 to 8.
  • A. Problems the invention solves [0044]
  • In previous processes considered by the inventors, a conventional SiON (RI=2.0) layer ([0045] e.g. layer 34 see e.g., FIG. 1) (the DUV ARC on Poly process) was be used as the hardmask and etch-stop layer for self-aligned dual damascene application. However, the selectivity of SiON etch-stop layer to oxide is not high enough when etching the oxide to form interconnect and via hole simultaneously. The thickness of SiON layer 34 thus needs to be thick (at least 2000A) to be a sufficient etch-stop layer. The capacitance would be higher the thicker the SiON layer is used.
  • LP nitride (RI=2.0) has been used as the hardmask and spacer on Poly and also as the etch-stop layer for self-aligned contact (SAC) etch. There is no selectivity when removing the etch-stop layer after SAC etch, and thus some nitride hardmask and spacer are lost during this step. [0046]
  • 1st EMBODIMENT—DUAL DAMASCENE STRUCTURE
  • A. Overview of the 1[0047] st embodiment
  • A preferred method of the 1[0048] st embodiment is shown below. The 1st embodiment's method preferably comprising the steps of:
  • a) form a [0049] conductive line 29 over the substrate; the conductive line 29 is comprised an Al Alloy;
  • b) form a first insulating [0050] layer 30 overlying the conductive line;
  • c) form an ARC [0051] etch stop layer 34 composed of Si Rich SiON overlying the first insulating layer; the ARC etch stop layer is preferably composed of Si-rich SiON having a Si molar percentage between about 58% and 62% formed by the following process: a Pressure (Torr) between about 4 and 6 Torr, a power between 100 and 150 Watt; an electrode spacing between 450 and 550 mils, a SiH4 flow between 70 and 90 SCCM, a N2O flow between 30 and 50 SCCM and a He flow between 1900 and 2500 SCCM, at a temperature between 300 and 400° C., and the ARC etch stop layer having a refractive index (RI) between 2.65 and 2.75 measure at 633 nm;
  • d) from a [0052] first photoresist layer 38 overlying the first insulating layer;
  • e) exposing the [0053] first photoresist layer 38 to light to molecularly alter a portion of the first photoresist layer 38 wherein the ARC etch stop layer 34 attenuates light reflected from the conductive region so that the light reflected from the conductive region has a reduced effect on the portion of the first photoresist layer 38 which is molecularly altered; the light has a wavelength within the range of 364 nm to 366 nm;
  • f) developing the [0054] first photoresist layer 38 to form a first photoresist opening 38A;
  • g) etching the [0055] first dielectric layer 30 thorough the first photoresist opening to from a first opening 42;
  • h) removing the first photoresist layer; [0056]
  • i) from a second insulating [0057] layer 44 over the ARC etch stop layer 34 and the first dielectric layer 30;
  • j) from a [0058] second photoresist layer 48 on the second insulating layer 44;
  • k) expose the [0059] second photoresist layer 48 to light to molecularly alter a portion of the second photoresist layer 44 wherein the ARC etch stop layer 34 attenuates light reflected from the conductive region 29 so that the light reflected from the conductive region 29 has a reduced effect on the portion of the second photoresist layer 48 which is molecularly altered;
  • l) develop the [0060] second photoresist layer 48 to form a second photoresist opening 48A;
  • m) FIG. 2—etch the second insulating [0061] layer 44 thorough the second photoresist opening from a second opening 52 and wherein the ARC etch stop layer 34 is used as an etch stop layer; and etching the first insulating layer 30 extending the first opening 42 to expose the conductive line 29; the first and the second openings comprise a dual damascene opening,
  • n) remove the second photoresist layer; [0062]
  • o) FIG. 3—deposit a [0063] metal layer 60 so that the metal layer fills the first and second opening; and
  • p) FIG. 3—planarize the [0064] metal layer 60 so that the metal layer forms an electrical interconnect which is electrically coupled to the conductive region 29.
  • B. Description of the first embodiment [0065]
  • The first embodiment is shown in FIGS. [0066] 1 to 3. To begin, a conductive structure 29 of some type is formed over the substrate or semiconductor structure 10. The conductive structure can be a conductive line. The conductive line can preferably be an Al alloy or Cu alloy line. The semiconductor structure can comprise a wafer, with doped regions formed therein and with gates and other devices formed thereon or thereover. An insulating layer can be formed over the wafer and the conductive line can be formed over the insulating layer.
  • Subsequently, we form a first insulating layer (IMD) [0067] 30 over the conductive line 29. The first insulating layer 30 preferably bas a thickness of between about 5000 and 10,000 Å. The first insulating layer is preferably composed of Silicon oxide.
  • C. Invention's Si Rich SION ARC [0068] etch stop layer 34
  • In a key step, the invention's key Si Rich SiON ARC [0069] etch stop layer 34 over the first insulating layer 30. A major advantage of the invention is that the ARC Si rich SiON layer 34 can be formed thinner than conventional etch stop layers because of the high etch selectivity between the Si Rich SION layer 34 and oxide in the invention's subsequent specialized SiO2 etch process. Because the layer 34 is thinner, the capacitance is reduced. The ARC etch stop layer 34 is composed of Si-rich Silicon oxynitride having a Si molar percentage between about 58% and 62% (more preferably 59 to 60%) and a Refractive index between 2.6 and 2.8 (more preferably between 2.68 and 2.72) measured at a wavelength of about 633 nm. In this patent, a Si-Rich SiON layer is defined as having a Si molar percentage between about 58% and 62%. This high refractive index is critical to the invention and the etch selectivity in subsequent etch steps. This invention's Si Rich SiON layer has a higher refractive index than conventional Si-Rich SiON layers. The invention's Si Rich SiON layer 34 preferably has a thickness of between about 500 and 2000 Å and more preferably a thickness of between about 500 and 1000 Å.
  • The ARC Si-Rich [0070] etch stop layer 34 is preferably formed by the following process:
    TABLE
    Si-rich SiON deposition recipe in DCVD chamber
    Parameters Low limit Target High limit
    Pressure (Torr)   4 5 Torr 6
    power (Watts)  100 130 W 150
    electrode spacing  450 500 mils 550
    (mils)
    SiH4 (SCCM)  70 80 sccmSiH4 90
    N2O (SCCM)  30 40 sccm N2O 50
    He (SCCM) 1900 2200 sccm He 2500
    temperature (° C.)  300° C. 350° C. 400
    Refractive index   2.5 2.7 3.0
    (RI)
  • The flow rates can be scaled up or down, keeping the same molar ratios of the gas to use the invention's process in different sized reactors. The most critical parameters for the Anti-Reflection Coating (ARC) properties and the etch selectivity are the SiH[0071] 4 and N2O flows.
  • A [0072] first photoresist layer 38 having a first opening 38A is formed by exposing the photoresist layer to a first light and developing the first photoresist layer. The first light preferably has a wavelength corresponding to the I-Line or DUV of mercury with a wavelength of about 365 nm (364 to 366 nm) for I-ine and 248 nm (247 to 249 nm for DUV). The invention's SiON ARC layer 34 has superior ARC properties compared to conventional Si Rich SiON layers.
  • All Photolithography processes in this patent involving the invention's Si rich SiON layer are preferably performed using I-line or DUV light and most preferably use I-line light (364 to 366 nm). [0073]
  • D. first opening [0074] 42 and second opening 52
  • We then pattern the invention's ARC [0075] etch stop layer 34 using the first photoresist layer to form a first opening 42 (bottom interconnect opening) at least partially through the first insulating layer 30. The first opening can extend down to the underlying metal line.
  • The first opening preferably has a depth in a range of between about 11,000 Å and 15,000 Å; and a width between about 0.22 and 0.50 μm. [0076]
  • FIG. 2 shows the step of forming a second insulating [0077] layer 44 over the etch stop layer 34. The second insulating layer is preferably composed of silicon oxide, high density plasma (HDP) Undoped Silicate Glass (USG), HDP FSG, or low K SOG materials such as Hydrogen-Silsesquioxane (HSQ). The second insulating layer 44 preferably has a thickness of between about 4000 and 8000 Å.
  • Still referring to FIG. 2, we form a [0078] second photoresist layer 48 having a second photoresist opening 48A over the second insulating layer 44. The second photoresist opening 48A is formed by exposing the second photoresist layer 48 to the first light and developing the second photoresist layer.
  • The first light preferably has a wavelength corresponding to the I-Line or DUV of mercury with a wavelength of 365 nm (+/−1 nm for I-line) or 248 (+/−1 nm for DUV). [0079]
  • E. Two special SiO[0080] 2 etch processes
  • As shown in FIG. 2, we etch the second insulating [0081] layer 44, the first insulating layer 30, and the bottom etch stop layer 26 to form a second opening ((top interconnect opening)) 52 in the second insulating layer 44 and to extend the first opening 42 (bottom interconnect opening) to expose the conductive line 29.
  • There are two preferred special etch processes used with the invention's non-standard high Si Rich SiON layer that have exceptional unexpected Si rich SiON to Silicon oxide etch selectivities. Both etch process are describe below. [0082]
  • F. 1st etch process—MxP+ etcher [0083]
  • The etch of the second insulating [0084] layer 44, then first insulating layer, and the bottom etch stop layer 26 to form a second opening ((top interconnect opening)) 52 and to extend said first opening 42 preferably comprises etching a MERIE type oxide etcher model MxP+ by Applied Materials company. The etch process is shown in the table below.
  • Table: 1[0085] st etch process=Main-etch recipe in MxP+ etcher
    Parameter Low tgt High
    Pressure (torr) 100 150 mtorr  250
    Power (W) 900 1100 W 1200
    CHF3flow (SCCM)  60 90 sccm CHF3  95
    CF4 flow (Sccm)  30 10 sccm CF4   5
    Ar flow (Sccm) 100 150 sccm Ar  200
    Magnetic Field (G)  0 20  50
    Etch selectivity - Si-rich   1:4.2   1:5.2   1:5.7
    SiON: oxide
    Etch selectivity   1:2.7   1:3.7   1:4.2
    SiON: oxide
    Etch selectivity PE SiN:   1:1.5   1:2.5 1:3
    oxide
  • The flow rates above can be scaled up or down keeping the same molar % or ratios to accommodate difference sized reactors as is known to those skilled in the art. [0086]
  • The most important parameters of this etch are pressure and CHF[0087] 3/CF4 gas ratios. The silicon containing material is hard to etch in an oxide etcher. This process overcomes this problem. In this patent, a Si-Rich SiON layer is defined as having a Si molar percentage between about 58% and 62%.
  • G. 2nd SiO[0088] 2 etch process-Main-etch recipe in TCP9100 etcher:
  • The etch of the second insulating [0089] layer 44, said first insulating layer, and said bottom etch stop layer 26 to form a second opening ((top interconnect opening)) 52 and to extend said first opening 42 comprises the process shown below.
    MAIN-ETCH RECIPE IN TCP9100 ETCHER:
    Parameter Low tgt high
    pressure   1 3 mtorr  15
    power  900 1000 W 1500
    bias Power (watts) 1000 1200 W Bias 1800
    C2F6 flow (sccm)   7 8 sccm   9
    C4F8 (sccm)  10 16 sccm  20
    Ar flow (sccm)  100 150 sccm Ar  200
    Etch selectivity: Si-rich 1:7   1:11.3  1:15
    SiON: oxide
    Etch selectivity: Si rich   1:1.5   1:2.7 1:4
    SiON: oxide
  • The flow rates above can be scaled up or down keeping the same molar % or ratios to accommodate difference sized reactors as is known to those skilled in the art. The most important parameters in this etch are the pressure, bias/power and the C4F8 gas ratios. [0090]
  • The etch can be performed in a high density plasma (HDP) TCP9100 etcher: type etcher by Lam research company. In this patent, a Si-Rich SiON layer is defined as having a Si molar percentage between about 58% and 62%. [0091]
  • FIG. 3 show the deposition of a [0092] metal layer 60 so that the metal layer fills the first and second opening. Next, the metal layer 60 is planarized so that the metal layer forms an electrical interconnect which is electrically coupled to the conductive region 29.
  • Second Embodiment-SAC with Hard mask and spacers formed of Si Rich SiON [0093]
  • A. Overview of the second embodiment [0094]
  • FIGS. 4 through 8 show a preferred 2[0095] nd embodiment where a self aligned contact (SAC) is formed using special Si Rich Silicon oxynitride spacer layers on the gate electrode 14 and a Si Rich SiON hard mask 18.
  • The key aspects of the invention for the 2[0096] nd embodiment are:
  • ♦ Si rich SiON top [0097] hard mask layer 18 and Si rich SiON 2nd spacer 24
  • ♦ oxide etch process to etch [0098] oxide layer 30 but is highly selective to the Si rich SiON top hard mask layer 18 and 2nd spacer 24.
  • An overview of the major steps of the second embodiment is shown below. The 2[0099] nd embodiment includes the following steps:
  • a) form a polysilicon layer over a [0100] substrate 10;
  • b) form a hard mask layer on the polysilicon layer; the [0101] hard mask layer 16 18 comprised of a bottom hard mask 16 on a Silicon oxynitride hard mask 18; the silicon oxynitride hard mask 18 is preferably composed of Si-rich SiON having a Si molar percentage between about 58% and 62% formed by the following process: a Pressure (Torr) between about 4 and 6 Torr, a power between 100 and 150 Watt; an electrode spacing between 450 and 550 mils, a SiH4 flow between 70 and 90 SCCM, a N2O flow between 30 and 50 SCCM and a He flow between 1900 and 2500 SCCM, at a temperature between 300 and 400 ° C., and the silicon oxynitride hard mask having a refractive index (RI) between 2.65 and 2.75 measure at 633 nm;
  • c) patterning the [0102] hard mask layer 16 18 to form a hard mask 16 18 that defines a gate electrode 14; the gate electrode 18 having sidewalls;
  • d) pattern the polysilicon layer, using the [0103] hard mask 16 18 as an etch mask, to form the gate electrode 14;
  • e) form [0104] first spacers 22 on the sidewalls 22 of the gate electrode 18; the first spacers composed of silicon nitride or oxide formed using a LP TEOS process;
  • f) form [0105] second spacers 24 over the first spacers 22; the second spacers are composed of Si-rich SiON having a Si molar percentage between about 58% and 62% formed by the following process: a Pressure (Torr) between about 4 and 6 Torr, a power between 100 and 150 Watt; an electrode spacing between 450 and 550 mils, a SiH4 flow between 70 and 90 SCCM, a N2O flow between 30 and 50 SCCM and a He flow between 1900 and 2500 SCCM, at a temperature between 300 and 400 ° C., and the second spacers having a refractive index (RI) between 2.65 and 2.75 measure at 633 nm;
  • g) form a bottom [0106] etch stop layer 26 composed of silicon nitride over the second spacers 24, and elsewhere over the substrate 10;
  • h) form a first insulating layer (IMD) [0107] 30 over the bottom etch stop layer 26;
  • i) form an ARC [0108] etch stop layer 34 over the first insulating layer 30; the ARC etch stop layer 34 is composed of Si-rich Silicon oxynitride having a Si molar percentage between about 58% and 62% and a Refractive index between 2.68 and 2.72 at a wavelength of about 633 mn ; the ARC etch stop layer 34 is composed of Si-rich SiON formed by the following process: a Pressure (Torr) between about 4 and 6 Torr, a power between 100 and 150 Watt; an electrode spacing between 450 and 550 mils, a SiH4 flow between 70 and 90 SCCM, a N2O flow between 30 and 50 SCCM and a He flow between 1900 and 2500 SCCM, at a temperature between 300 and 400° C., and the ARC etch stop layer 34 having a refractive index (RI) between 2.65 and 2.75 nm measured at a wavelength of about 633 nm;
  • j) form a [0109] first photoresist layer 38 having a first opening 38A by exposing the photoresist layer to a first light and developing the first photoresist layer;
  • k) pattern the ARC [0110] etch stop layer 34 using the first photoresist layer to form a first etch stop opening through the ARC etch stop layer;
  • l) etch the first insulating [0111] layer 30 to form a contact opening 43 exposing the substrate;
  • m) etch the first insulating [0112] layer 44, is preformed at the following: a Pressure (torr) between 100 and 250 mtorr, a Power (W) between 900 and 1200 W; a CHF3 flow between 60 and 95 sccm; a CF4 flow (Sccm) between 5 and 30 sccm, a Ar flow (Sccm) between 100 and 200 sccm Ar, a Magnetic Field between 0 and 50 G; an etch selectivity between Si-rich SiON : oxide between 1:4.2 and 1:5.7; and an etch selectivity SiON: oxide between 1:2.7 and 1:4.2; an etch selectivity PE SiN:oxide between 1:1.5 and 1:3.
  • B. SAC Structure—FIGS. [0113] 4 to 8
  • FIGS. 4 through 8 show a preferred 2[0114] nd embodiment where a self aligned contact (SAC) is formed using special Si Rich Silicon oxynitride spacer layers on the gate electrode 14 and a Si Rich SiON hard mask 18.
  • Referring to FIG. 4, to from the SAC structure, a gate oxide layer (not shown), a [0115] polysilicon layer 14 and a hard mask layer consisting of a Si rich SiN layer 18 over a bottom hard mask layer 16 are formed over a substrate 10. The polysilicon layer 14 can be comprised of multiple layers and can be comprised of metals, polycides and other conductive materials used in gates and conductive lines.
  • The [0116] hard mask 16 18 is comprised of a Si-Rich Silicon oxynitride ARC hard mask 18 on a bottom hard mask layer 16. Bottom hard mask layer 16 can be comprised of LP nitride, SiON or LP TEOS oxide. The invention's key Si Rich SiON ARC layer 18 is formed as described above in the first embodiment. The Si Rich SiON ARC layer 18 preferably has a thickness of between about 500 and 2000 Å and more preferably a thickness of between about 500 and 1000 Å.
  • As shown in FIGS. 4 & 5, the [0117] hard mask layer 16 18 is patterned using a photoresist layer 21 to form a hard mask 16 18 that defines a gate electrode 14. The photoresist is exposed preferably using I-line light. All Photolithography processes in this patent involving the invention's Si rich SiON layer are preferably performed using I-line or DUV light and most preferably use I-line light (364 to 366 nm). The photoresist layer is then removed.
  • FIG. 6 shows the etch of the [0118] polysilicon layer 14 using the bard mask 16 18 as an etch mask, to form the gate electrode 14. The gate electrode 18 has sidewalls.
  • C. first and [0119] second spacers 22 24 and etch barrier layer
  • Next, [0120] first spacers 22 preferably composed of Silicon nitride, LP nitride, LP TEOS, or Silicon oxide, are formed on the sidewalls 22 of the gate electrode or conductive line 18. Spacers are formed using conventional coating and anisotropic etch back steps.
  • Next, the invention's key Si rich Silicon oxynitride spacers (Second spacers) [0121] 24 are formed over the first spacer 22. The Si rich Silicon oxynitride 2nd spacers are formed using the invention's process for forming Si rich SiON as describe above in the Si rich Silicon oxynitride 2nd spacers preferably have a thickness of between about 500 and 1000 Å.
  • A bottom [0122] etch stop layer 26 preferably composed of silicon nitride is formed over the Si Rich spacer 24, the hard mask 16 18 and elsewhere over the substrate 10.
  • [0123] D. ILD layer 30 and SAC etch
  • Referring to FIG. 8, Subsequently, we form a first insulating layer (ILD) [0124] 30 over the bottom etch stop layer 26. The first insulating layer 30 preferably has a thickness of between about 5000 and 10,000 Å. The first insulating layer is preferably composed of Silicon oxide.
  • Optionally, the invention's Si [0125] Rich SiON layer 34A can be used as a bard mask over the ELD layer 30. The Si Rich SiON layer 34A preferably has a thickness of between about 500 and 1000 Å.
  • A first etch stop opening is formed in the Si [0126] Rich SiON layer 34A.
  • Next, a [0127] contact opening 43 is formed exposing the substrate, including doped regions 11. The contact opening is preferably formed using one of the two oxide etch processes described in the first embodiment.
  • The invention's Si Rich SiON layer has a high etch selectivity to SiON and ensures that the [0128] spacer 24 and hard mask 18 are not etched down (thinned) by the contact opening etch.
  • Summary and benefits
  • The invention provides a method of forming a Si rich SiON etch barrier alyer and two specialized oxide etch processes have the following key elements: [0129]
  • 1) SiON rich layer Process [0130]
  • 2) Special Silicon oxide Etch process that has a high selectivity of Si-Rich SION to silicon oxide or SiN. [0131]
  • 3) 1[0132] st embodiment—Dual damascene process (FIGS. 1 to 3) to uses the SION rich layer 34 and special SiO2 etch process.
  • 4) 2[0133] nd embodiment—self aligned contact (SAC) process using Special Si Rich SiON spacer 22 24 and special SiO2 selective etch process—See FIGS. 4 to 8.
  • While the invention has been particularly shown and described with reference to the preferred embodiments thereof, it will be understood by those skilled in the art that various changes in form and details may be made without departing from the spirit and scope of the invention. [0134]

Claims (15)

What is claimed is:
1. A method for forming a dual damascene interconnect using an Anti-Reflective Coating (ACR) etch stop layer composed of Si Rich SiON, the method comprising the steps of
a) forming a conductive line over said substrate;
b) forming a first insulating layer overlying the conductive line;
c) forming an ARC etch stop layer composed of Si Rich SiON overlying the first insulating layer; said ARC etch stop layer has a RI between about 2.6 and 2.8 measured at 633 nm;
d) forming a first photoresist layer overlying said first insulating layer;
e) exposing said first photoresist layer to light to molecularly alter a portion of the first photoresist layer wherein the ARC etch stop layer attenuates light reflected from the conductive region so that the light reflected from the conductive region has a reduced effect on the portion of the first photoresist layer which is molecularly altered;
f) developing said first photoresist layer to form a first photoresist opening;
g) etching said first dielectric layer thorough said first photoresist opening forming a first opening;
h) removing said first photoresist layer;
i) forming a second insulating layer over said ARC etch stop layer and said first dielectric layer;
j) forming a second photoresist layer on said second insulating layer;
k) exposing said second photoresist layer to light to molecularly alter a portion of the second photoresist layer wherein said ARC etch stop layer attenuates light reflected from said conductive region so that the light reflected from said conductive region has a reduced effect on the portion of the second photoresist layer which is molecularly altered;
l) developing said second photoresist layer to form a second photoresist opening;
m) etching said second insulating layer thorough said second photoresist opening forming a second opening and wherein said ARC etch stop layer is used as an etch stop layer; and etching said first insulating layer extending said first opening to expose said conductive line; said first and said second openings comprise a dual damascene opening;
n) remove said second photoresist layer;
o) depositing a metal layer so that the metal layer fills said first and second opening; and
p) planarizing said metal layer so that the metal layer forms an electrical interconnect which is electrically coupled to the conductive region.
2. The method of
claim 1
wherein said ARC etch stop layer is composed of Si-rich SiON and is formed by the following process: a Pressure (Torr) between about 4 and 6 Torr, a power between 100 and 150 Watt; an electrode spacing between 450 and 550 mils, a SiH4 flow between 70 and 90 SCCM, a N2O flow between 30 and 50 SCCM and a He flow between 1900 and 2500 SCCM, at a temperature between 300 and 400° C.
3. The method of
claim 1
wherein said ARC etch stop layer is composed of Si-rich SION having a Si molar percentage between about 58% and 62% and is formed by the following process: a Pressure (Torr) between about 4 and 6 Torr, a power between 100 and 150 Watt; an electrode spacing between 450 and 550 mils, a SiH4 flow between 70 and 90 SCCM, a N2O flow between 30 and 50 SCCM and a He flow between 1900 and 2500 SCCM, at a temperature between 300 and 400° C., and said ARC etch stop layer having a refractive index (RI) between 2.65 and 2.75 measure at 633 nm.
4. The method of
claim 1
wherein said conductive line is comprised of a material selected from the group consisting of an Al Alloy, a polysilicon, and a polycide.
5. The method of
claim 1
wherein said light has a wavelength within the range of 364 nm to 366 nm.
6. The method of
claim 1
wherein said light has a wavelength within the range of 247 nm to 249 nm.
7. The method of
claim 1
wherein the etching of said second insulating layer is preformed at the following: a Pressure (torr) between 100 and 250 mtorr, a Power (W) between 900 and 1200 W; a CHF3 flow between 60 and 95 sccm; a CF4 flow (Sccm) between 5 and 30 sccm, a Ar flow (Sccm) between 100 and 200 sccm Ar, a Magnetic Field between 0 and 50 G; an etch selectivity between Si-rich SiON: oxide between 1:4.2 and 1:5.7; and an Etch selectivity of SiON: oxide between 1:1.5 and 1:4; an etch selectivity PE nitride: oxide between 1:1.5 and 1:3; and said second insulating layer is composed of silicon oxide.
8. The method of
claim 1
wherein the etching of said second insulating layer 44 is preformed at the following: a pressure between 1 and 15 mtorr; a power between 900 and 1500 W, a bias Power (watts) 1000 and 1800 W; a C2F6 flow (sccm) between 7 and 9 sccm; and C4F8 flow between 10 and 20 sccm; an Ar flow between 100 and 200 sccm; and Etch selectivity between Si-rich SiON: oxide at about 1:7 and 1:15; an etch selectivity of SiON: oxide between 1:1.5 and 1:4; and said second insulating layer is composed of silicon oxide.
9. A method for forming a dual damascene interconnect using an Anti-Reflective Coating etch stop layer composed of Si Rich SiON, the method comprising the steps of:
a) forming a conductive line over said substrate; said conductive line is comprised an Al Alloy;
b) forming a first insulating layer overlying the conductive line;
c) forming an ARC etch stop layer composed of Si Rich SiON overlying the first insulating layer;
(c-1) said ARC etch stop layer is composed of Si-rich SiON having a Si molar percentage between about 58% and 62% formed by the following process: a Pressure (Torr) between about 4 and 6 Torr, a power between 100 and 150 Watt; an electrode spacing between 450 and 550 mils, a SiH4 flow between 70 and 90 SCCM, a N2O flow between 30 and 50 SCCM and a He flow between 1900 and 2500 SCCM, at a temperature between 300 and 400° C., and said ARC etch stop layer having a refractive index (RI) between 2.65 and 2.75 measure at 633 nm;
d) forming a first photoresist layer overlying said first insulating layer;
e) exposing said first photoresist layer to light to molecularly alter a portion of the first photoresist layer wherein the ARC etch stop layer attenuates light reflected from the conductive region so that the light reflected from the conductive region has a reduced effect on the portion of the first photoresist layer which is molecularly altered; said light has a wavelength within the range of 364 nm to 366 nm;
f) developing said first photoresist layer to form a first photoresist opening;
g) etching said first dielectric layer thorough said first photoresist opening forming a first opening;
h) removing said first photoresist layer;
i) forming a second insulating layer over said ARC etch stop layer and said first dielectric layer;
j) forming a second photoresist layer on said second insulating layer;
k) exposing said second photoresist layer to light to molecularly alter a portion of the second photoresist layer wherein said ARC etch stop layer attenuates light reflected from said conductive region so that the light reflected from said conductive region has a reduced effect on the portion of the second photoresist layer which is molecularly altered;
l) developing said second photoresist layer to form a second photoresist opening;
m) etching said second insulating layer thorough said second photoresist opening forming a second opening and wherein said ARC etch stop layer is used as an etch stop layer; and etching said first insulating layer extending said first opening to expose said conductive line; said first and said second openings comprise a dual damascene opening;
n) removing said second photoresist layer;
o) depositing a metal layer so that the metal layer fills said first and second opening; and
p) planarizing said metal layer so that the metal layer forms an electrical interconnect which is electrically coupled to the conductive region.
10. The method of
claim 9
wherein the etching of said second insulating layer is preformed at the following: a Pressure (torr) between 100 and 250 mtorr, a Power (W) between 900 and 1200 W; a CHF3 flow between 60 and 95 sccm; a CF4 flow (Sccm) between 5 and 30 sccm, a Ar flow (Sccm) between 100 and 200 sccm Ar, a Magnetic Field between 0 and 50 G; an etch selectivity between Si-rich SiON: oxide between 1:4.2 and 1:5.7; and an Etch selectivity SiON: oxide between 1:1.5 and 1:4; an etch selectivity PE nitride: oxide between 1:1.5 and 1:3; and said second insulating layer is composed of silicon oxide.
11. The method of
claim 9
wherein the etching of said second insulating layer is preformed at the following: a pressure between 1 and 15 mtorr; a power between 900 and 1500 W, a bias Power (watts) 1000 and 1800 W; a C2F6 flow (sccm) between 7 and 9 sccm; and C4F8 flow between 10 and 20 sccm; an Ar flow between 100 and 200 sccm; and Etch selectivity between Si-rich SiON: oxide at about 1:7 and 1:15; an etch selectivity: SiON: oxide between 1:1.5 and 1:4; and said second insulating layer is composed of silicon oxide.
12. A method of fabricating a self-aligned contact to a substrate using a Si Rich Silicon oxynitride etch barrier layer and a Si Rich spacer; comprising the steps of:
a) forming a polysilicon layer over a substrate;
b) forming a hard mask layer on said polysilicon layer; said hard mask layer comprised of a bottom hard mask on a Silicon oxynitride hard mask;
(b-1) said Silicon oxynitride hard mask is composed of Si-rich SiON having a Si molar percentage between about 58% and 62%, formed by the following process: a Pressure (Torr) between about 4 and 6 Torr, a power between 100 and 150 Watt; an electrode spacing between 450 and 550 mils, a SiH4 flow between 70 and 90 SCCM, a N2O flow between 30 and 50 SCCM and a He flow between 1900 and 2500 SCCM, at a temperature between 300 and 400° C., and said silicon oxynitride layer having a refractive index (RI) between 2.65 and 2.75 measure at 633 nm;
c) patterning said hard mask layer to form a hard mask that defines a gate electrode; said gate electrode having sidewalls;
d) patterning said polysilicon layer, using said hard mask as an etch mask, to form said gate electrode;
e) forming first spacers on said sidewalls of said gate electrode; said first spacers are composed of silicon nitride or oxide formed using a LP TEOS process;
f) forming second spacers over said first spacers;
(f-1) said second spacers are composed of Si-rich SiON having a Si molar percentage between about 58% and 62% formed by the following process: a Pressure (Torr) between about 4 and 6 Torr, a power between 100 and 150 Watt; an electrode spacing between 450 and 550 mils, a SiH4 flow between 70 and 90 SCCM, a N2O flow between 30 and 50 SCCM and a He flow between 1900 and 2500 SCCM, at a temperature between 300 and 400° C., and said second spacers having a refractive index (RI) between 2.65 and 2.75 measure at 633 nm;
g) forming a bottom etch stop layer composed of silicon nitride over said second spacers, and elsewhere over said substrate;
h) forming a first insulating layer (IMD) over said bottom etch stop layer;
i) forming an ARC etch stop layer over said first insulating layer;
(i-1) said ARC etch stop layer is composed of Si-rich Silicon oxynitride having a Si molar percentage between about 58% and 62% and a Refractive index between 2.68 and 2.72 at a wavelength of about 633 nm;
j) forming a first photoresist layer having a first opening by exposing said photoresist layer to a first light and developing said first photoresist layer;
k) patterning said ARC etch stop layer using said first photoresist layer to form a first opening through said first insulating layer exposing said substrate.
13. The method of
claim 12
wherein said ARC etch stop layer is composed of Si-rich SiON formed by the following process: a Pressure (Torr) between about 4 and 6 Torr, a power between 100 and 150 Watt; an electrode spacing between 450 and 550 mils, a SiH4 flow between 70 and 90 SCCM, a N2O flow between 30 and 50 SCCM and a He flow between 1900 and 2500 SCCM, at a temperature between 300 and 400° C., and said ARC etch stop layer having a refractive index (RI) between 2.65 and 2.75 nm measured at a wavelength of about 633 nm.
14. The method of
claim 12
wherein the etch of the said first insulating layer, is preformed at the following: a Pressure (torr) between 100 and 250 mtorr, a Power (W) between 900 and 1200 W; a CHF3 flow between 60 and 95 sccm; a CF4 flow (Sccm) between 5 and 30 sccm, a Ar flow (Sccm) between 100 and 200 sccm Ar, a Magnetic Field between 0 and 50 G; an etch selectivity between Si-rich SiON: oxide between 1:4.2 and 1:5.7; and an Etch selectivity SiON: oxide between 1:2.7 and 1:4.2; an etch selectivity PE nitride: oxide between 1:1.5 and 1:3.
15. A method of fabricating a self-aligned contact to a substrate using a Si Rich Silicon oxynitride etch barrier layer and a Si Rich spacer; comprising the steps of:
a) forming a polysilicon layer over a substrate;
b) forming a hard mask layer on said polysilicon layer; said hard mask layer comprised of a bottom hard mask on a Silicon oxynitride hard mask;
(b-1) said silicon oxynitride hard mask is composed of Si-rich SiON having a Si molar percentage between about 58% and 62% formed by the following process: a Pressure (Torr) between about 4 and 6 Torr, a power between 100 and 150 Watt; an electrode spacing between 450 and 550 mils, a SiH4 flow between 70 and 90 SCCM, a N2O flow between 30 and 50 SCCM and a He flow between 1900 and 2500 SCCM, at a temperature between 300 and 400° C., and said silicon oxynitride hard mask having a refractive index (RI) between 2.65 and 2.75 measure at 633 nm;
c) patterning said hard mask layer to form a hard mask that defines a gate electrode; said gate electrode having sidewalls;
d) patterning said polysilicon layer, using said hard mask as an etch mask, to form said gate electrode;
e) forming first spacers on said sidewalls of said gate electrode; said first spacers composed of silicon nitride or oxide formed using a LP TEOS process;
f) forming second spacers over said first spacers;
(f-1) said second spacers are composed of Si-rich SiON having a Si molar percentage between about 58% and 62% formed by the following process: a Pressure (Torr) between about 4 and 6 Torr, a power between 100 and 150 Watt; an electrode spacing between 450 and 550 mils, a SiH4 flow between 70 and 90 SCCM, a N2O flow between 30 and 50 SCCM and a He flow between 1900 and 2500 SCCM, at a temperature between 300 and 400° C., and said second spacers having a refractive index (RI) between 2.65 and 2.75 measure at 633 nm;
g) forming a bottom etch stop layer composed of silicon nitride over said second spacers, and elsewhere over said substrate;
h) forming a first insulating layer (IMD) over said bottom etch stop layer;
i) forming an ARC etch stop layer over said first insulating layer;
(i-1) said ARC etch stop layer is composed of Si-rich Silicon oxynitride having a Si molar percentage between about 58% and 62% and a Refractive index between 2.68 and 2.72 at a wavelength of about 633 mn; said ARC etch stop layer is composed of Si-rich SiON formed by the following process: a Pressure (Torr) between about 4 and 6 Torr, a power between 100 and 150 Watt; an electrode spacing between 450 and 550 mils, a SiH4 flow between 70 and 90 SCCM, a N2O flow between 30 and 50 SCCM and a He flow between 1900 and 2500 SCCM, at a temperature between 300 and 400° C., and said ARC etch stop layer having a refractive index (RI) between 2.65 and 2.75 nm measured at a wavelength of about 633 nm;
j) forming a first photoresist layer having a first opening by exposing said photoresist layer to a first light and developing said first photoresist layer;
k) patterning said ARC etch stop layer using said first photoresist layer to form a first etch stop opening through said ARC etch stop layer; and
l) etching said first insulating layer to form a contact opening exposing said substrate, the etching of said first insulating layer, is preformed at the following: a Pressure (torr) between 100 and 250 mtorr, a Power (W) between 900 and 1200 W; a CHF3 flow between 60 and 95 sccm; a CF4 flow (Sccm) between 5 and 30 sccm, a Ar flow (Sccm) between 100 and 200 sccm Ar, a Magnetic Field between 0 and 50 G; an etch selectivity between Si-rich SiON oxide between 1:4.2 and 1:5.7; and an etch selectivity SiON: oxide between 1:2.7 and 1:4.2; an etch selectivity PE SiN: oxide between 1:1.5 and 1:3.
US09/838,627 1999-02-05 2001-04-20 High selectivity Si-rich SiON etch-stop layer Expired - Lifetime US6316348B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/838,627 US6316348B1 (en) 1999-02-05 2001-04-20 High selectivity Si-rich SiON etch-stop layer

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/245,564 US6245669B1 (en) 1999-02-05 1999-02-05 High selectivity Si-rich SiON etch-stop layer
US09/838,627 US6316348B1 (en) 1999-02-05 2001-04-20 High selectivity Si-rich SiON etch-stop layer

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/245,564 Division US6245669B1 (en) 1999-02-05 1999-02-05 High selectivity Si-rich SiON etch-stop layer

Publications (2)

Publication Number Publication Date
US20010034121A1 true US20010034121A1 (en) 2001-10-25
US6316348B1 US6316348B1 (en) 2001-11-13

Family

ID=22927175

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/245,564 Expired - Lifetime US6245669B1 (en) 1999-02-05 1999-02-05 High selectivity Si-rich SiON etch-stop layer
US09/838,627 Expired - Lifetime US6316348B1 (en) 1999-02-05 2001-04-20 High selectivity Si-rich SiON etch-stop layer

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/245,564 Expired - Lifetime US6245669B1 (en) 1999-02-05 1999-02-05 High selectivity Si-rich SiON etch-stop layer

Country Status (1)

Country Link
US (2) US6245669B1 (en)

Cited By (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6475921B2 (en) * 1999-09-02 2002-11-05 Micron Technology, Inc. Mask for producing rectangular openings in a substrate
US20040161918A1 (en) * 2003-02-13 2004-08-19 Yun Cheol-Ju Semiconductor device and method for forming same using multi-layered hard mask
SG108897A1 (en) * 2002-01-24 2005-02-28 Taiwan Semiconductor Mfg Process for preventing formation of photoresist scum
US20050260840A1 (en) * 2004-05-19 2005-11-24 Shuang-Feng Yeh Method of fabricating T-shaped polysilicon gate by using dual damascene process
US20060145347A1 (en) * 2005-01-06 2006-07-06 Kazuhiko Aida Semiconductor device and method for fabricating the same
US20060154493A1 (en) * 2005-01-10 2006-07-13 Reza Arghavani Method for producing gate stack sidewall spacers
US20070222069A1 (en) * 2006-03-17 2007-09-27 Nec Electronics Corporation Semiconductor integrated circuit device
US8343307B2 (en) 2004-02-26 2013-01-01 Applied Materials, Inc. Showerhead assembly
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (138)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6294459B1 (en) * 1998-09-03 2001-09-25 Micron Technology, Inc. Anti-reflective coatings and methods for forming and using same
US6255233B1 (en) * 1998-12-30 2001-07-03 Intel Corporation In-situ silicon nitride and silicon based oxide deposition with graded interface for damascene application
KR100280288B1 (en) * 1999-02-04 2001-01-15 윤종용 Method for fabricating capacitor of semiconcuctor integrated circuit
US6872665B1 (en) * 1999-07-12 2005-03-29 Texas Instruments Incorporated Process flow for dual damescene interconnect structures
KR100308619B1 (en) * 1999-08-24 2001-11-01 윤종용 Method of forming a self-aligned contact pad for a semiconductor device
US6222241B1 (en) * 1999-10-29 2001-04-24 Advanced Micro Devices, Inc. Method and system for reducing ARC layer removal by providing a capping layer for the ARC layer
US6734071B1 (en) 2000-08-30 2004-05-11 Micron Technology, Inc. Methods of forming insulative material against conductive structures
US7183222B2 (en) * 2000-09-01 2007-02-27 Cypress Semiconductor Corporation Dual damascene structure and method of making
US6521529B1 (en) * 2000-10-05 2003-02-18 Advanced Micro Devices, Inc. HDP treatment for reduced nickel silicide bridging
US6459155B1 (en) * 2000-12-05 2002-10-01 Advanced Micro Devices, Inc. Damascene processing employing low Si-SiON etch stop layer/arc
JP4883836B2 (en) * 2000-12-27 2012-02-22 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US6660619B1 (en) * 2001-01-31 2003-12-09 Advanced Micro Devices, Inc. Dual damascene metal interconnect structure with dielectric studs
US6444566B1 (en) * 2001-04-30 2002-09-03 Taiwan Semiconductor Manufacturing Company Method of making borderless contact having a sion buffer layer
US6797605B2 (en) * 2001-07-26 2004-09-28 Chartered Semiconductor Manufacturing Ltd. Method to improve adhesion of dielectric films in damascene interconnects
KR100500932B1 (en) * 2001-09-28 2005-07-14 주식회사 하이닉스반도체 Method of dry cleaning and photoresist strip after via contact etching
KR100415088B1 (en) 2001-10-15 2004-01-13 주식회사 하이닉스반도체 method for fabricating semiconductor device
DE10200428A1 (en) * 2002-01-09 2003-04-30 Infineon Technologies Ag Production of contact structures in a metallizing on a semiconductor wafer comprises preparing a wafer having an active region, applying a first insulating layer on the wafer
JP4107855B2 (en) * 2002-03-11 2008-06-25 シャープ株式会社 Manufacturing method of light receiving element built-in semiconductor device and light receiving element built-in semiconductor device
US6703297B1 (en) * 2002-03-22 2004-03-09 Advanced Micro Devices, Inc. Method of removing inorganic gate antireflective coating after spacer formation
US6686293B2 (en) 2002-05-10 2004-02-03 Applied Materials, Inc Method of etching a trench in a silicon-containing dielectric material
KR20030093575A (en) * 2002-06-03 2003-12-11 주식회사 하이닉스반도체 Method for fabricating capacitor using high selectivity nitride
US6903425B2 (en) * 2002-08-05 2005-06-07 Micron Technology, Inc. Silicon rich barrier layers for integrated circuit devices
US7211479B2 (en) * 2004-08-30 2007-05-01 Micron Technology, Inc. Silicon rich barrier layers for integrated circuit devices
US6797552B1 (en) * 2002-11-19 2004-09-28 Advanced Micro Devices, Inc. Method for defect reduction and enhanced control over critical dimensions and profiles in semiconductor devices
KR20040060563A (en) * 2002-12-30 2004-07-06 동부전자 주식회사 Manufacture method and structure of semiconductor element
KR100485388B1 (en) * 2003-02-28 2005-04-27 삼성전자주식회사 Method for forming trench and method for manufacturing semiconductor device using the same
US7074717B2 (en) 2003-03-04 2006-07-11 Micron Technology, Inc. Damascene processes for forming conductive structures
US8872311B2 (en) * 2004-02-13 2014-10-28 Agere Systems Inc. Semiconductor device and a method of manufacture therefor
US7081393B2 (en) * 2004-05-20 2006-07-25 International Business Machines Corporation Reduced dielectric constant spacer materials integration for high speed logic gates
JP4813778B2 (en) * 2004-06-30 2011-11-09 富士通セミコンダクター株式会社 Semiconductor device
US7301185B2 (en) * 2004-11-29 2007-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. High-voltage transistor device having an interlayer dielectric etch stop layer for preventing leakage and improving breakdown voltage
US7786460B2 (en) 2005-11-15 2010-08-31 Macronix International Co., Ltd. Phase change memory device and manufacturing method
US7394088B2 (en) 2005-11-15 2008-07-01 Macronix International Co., Ltd. Thermally contained/insulated phase change memory device and method (combined)
US7635855B2 (en) 2005-11-15 2009-12-22 Macronix International Co., Ltd. I-shaped phase change memory cell
US7414258B2 (en) 2005-11-16 2008-08-19 Macronix International Co., Ltd. Spacer electrode small pin phase change memory RAM and manufacturing method
US7449710B2 (en) 2005-11-21 2008-11-11 Macronix International Co., Ltd. Vacuum jacket for phase change memory element
US7599217B2 (en) 2005-11-22 2009-10-06 Macronix International Co., Ltd. Memory cell device and manufacturing method
US7688619B2 (en) 2005-11-28 2010-03-30 Macronix International Co., Ltd. Phase change memory cell and manufacturing method
US7459717B2 (en) 2005-11-28 2008-12-02 Macronix International Co., Ltd. Phase change memory cell and manufacturing method
US20070119813A1 (en) * 2005-11-28 2007-05-31 Texas Instruments Incorporated Gate patterning method for semiconductor processing
US20070128887A1 (en) * 2005-12-07 2007-06-07 Macronix International Co., Ltd. Spin-on glass passivation process
US7531825B2 (en) 2005-12-27 2009-05-12 Macronix International Co., Ltd. Method for forming self-aligned thermal isolation cell for a variable resistance memory array
US8062833B2 (en) 2005-12-30 2011-11-22 Macronix International Co., Ltd. Chalcogenide layer etching method
US7741636B2 (en) 2006-01-09 2010-06-22 Macronix International Co., Ltd. Programmable resistive RAM and manufacturing method
US7560337B2 (en) * 2006-01-09 2009-07-14 Macronix International Co., Ltd. Programmable resistive RAM and manufacturing method
KR100724574B1 (en) 2006-01-10 2007-06-04 삼성전자주식회사 Semiconductor device having etch stop layer and fabricating method thereof
US20070202710A1 (en) * 2006-02-27 2007-08-30 Hynix Semiconductor Inc. Method for fabricating semiconductor device using hard mask
US7696506B2 (en) * 2006-06-27 2010-04-13 Macronix International Co., Ltd. Memory cell with memory material insulation and manufacturing method
KR100801062B1 (en) * 2006-07-07 2008-02-04 삼성전자주식회사 Method for trench isolation, method of forming a gate structure using the method for trench isolation and method of forming a non-volatile memory device using the method for trench isolation
US7785920B2 (en) 2006-07-12 2010-08-31 Macronix International Co., Ltd. Method for making a pillar-type phase change memory element
US7772581B2 (en) 2006-09-11 2010-08-10 Macronix International Co., Ltd. Memory device having wide area phase change element and small electrode contact area
US7504653B2 (en) 2006-10-04 2009-03-17 Macronix International Co., Ltd. Memory cell device with circumferentially-extending memory element
US7863655B2 (en) 2006-10-24 2011-01-04 Macronix International Co., Ltd. Phase change memory cells with dual access devices
US7473576B2 (en) 2006-12-06 2009-01-06 Macronix International Co., Ltd. Method for making a self-converged void and bottom electrode for memory cell
US7476587B2 (en) 2006-12-06 2009-01-13 Macronix International Co., Ltd. Method for making a self-converged memory material element for memory cell
US7903447B2 (en) 2006-12-13 2011-03-08 Macronix International Co., Ltd. Method, apparatus and computer program product for read before programming process on programmable resistive memory cell
US7718989B2 (en) 2006-12-28 2010-05-18 Macronix International Co., Ltd. Resistor random access memory cell device
US7619311B2 (en) 2007-02-02 2009-11-17 Macronix International Co., Ltd. Memory cell device with coplanar electrode surface and method
US7884343B2 (en) * 2007-02-14 2011-02-08 Macronix International Co., Ltd. Phase change memory cell with filled sidewall memory element and method for fabricating the same
US7956344B2 (en) 2007-02-27 2011-06-07 Macronix International Co., Ltd. Memory cell with memory element contacting ring-shaped upper end of bottom electrode
US7786461B2 (en) * 2007-04-03 2010-08-31 Macronix International Co., Ltd. Memory structure with reduced-size memory element between memory material portions
US7569844B2 (en) 2007-04-17 2009-08-04 Macronix International Co., Ltd. Memory cell sidewall contacting side electrode
US7777215B2 (en) * 2007-07-20 2010-08-17 Macronix International Co., Ltd. Resistive memory structure with buffer layer
US7729161B2 (en) * 2007-08-02 2010-06-01 Macronix International Co., Ltd. Phase change memory with dual word lines and source lines and method of operating same
US8178386B2 (en) 2007-09-14 2012-05-15 Macronix International Co., Ltd. Phase change memory cell array with self-converged bottom electrode and method for manufacturing
US7642125B2 (en) 2007-09-14 2010-01-05 Macronix International Co., Ltd. Phase change memory cell in via array with self-aligned, self-converged bottom electrode and method for manufacturing
US7919766B2 (en) 2007-10-22 2011-04-05 Macronix International Co., Ltd. Method for making self aligning pillar memory cell device
US20090108359A1 (en) * 2007-10-31 2009-04-30 Agere Systems Inc. A semiconductor device and method of manufacture therefor
US7646631B2 (en) 2007-12-07 2010-01-12 Macronix International Co., Ltd. Phase change memory cell having interface structures with essentially equal thermal impedances and manufacturing methods
US7639527B2 (en) 2008-01-07 2009-12-29 Macronix International Co., Ltd. Phase change memory dynamic resistance test and manufacturing methods
US7879643B2 (en) 2008-01-18 2011-02-01 Macronix International Co., Ltd. Memory cell with memory element contacting an inverted T-shaped bottom electrode
US7879645B2 (en) 2008-01-28 2011-02-01 Macronix International Co., Ltd. Fill-in etching free pore device
US8158965B2 (en) 2008-02-05 2012-04-17 Macronix International Co., Ltd. Heating center PCRAM structure and methods for making
US8084842B2 (en) 2008-03-25 2011-12-27 Macronix International Co., Ltd. Thermally stabilized electrode structure
US8030634B2 (en) 2008-03-31 2011-10-04 Macronix International Co., Ltd. Memory array with diode driver and method for fabricating the same
US7825398B2 (en) 2008-04-07 2010-11-02 Macronix International Co., Ltd. Memory cell having improved mechanical stability
US7791057B2 (en) 2008-04-22 2010-09-07 Macronix International Co., Ltd. Memory cell having a buried phase change region and method for fabricating the same
US8077505B2 (en) 2008-05-07 2011-12-13 Macronix International Co., Ltd. Bipolar switching of phase change device
US7701750B2 (en) * 2008-05-08 2010-04-20 Macronix International Co., Ltd. Phase change device having two or more substantial amorphous regions in high resistance state
US8415651B2 (en) 2008-06-12 2013-04-09 Macronix International Co., Ltd. Phase change memory cell having top and bottom sidewall contacts
US8134857B2 (en) 2008-06-27 2012-03-13 Macronix International Co., Ltd. Methods for high speed reading operation of phase change memory and device employing same
US7932506B2 (en) 2008-07-22 2011-04-26 Macronix International Co., Ltd. Fully self-aligned pore-type memory cell having diode access device
US7903457B2 (en) * 2008-08-19 2011-03-08 Macronix International Co., Ltd. Multiple phase change materials in an integrated circuit for system on a chip application
US7719913B2 (en) 2008-09-12 2010-05-18 Macronix International Co., Ltd. Sensing circuit for PCRAM applications
US8324605B2 (en) 2008-10-02 2012-12-04 Macronix International Co., Ltd. Dielectric mesh isolated phase change structure for phase change memory
US7897954B2 (en) 2008-10-10 2011-03-01 Macronix International Co., Ltd. Dielectric-sandwiched pillar memory device
US8036014B2 (en) 2008-11-06 2011-10-11 Macronix International Co., Ltd. Phase change memory program method without over-reset
US8664689B2 (en) 2008-11-07 2014-03-04 Macronix International Co., Ltd. Memory cell access device having a pn-junction with polycrystalline plug and single-crystal semiconductor regions
US8907316B2 (en) 2008-11-07 2014-12-09 Macronix International Co., Ltd. Memory cell access device having a pn-junction with polycrystalline and single crystal semiconductor regions
US8252659B2 (en) * 2008-12-02 2012-08-28 Imec Method for producing interconnect structures for integrated circuits
US7869270B2 (en) * 2008-12-29 2011-01-11 Macronix International Co., Ltd. Set algorithm for phase change memory cell
US8089137B2 (en) 2009-01-07 2012-01-03 Macronix International Co., Ltd. Integrated circuit memory with single crystal silicon on silicide driver and manufacturing method
US8107283B2 (en) 2009-01-12 2012-01-31 Macronix International Co., Ltd. Method for setting PCRAM devices
US8030635B2 (en) 2009-01-13 2011-10-04 Macronix International Co., Ltd. Polysilicon plug bipolar transistor for phase change memory
US8064247B2 (en) * 2009-01-14 2011-11-22 Macronix International Co., Ltd. Rewritable memory device based on segregation/re-absorption
US8933536B2 (en) 2009-01-22 2015-01-13 Macronix International Co., Ltd. Polysilicon pillar bipolar transistor with self-aligned memory element
US8084760B2 (en) 2009-04-20 2011-12-27 Macronix International Co., Ltd. Ring-shaped electrode and manufacturing method for same
US8173987B2 (en) 2009-04-27 2012-05-08 Macronix International Co., Ltd. Integrated circuit 3D phase change memory array and manufacturing method
US8097871B2 (en) 2009-04-30 2012-01-17 Macronix International Co., Ltd. Low operational current phase change memory structures
US7933139B2 (en) 2009-05-15 2011-04-26 Macronix International Co., Ltd. One-transistor, one-resistor, one-capacitor phase change memory
US7968876B2 (en) * 2009-05-22 2011-06-28 Macronix International Co., Ltd. Phase change memory cell having vertical channel access transistor
US8350316B2 (en) 2009-05-22 2013-01-08 Macronix International Co., Ltd. Phase change memory cells having vertical channel access transistor and memory plane
US8809829B2 (en) 2009-06-15 2014-08-19 Macronix International Co., Ltd. Phase change memory having stabilized microstructure and manufacturing method
US8406033B2 (en) * 2009-06-22 2013-03-26 Macronix International Co., Ltd. Memory device and method for sensing and fixing margin cells
US8238149B2 (en) * 2009-06-25 2012-08-07 Macronix International Co., Ltd. Methods and apparatus for reducing defect bits in phase change memory
US8363463B2 (en) * 2009-06-25 2013-01-29 Macronix International Co., Ltd. Phase change memory having one or more non-constant doping profiles
US8110822B2 (en) * 2009-07-15 2012-02-07 Macronix International Co., Ltd. Thermal protect PCRAM structure and methods for making
US8198619B2 (en) 2009-07-15 2012-06-12 Macronix International Co., Ltd. Phase change memory cell structure
US7894254B2 (en) * 2009-07-15 2011-02-22 Macronix International Co., Ltd. Refresh circuitry for phase change memory
US20110049456A1 (en) * 2009-09-03 2011-03-03 Macronix International Co., Ltd. Phase change structure with composite doping for phase change memory
US8064248B2 (en) * 2009-09-17 2011-11-22 Macronix International Co., Ltd. 2T2R-1T1R mix mode phase change memory array
US8178387B2 (en) * 2009-10-23 2012-05-15 Macronix International Co., Ltd. Methods for reducing recrystallization time for a phase change material
CN102136422A (en) * 2010-01-21 2011-07-27 上海华虹Nec电子有限公司 Method for improving isolation of emitting electrode and base electrode polysilicon side wall
US8729521B2 (en) 2010-05-12 2014-05-20 Macronix International Co., Ltd. Self aligned fin-type programmable memory cell
US8310864B2 (en) 2010-06-15 2012-11-13 Macronix International Co., Ltd. Self-aligned bit line under word line memory array
US8395935B2 (en) 2010-10-06 2013-03-12 Macronix International Co., Ltd. Cross-point self-aligned reduced cell size phase change memory
US8497705B2 (en) 2010-11-09 2013-07-30 Macronix International Co., Ltd. Phase change device for interconnection of programmable logic device
US8467238B2 (en) 2010-11-15 2013-06-18 Macronix International Co., Ltd. Dynamic pulse operation for phase change memory
US8889018B2 (en) 2010-11-23 2014-11-18 HGST Netherlands B.V. Method for manufacturing a magnetic write pole using a multi-layered hard mask structure
US8987700B2 (en) 2011-12-02 2015-03-24 Macronix International Co., Ltd. Thermally confined electrode for programmable resistance memory
US9059254B2 (en) 2012-09-06 2015-06-16 International Business Machines Corporation Overlay-tolerant via mask and reactive ion etch (RIE) technique
CN102881650B (en) * 2012-10-22 2017-12-15 上海集成电路研发中心有限公司 A kind of preparation method of double damask structure
US9336879B2 (en) 2014-01-24 2016-05-10 Macronix International Co., Ltd. Multiple phase change materials in an integrated circuit for system on a chip application
US9559113B2 (en) 2014-05-01 2017-01-31 Macronix International Co., Ltd. SSL/GSL gate oxide in 3D vertical channel NAND
US9672906B2 (en) 2015-06-19 2017-06-06 Macronix International Co., Ltd. Phase change memory with inter-granular switching
US10090420B2 (en) 2016-01-22 2018-10-02 Solar Junction Corporation Via etch method for back contact multijunction solar cells
US9680035B1 (en) 2016-05-27 2017-06-13 Solar Junction Corporation Surface mount solar cell with integrated coverglass
KR20180006740A (en) * 2016-07-11 2018-01-19 에스케이하이닉스 주식회사 Semiconductor device and manufacturing method of the same
JP6557642B2 (en) * 2016-09-05 2019-08-07 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
US11289651B2 (en) * 2017-09-01 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device having via landing protection
US11222820B2 (en) 2018-06-27 2022-01-11 International Business Machines Corporation Self-aligned gate cap including an etch-stop layer
KR20200079366A (en) 2018-12-24 2020-07-03 삼성전자주식회사 Semiconductor Memory Device
US11164777B2 (en) 2020-01-15 2021-11-02 International Business Machines Corporation Top via with damascene line and via
US11177166B2 (en) 2020-04-17 2021-11-16 International Business Machines Corporation Etch stop layer removal for capacitance reduction in damascene top via integration
US11189568B2 (en) 2020-04-29 2021-11-30 International Business Machines Corporation Top via interconnect having a line with a reduced bottom dimension
US11295978B2 (en) 2020-04-29 2022-04-05 International Business Machines Corporation Interconnects having spacers for improved top via critical dimension and overlay tolerance
US11804406B2 (en) 2021-07-23 2023-10-31 International Business Machines Corporation Top via cut fill process for line extension reduction
US11869808B2 (en) 2021-09-22 2024-01-09 International Business Machines Corporation Top via process with damascene metal

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4870470A (en) 1987-10-16 1989-09-26 International Business Machines Corporation Non-volatile memory cell having Si rich silicon nitride charge trapping layer
US4871689A (en) 1987-11-17 1989-10-03 Motorola Inc. Multilayer trench isolation process and structure
US5252515A (en) 1991-08-12 1993-10-12 Taiwan Semiconductor Manufacturing Company Method for field inversion free multiple layer metallurgy VLSI processing
US5378659A (en) 1993-07-06 1995-01-03 Motorola Inc. Method and structure for forming an integrated circuit pattern on a semiconductor substrate
FR2711275B1 (en) * 1993-10-15 1996-10-31 Intel Corp Automatically aligned contact process in semiconductor and product device manufacturing.
JPH0846186A (en) * 1994-07-27 1996-02-16 Sony Corp Semiconductor device
JPH0846188A (en) * 1994-07-28 1996-02-16 Sony Corp Semiconductor device
US5741626A (en) 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US5817562A (en) * 1997-01-24 1998-10-06 Taiwan Semiconductor Manufacturing Company, Ltd Method for making improved polysilicon FET gate electrode structures and sidewall spacers for more reliable self-aligned contacts (SAC)
US5930627A (en) * 1997-05-05 1999-07-27 Chartered Semiconductor Manufacturing Company, Ltd. Process improvements in self-aligned polysilicon MOSFET technology using silicon oxynitride
US5920790A (en) * 1997-08-29 1999-07-06 Motorola, Inc. Method of forming a semiconductor device having dual inlaid structure
EP0908945A3 (en) * 1997-09-29 2000-09-27 Siemens Aktiengesellschaft Dual damascene with self aligned via interconnects
TW374946B (en) * 1997-12-03 1999-11-21 United Microelectronics Corp Definition of structure of dielectric layer patterns and the manufacturing method
US6087271A (en) * 1997-12-18 2000-07-11 Advanced Micro Devices, Inc. Methods for removal of an anti-reflective coating following a resist protect etching process
US6025259A (en) * 1998-07-02 2000-02-15 Advanced Micro Devices, Inc. Dual damascene process using high selectivity boundary layers

Cited By (229)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6475921B2 (en) * 1999-09-02 2002-11-05 Micron Technology, Inc. Mask for producing rectangular openings in a substrate
SG108897A1 (en) * 2002-01-24 2005-02-28 Taiwan Semiconductor Mfg Process for preventing formation of photoresist scum
US7166507B2 (en) * 2003-02-13 2007-01-23 Samsung Electronics Co., Ltd. Semiconductor device and method for forming same using multi-layered hard mask
US20040161918A1 (en) * 2003-02-13 2004-08-19 Yun Cheol-Ju Semiconductor device and method for forming same using multi-layered hard mask
US10593539B2 (en) 2004-02-26 2020-03-17 Applied Materials, Inc. Support assembly
US8343307B2 (en) 2004-02-26 2013-01-01 Applied Materials, Inc. Showerhead assembly
US20050260840A1 (en) * 2004-05-19 2005-11-24 Shuang-Feng Yeh Method of fabricating T-shaped polysilicon gate by using dual damascene process
US20060145347A1 (en) * 2005-01-06 2006-07-06 Kazuhiko Aida Semiconductor device and method for fabricating the same
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
CN100452318C (en) * 2005-01-10 2009-01-14 应用材料公司 Method for producing gate stack sidewall spacers
US20060154493A1 (en) * 2005-01-10 2006-07-13 Reza Arghavani Method for producing gate stack sidewall spacers
US20070222069A1 (en) * 2006-03-17 2007-09-27 Nec Electronics Corporation Semiconductor integrated circuit device
US8399954B2 (en) * 2006-03-17 2013-03-19 Renesas Electronics Corporation Semiconductor integrated circuit device
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US9012302B2 (en) 2011-09-26 2015-04-21 Applied Materials, Inc. Intrench profile
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9355863B2 (en) 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9093390B2 (en) 2013-03-07 2015-07-28 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9184055B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9991134B2 (en) 2013-03-15 2018-06-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9449850B2 (en) 2013-03-15 2016-09-20 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9093371B2 (en) 2013-03-15 2015-07-28 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Also Published As

Publication number Publication date
US6316348B1 (en) 2001-11-13
US6245669B1 (en) 2001-06-12

Similar Documents

Publication Publication Date Title
US6245669B1 (en) High selectivity Si-rich SiON etch-stop layer
US6228760B1 (en) Use of PE-SiON or PE-OXIDE for contact or via photo and for defect reduction with oxide and W chemical-mechanical polish
US7410897B2 (en) Contact plug processing and a contact plug
US6743713B2 (en) Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
TW580753B (en) Method of making metallization and contact structures in an integrated circuit
US6342452B1 (en) Method of fabricating a Si3N4/polycide structure using a dielectric sacrificial layer as a mask
TW449872B (en) Method for forming contacts of semiconductor devices
JP2001517870A (en) Process for manufacturing a semiconductor device including an anti-reflective etch stop layer
US7402486B2 (en) Cylinder-type capacitor and storage device, and method(s) for fabricating the same
US8748323B2 (en) Patterning method
US6436841B1 (en) Selectivity oxide-to-oxynitride etch process using a fluorine containing gas, an inert gas and a weak oxidant
US6153541A (en) Method for fabricating an oxynitride layer having anti-reflective properties and low leakage current
US7557038B2 (en) Method for fabricating self-aligned contact hole
US6399483B1 (en) Method for improving faceting effect in dual damascene process
KR100652791B1 (en) Method for fabrication of semiconductor device
US6214721B1 (en) Method and structure for suppressing light reflections during photolithography exposure steps in processing integrated circuit structures
US6225203B1 (en) PE-SiN spacer profile for C2 SAC isolation window
JP4034115B2 (en) Manufacturing method of semiconductor device
TW200540985A (en) Method for forming contact plug of semiconductor device
US20090160070A1 (en) Metal line in a semiconductor device
KR100617076B1 (en) Method for fabricating dual damascene
US6245656B1 (en) Method for producing multi-level contacts
US6815337B1 (en) Method to improve borderless metal line process window for sub-micron designs
JPH09120990A (en) Formation of connecting hole
KR100997776B1 (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12