US20010054767A1 - Low resistivity titanium silicide structures - Google Patents

Low resistivity titanium silicide structures Download PDF

Info

Publication number
US20010054767A1
US20010054767A1 US09/906,464 US90646401A US2001054767A1 US 20010054767 A1 US20010054767 A1 US 20010054767A1 US 90646401 A US90646401 A US 90646401A US 2001054767 A1 US2001054767 A1 US 2001054767A1
Authority
US
United States
Prior art keywords
layer
titanium silicide
tisi
word line
titanium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/906,464
Other versions
US6445045B2 (en
Inventor
Yongjun Hu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
US Bank NA
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US09/906,464 priority Critical patent/US6445045B2/en
Publication of US20010054767A1 publication Critical patent/US20010054767A1/en
Application granted granted Critical
Publication of US6445045B2 publication Critical patent/US6445045B2/en
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON TECHNOLOGY, INC.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT reassignment MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: MICRON TECHNOLOGY, INC.
Anticipated expiration legal-status Critical
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST. Assignors: MICRON TECHNOLOGY, INC.
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/849Manufacture, treatment, or detection of nanostructure with scanning probe
    • Y10S977/86Scanning probe structure
    • Y10S977/868Scanning probe structure with optical means
    • Y10S977/869Optical microscope
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/89Deposition of materials, e.g. coating, cvd, or ald

Definitions

  • the present invention relates to the manufacture of semiconductor devices, and in particular, to the manufacture of conductor layers utilized in advanced semiconductor products, such as memories.
  • Semiconductor memory devices are comprised of an array of memory cells. Each memory cell is comprised of a capacitor, on which the charge stored represents the logical state of the memory cell. A charged capacitor corresponds to a logical state of “1” and an uncharged capacitor corresponds to a logical state of “0.”
  • Word lines activate access transistors, so that the logical state of a memory cell can be read. Gates of multiple transistors are formed as one word line.
  • DRAM dynamic random access memory
  • a common word line used to access memory cells, is fabricated on a p-type silicon substrate coated with a thin film of silicon dioxide (SiO 2 ), known as gate oxide.
  • SiO 2 silicon dioxide
  • a word line is formed on the gate oxide layer as a two-layer stack, comprising silicon (or polysilicon), coated with a conductor material.
  • the most common two-layer stack used in the industry is a layer of polysilicon, coated with a tungsten silicide layer.
  • Tungsten silicide is used because of its good integration properties, such as providing good thermal stability, stability during source/drain oxidation, and stability during dry etching, as well as having a low resistivity.
  • titanium silicide is approximately 75% less resisitive than tungsten silicide, it has not been used extensively in two-layer stacks because it is not as thermally stable. Titanium silicide tends to agglomerate during subsequent high temperature processing steps.
  • a metal is used instead of a silicide for the conductor layer.
  • Forming high conductivity films on word lines is one attempt to decrease the resistivity of a word line.
  • Such films are commonly formed of a refractory metal silicide, such as titanium silicide (TiSi 2 ).
  • Titanium is preferably used as the refractory metal component because it has the ability to reduce oxygen, which remains on surfaces in the form of native oxides. Native oxides are reduced to titanium oxide by titanium. Native oxides degrade interface stability, and often cause device failure if not removed.
  • TiSi 2 has a tendency to agglomerate into two different phases, C54 and C49, which have different lattice structures.
  • the C54 phase agglomerates at the interfaces between C49—TiSi 2 and silicon (or polysilicon). While this is undesirable due to the increased resistance associated with agglomeration, the TiSi 2 phase formed at higher temperatures, C54, is more stable and has a much lower resistivity than the C49 metastable phase formed at lower temperatures.
  • FIG. 1 illustrates how free energy, ⁇ G f ( ⁇ ), is a function of grain size, r. Free energy, ⁇ G f ( ⁇ ), as a function of grain size, r, is divided into three regions: A, B, and C. The most stable phase at a given grain size is that which has the lowest free energy. Both regions A and B are in the sub-micron range. In the sub-micron range, the free energy of C54 is greater than that of C49, due to the larger surface energy of C54.
  • a method for forming a word line which is used in ultra-large scale integrated (ULSI) circuits, produces a lower resistivity word line than those formed using prior art techniques. Resistivity is lowered and grain size of the conductor layer is modified using barrier elements to form a low dose matrix in silicon, or polysilicon. Subsequently annealing the word line stack forms a preferential C54-titanium silicide (TiSi 2 ). C54—TiSi 2 is more stable at high temperatures than C49—TiSi 2 . Use of C54—TiSi 2 minimizes problems with C49—TiSi 2 agglomerating at higher temperatures.
  • C54—TiSi 2 has a much lower resistivity than C49—TiSi 2 .
  • Sub-0.25 micron word lines are able to be silicided with C54—TiSi 2 using the invention, due to modification of TiSi 2 grain sizes using the implanted matrix.
  • FIG. 1 is a graph illustrating free energy of C54—TiSi 2 and C49—TiSi 2 as a function of grain size.
  • FIGS. 2A to 2 F are cross-sectional representations of a method for forming a word line stack in accordance with the invention, using a preformed matrix to modify grain size during silicidation.
  • Resistivity and grain size of the conductor layer, TiSi 2 is modified using barrier elements to form a low dose matrix in silicon, or polysilicon, which modifies the C49—TiSi 2 phase to C54—TiSi 2 , increasing chemical and thermal stability.
  • a p-type silicon substrate is coated with a thin film of silicon oxide (SiO 2 ), known as gate oxide.
  • SiO 2 silicon oxide
  • a word line is formed on the gate oxide layer as a two-layer stack, comprising silicon (or polysilicon), coated with a conductor material.
  • the most common two-layer stack used in the industry utilizes a bottom layer of polysilicon.
  • This invention is particularly advantageous in the formation of sub-0.25 micron word lines.
  • the advantages to using this invention are that the conductor layer resistivity is lowered; C54 grain size is modified so that it is finer than the word line width, allowing it to be used in sub-0.25 micron applications; the agglomeration temperature of TiSi 2 is increased; and, conventional two-step TiSi 2 annealing is potentially condensed into a single step.
  • This invention is crucial in the fabrication of 256 Megabit DRAMs and other devices that require line widths of less than 0.25 microns. As line widths decrease in the low sub-micron range, sheet resistance of titanium silicided polysilicon, or silicon, increases significantly. The agglomeration temperature also decreases with decreasing line width. Agglomeration is unwanted because it causes break-up spots, which lead to device failure.
  • TiSi 2 forms various phases.
  • One common phase is the base-centered orthorhombic structure, C49—TiSi 2 .
  • C49 does not require a two-step annealing process for its formation.
  • Deposited titanium is annealed once, between approximately 650 to 750 degrees Celsius, to form the C49 phase.
  • use of the C49 phase has many drawbacks.
  • One drawback of the C49 phase is that it has a much higher resistivity (a factor of approximately three) than the face-centered orthorhombic C54 phase.
  • it is a metastable phase, compared to the thermally-stable C54 phase.
  • C49 is also an undesirable TiSi 2 phase because it has poor self-passivating properties against tetraethyloxysilicate (TEOS) spacer formation and borophosphosilicate glass (BPSG) reflow during subsequent process steps. Furthermore, agglomeration usually occurs at the C49—TiSi 2 /polysilicon (or Si) interface due to decomposition of C49 at higher temperatures. If the layer is comprised of the C54 phase, then agglomeration will not occur at higher temperatures.
  • TEOS tetraethyloxysilicate
  • BPSG borophosphosilicate glass
  • the C54—TiSi 2 is a high-temperature bulk phase with low resistivity. It is widely used in metal oxide semiconductor (MOS) device applications, such as silicidation of gates and source/drain regions.
  • MOS metal oxide semiconductor
  • the silicide, C54—TiSi 2 is usually formed using a two-step annealing process after deposition of titanium on the region to be silicided. Such a region can comprise either polysilicon or silicon, doped or undoped.
  • a two-step annealing process is required. The first annealing step is at a low temperature (between approximately 650 to 750 degrees Celsius), in a nitrogen, or argon, ambient.
  • This step forms the high resistivity, metastable phase C49—TiSi 2 .
  • unreacted titanium (Ti) and titanium nitride (TiN) are removed with a wet etch.
  • the second annealing step comprises a high temperature (between approximately 750 to 850 degrees Celsius) anneal in a nitrogen (N 2 ), or argon (Ar), ambient.
  • This step transforms the C49—TiSi 2 phase to C54—TiSi 2 . Due to the undesirable properties of C49—TiSi 2 , complete phase transformation is crucial. It is also crucial to maintain uniformity of phase, as small, nonstoichiometric areas form between boundaries of C49 and C54 phases. Such nonstoichiometric areas are susceptible to oxide growth during subsequent formation of TEOS spacers, resulting in undesirable high resistivity at such boundary areas.
  • this invention forms C54 at sub-micron grain sizes in order to decrease the resistivity of the word line stack due to C54's lower resistivity than C49.
  • the total energy of the C54 phase needs to be reduced.
  • One method for decreasing the total energy of the C54 phase is to create an implanted matrix in the polysilicon or silicon layer before deposition of titanium.
  • Various barrier elements form a matrix, which provide nucleation sites and grain boundary stabilizers. The roles of different matrix elements are determined by implanting at low dose levels, in a systematic approach.
  • a process window of implant doses is determined, according to different roles of matrix elements.
  • Such a process window comprises a dose and depth for each line width.
  • Group VIA metals such as Mo and W, form titanium alloys (e.g., TiW and TiMo) with very low heat of formation.
  • Such alloys are good transition materials between titanium and silicon due to their similar covalent radii. They are able to be formed epitaxially on titanium and silicon surfaces, resulting in a low interface energy due to less broken bonds and lower interfacial stress. Therefore, Group VIA elements are good candidates for their roles in creating nucleation sites and their ability to stabilize grain boundaries.
  • the depth of a matrix depends on the initial titanium layer thickness and the required TiSi 2 thickness. Typically, the TiSi 2 thickness is below 1,000 angstroms in sub-micron applications. Therefore, a low ion energy implant is utilized.
  • the dose of a matrix depends on the grain size desired. It is determined using a simple cubic estimation. For example, 0.05 micron grains need 4 ⁇ 10 12 nucleation sites/cm 2 for a 500 angstrom thick matrix. Ion implant elements are uniformly distributed in a substrate with a precise depth. The effectiveness of a given element, therefore, can be determined by implanting a known dose of ions and measuring the resulting C54 grain size. Thus, the invention is not limited to implantation of Group VIA elements, when forming the matrix. Any element can be tested according to the above method to determine whether it is suitable for use as a matrix implant element. A process window is determined by varying the ion dose.
  • a wide range of ion doses should be examined in determining a process window, including low doses of 10 11 to 10 13 ions/cm 2 .
  • the best candidate for a matrix implant element should have a coalescence threshold (or range) with the highest dose, resulting in the finest grain size.
  • Group VB nonmetal elements such as: N, P, As, and Sb
  • barrier materials e.g., TiN, TiP, TiAs, and TiSb
  • TiN, TiP, TiAs, and TiSb barrier materials
  • TiN, TiP, TiAs, and TiSb barrier materials
  • a polysilicon or silicon film, doped or undoped, 214 is formed over a semiconductor substrate 210 and gate oxide 212 .
  • one Group VIA element, such as W or Mo, is implanted at a low implant energy into the polysilicon or silicon layer 214 to a depth 226 according to the determined process window and to a low dose of approximately 5 ⁇ 10 11 to 1 ⁇ 10 14 ions/cm 2 , to form an implanted matrix 224 .
  • Polycide lines are then formed by depositing titanium 228 , as shown in FIG. 2B, on the implanted region, to a depth 230 determined by the desired thickness of TiSi 2 and the depth 226 of the matrix 224 .
  • a subsequent anneal forms C54—TiSi 2 232 , as shown in FIG. 2C.
  • C54—TiSi 2 232 has a finer grain size than the word line width, on which it is formed. If the process is well-controlled, C49 completely transforms into C54, and there is no need for a second annealing step.
  • Measurements were taken, using a 350 angstrom TiSi 2 232 surface for titanium 228 deposited on a preformed polysilicon matrix 224 , comprised of Mo at an approximate dose of 1 ⁇ 10 14 ions/cm 2 and for a 350 angstrom TiSi 2 surface for titanium 228 deposited on non-implanted (zero matrix) polysilicon 214 .
  • Identical results were obtained using a 500 angstrom TiSi 2 232 surface for titanium 228 deposited on a polysilicon matrix 224 , comprised of Mo at an approximate dose of 1 ⁇ 10 14 ions/cm 2 and a 500 angstrom TiSi 2 surface for titanium 228 deposited on non-implanted (zero matrix) polysilicon 214 .
  • Identical results were obtained for implanted tungsten (W) preformed matrices 224 .
  • UV 1050 reflectivity measurements show a gradual improvement in reflectivity with increasing dose concentration for preformed W and Mo matrix wafers. Decreasing reflectivity corresponds to decreasing grain sizes, due to a smoother surface. Reflectivity is improved even more dramatically between TiSi 2 232 , as shown in FIGS. 2C to 2 F, thicknesses of 300 to 500 nanometers. Parallel results from thermal wave, JEOL, and optical microscope measurements confirm the reflectivity results.
  • AFM atomic force microscopy
  • a cap 220 of one or more dielectric materials such as silicon oxide or silicon nitride, is applied to the word line stack 236 layers, if needed, according to conventional techniques and shown in FIG. 2D, and the line width 234 is defined by a dry etch, which defines at least one word line stack 236 .
  • the width 234 of a word line stack 236 is equal to the line width 234 .
  • TiSi 2 conductor layers 232 formed in accordance with this embodiment of the invention, are comprised of the preferred C54—TiSi 2 phase, with a grain size finer than that of the line width 234 .
  • a spacer 222 is formed, as shown in FIG. 2E, and conventional source/drain (S/D) implantation forms doped S/D regions 260 aligned with the spacers 238 , as shown in FIG. 2F.
  • S/D source/drain

Abstract

Methods and apparatus for forming a conductor layer utilize an implanted matrix to form C54-titanium silicide. Word line stacks formed by the methods of the invention are used in sub-0.2 micron line width applications, interconnects, and silicided source/drain regions, among other applications, and have a lower resistivity and improved thermal stability.

Description

    FIELD OF THE INVENTION
  • The present invention relates to the manufacture of semiconductor devices, and in particular, to the manufacture of conductor layers utilized in advanced semiconductor products, such as memories. [0001]
  • BACKGROUND
  • Semiconductor memory devices are comprised of an array of memory cells. Each memory cell is comprised of a capacitor, on which the charge stored represents the logical state of the memory cell. A charged capacitor corresponds to a logical state of “1” and an uncharged capacitor corresponds to a logical state of “0.” Word lines activate access transistors, so that the logical state of a memory cell can be read. Gates of multiple transistors are formed as one word line. [0002]
  • An example of a word line's application is in a dynamic random access memory (DRAM). In a DRAM, a common word line, used to access memory cells, is fabricated on a p-type silicon substrate coated with a thin film of silicon dioxide (SiO[0003] 2), known as gate oxide. Then, a word line is formed on the gate oxide layer as a two-layer stack, comprising silicon (or polysilicon), coated with a conductor material. The most common two-layer stack used in the industry is a layer of polysilicon, coated with a tungsten silicide layer. Tungsten silicide is used because of its good integration properties, such as providing good thermal stability, stability during source/drain oxidation, and stability during dry etching, as well as having a low resistivity. Although titanium silicide is approximately 75% less resisitive than tungsten silicide, it has not been used extensively in two-layer stacks because it is not as thermally stable. Titanium silicide tends to agglomerate during subsequent high temperature processing steps. Alternatively, a metal is used instead of a silicide for the conductor layer.
  • Forming high conductivity films on word lines is one attempt to decrease the resistivity of a word line. Such films are commonly formed of a refractory metal silicide, such as titanium silicide (TiSi[0004] 2). Titanium is preferably used as the refractory metal component because it has the ability to reduce oxygen, which remains on surfaces in the form of native oxides. Native oxides are reduced to titanium oxide by titanium. Native oxides degrade interface stability, and often cause device failure if not removed.
  • However, several problems occur with the use of TiSi[0005] 2 in ULSI applications. At higher temperatures subsequent processing temperatures, TiSi2 has a tendency to agglomerate into two different phases, C54 and C49, which have different lattice structures. The C54 phase agglomerates at the interfaces between C49—TiSi2 and silicon (or polysilicon). While this is undesirable due to the increased resistance associated with agglomeration, the TiSi2 phase formed at higher temperatures, C54, is more stable and has a much lower resistivity than the C49 metastable phase formed at lower temperatures.
  • Another problem with using TiSi[0006] 2 at higher temperatures is that the high-temperature phase, C54, has a grain size typically ranging from 0.3 to over 1.0 microns, which prohibits it from being used in sub-0.25 micron word line applications. However, it is always desirable to form a phase having the lowest free energy at a particular grain size, so that it is the most stable. FIG. 1 illustrates how free energy, ΔGf(γ), is a function of grain size, r. Free energy, ΔGf(γ), as a function of grain size, r, is divided into three regions: A, B, and C. The most stable phase at a given grain size is that which has the lowest free energy. Both regions A and B are in the sub-micron range. In the sub-micron range, the free energy of C54 is greater than that of C49, due to the larger surface energy of C54.
  • In ultra large scale integrated (ULSI) circuits, a highly conductive word line is necessary to improve circuit density and performance. To date, word line resistance is one of the primary limitations of achieving faster ULSI circuits. A method for decreasing the resistivity of word lines is needed for use in ULSI applications. In order to use the C54—TiSi[0007] 2 phase in ULSI circuits, particularly in 256 Megabit DRAMs and other devices requiring sub-0.25 micron line widths, it is necessary that the grain size be reduced, so that it will be more stable. Due to the increased sensitivity of ULSI circuits, it is important to maintain low resistivity in ULSI devices. There is a need for a stable, low resistivity TiSi2 phase which can be used in sub-0.25 micron word line applications.
  • SUMMARY OF THE INVENTION
  • A method for forming a word line, which is used in ultra-large scale integrated (ULSI) circuits, produces a lower resistivity word line than those formed using prior art techniques. Resistivity is lowered and grain size of the conductor layer is modified using barrier elements to form a low dose matrix in silicon, or polysilicon. Subsequently annealing the word line stack forms a preferential C54-titanium silicide (TiSi[0008] 2). C54—TiSi2 is more stable at high temperatures than C49—TiSi2. Use of C54—TiSi2 minimizes problems with C49—TiSi2 agglomerating at higher temperatures. Furthermore, C54—TiSi2 has a much lower resistivity than C49—TiSi2. Sub-0.25 micron word lines are able to be silicided with C54—TiSi2 using the invention, due to modification of TiSi2 grain sizes using the implanted matrix. Previously, the relatively large size of C54—TiSi2 grains, greater than 0.3 microns, prohibited its use in sub-0.25 micron word line applications.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a graph illustrating free energy of C54—TiSi[0009] 2 and C49—TiSi2 as a function of grain size.
  • FIGS. 2A to [0010] 2F are cross-sectional representations of a method for forming a word line stack in accordance with the invention, using a preformed matrix to modify grain size during silicidation.
  • DETAILED DESCRIPTION
  • The following description describes a method for forming a word line which is used in ultra-large scale integrated (ULSI) circuits. While the invention is described with reference to it being a word line, other conductors may also be formed by this method for various purposes, especially where reduced resistance is needed. For example, interconnects can be silicided according to the method of the invention in further embodiments of the invention. [0011]
  • Modification of Conductor Layer Resistivity During Word Line Silicidation
  • Resistivity and grain size of the conductor layer, TiSi[0012] 2, is modified using barrier elements to form a low dose matrix in silicon, or polysilicon, which modifies the C49—TiSi2 phase to C54—TiSi2, increasing chemical and thermal stability. When using the method to form a word line stack, a p-type silicon substrate is coated with a thin film of silicon oxide (SiO2), known as gate oxide. Then, a word line is formed on the gate oxide layer as a two-layer stack, comprising silicon (or polysilicon), coated with a conductor material. The most common two-layer stack used in the industry utilizes a bottom layer of polysilicon. When using the method of the invention to form a conductor layer in a word line stack, the method is performed subsequent to formation of the bottom silicon layer, and diffusion barrier layers, if any.
  • This invention is particularly advantageous in the formation of sub-0.25 micron word lines. The advantages to using this invention are that the conductor layer resistivity is lowered; C54 grain size is modified so that it is finer than the word line width, allowing it to be used in sub-0.25 micron applications; the agglomeration temperature of TiSi[0013] 2 is increased; and, conventional two-step TiSi2 annealing is potentially condensed into a single step. This invention is crucial in the fabrication of 256 Megabit DRAMs and other devices that require line widths of less than 0.25 microns. As line widths decrease in the low sub-micron range, sheet resistance of titanium silicided polysilicon, or silicon, increases significantly. The agglomeration temperature also decreases with decreasing line width. Agglomeration is unwanted because it causes break-up spots, which lead to device failure.
  • As is well known in the art, TiSi[0014] 2 forms various phases. One common phase is the base-centered orthorhombic structure, C49—TiSi2. C49 does not require a two-step annealing process for its formation. Deposited titanium is annealed once, between approximately 650 to 750 degrees Celsius, to form the C49 phase. However, use of the C49 phase has many drawbacks. One drawback of the C49 phase is that it has a much higher resistivity (a factor of approximately three) than the face-centered orthorhombic C54 phase. Furthermore, it is a metastable phase, compared to the thermally-stable C54 phase. C49 is also an undesirable TiSi2 phase because it has poor self-passivating properties against tetraethyloxysilicate (TEOS) spacer formation and borophosphosilicate glass (BPSG) reflow during subsequent process steps. Furthermore, agglomeration usually occurs at the C49—TiSi2/polysilicon (or Si) interface due to decomposition of C49 at higher temperatures. If the layer is comprised of the C54 phase, then agglomeration will not occur at higher temperatures.
  • In comparison, the C54—TiSi[0015] 2 is a high-temperature bulk phase with low resistivity. It is widely used in metal oxide semiconductor (MOS) device applications, such as silicidation of gates and source/drain regions. The silicide, C54—TiSi2, is usually formed using a two-step annealing process after deposition of titanium on the region to be silicided. Such a region can comprise either polysilicon or silicon, doped or undoped. Traditionally, to form the C54 phase, a two-step annealing process is required. The first annealing step is at a low temperature (between approximately 650 to 750 degrees Celsius), in a nitrogen, or argon, ambient. This step forms the high resistivity, metastable phase C49—TiSi2. Next, unreacted titanium (Ti) and titanium nitride (TiN) are removed with a wet etch. The second annealing step comprises a high temperature (between approximately 750 to 850 degrees Celsius) anneal in a nitrogen (N2), or argon (Ar), ambient. This step transforms the C49—TiSi2 phase to C54—TiSi2. Due to the undesirable properties of C49—TiSi2, complete phase transformation is crucial. It is also crucial to maintain uniformity of phase, as small, nonstoichiometric areas form between boundaries of C49 and C54 phases. Such nonstoichiometric areas are susceptible to oxide growth during subsequent formation of TEOS spacers, resulting in undesirable high resistivity at such boundary areas.
  • Thus, this invention forms C54 at sub-micron grain sizes in order to decrease the resistivity of the word line stack due to C54's lower resistivity than C49. As illustrated by FIG. 1, in order to form C54 as a stable phase at sub-micron grain sizes, the total energy of the C54 phase needs to be reduced. One method for decreasing the total energy of the C54 phase is to create an implanted matrix in the polysilicon or silicon layer before deposition of titanium. Various barrier elements form a matrix, which provide nucleation sites and grain boundary stabilizers. The roles of different matrix elements are determined by implanting at low dose levels, in a systematic approach. [0016]
  • For each line width, a process window of implant doses is determined, according to different roles of matrix elements. Such a process window comprises a dose and depth for each line width. Group VIA metals, such as Mo and W, form titanium alloys (e.g., TiW and TiMo) with very low heat of formation. Such alloys are good transition materials between titanium and silicon due to their similar covalent radii. They are able to be formed epitaxially on titanium and silicon surfaces, resulting in a low interface energy due to less broken bonds and lower interfacial stress. Therefore, Group VIA elements are good candidates for their roles in creating nucleation sites and their ability to stabilize grain boundaries. [0017]
  • The depth of a matrix depends on the initial titanium layer thickness and the required TiSi[0018] 2 thickness. Typically, the TiSi2 thickness is below 1,000 angstroms in sub-micron applications. Therefore, a low ion energy implant is utilized.
  • The dose of a matrix depends on the grain size desired. It is determined using a simple cubic estimation. For example, 0.05 micron grains need 4×10[0019] 12 nucleation sites/cm2 for a 500 angstrom thick matrix. Ion implant elements are uniformly distributed in a substrate with a precise depth. The effectiveness of a given element, therefore, can be determined by implanting a known dose of ions and measuring the resulting C54 grain size. Thus, the invention is not limited to implantation of Group VIA elements, when forming the matrix. Any element can be tested according to the above method to determine whether it is suitable for use as a matrix implant element. A process window is determined by varying the ion dose. A wide range of ion doses should be examined in determining a process window, including low doses of 1011 to 1013 ions/cm2. The best candidate for a matrix implant element should have a coalescence threshold (or range) with the highest dose, resulting in the finest grain size.
  • Certain Group VB nonmetal elements, such as: N, P, As, and Sb, can react with titanium to form barrier materials (e.g., TiN, TiP, TiAs, and TiSb). While such elements increase the agglomeration temperature, too many Ti—VB ions at C49—TiSi[0020] 2/Si (or polysilicon) interfaces hinders transformation of C49 to C54. Group VB elements also have large mismatch covalent radii compared to titanium, particularly As and Sb. This tends to drive the barrier material to the top surface of the TiSi2 film at high temperatures, resulting in agglomeration. High solubility of Group VB in TiSi2 may also affect Group VB element's effectiveness as nucleation sites or boundary stabilizers. However, tests for determining the best matrix implant element can be performed to determine if combining elements of both Group VIA and Group VB is a good choice for a matrix implant element.
  • As shown in FIG. 2A, a polysilicon or silicon film, doped or undoped, [0021] 214 is formed over a semiconductor substrate 210 and gate oxide 212. Next, one Group VIA element, such as W or Mo, is implanted at a low implant energy into the polysilicon or silicon layer 214 to a depth 226 according to the determined process window and to a low dose of approximately 5×1011 to 1×1014 ions/cm2, to form an implanted matrix 224.
  • Polycide lines are then formed by depositing [0022] titanium 228, as shown in FIG. 2B, on the implanted region, to a depth 230 determined by the desired thickness of TiSi2 and the depth 226 of the matrix 224. A subsequent anneal forms C54—TiSi 2 232, as shown in FIG. 2C. C54—TiSi 2 232 has a finer grain size than the word line width, on which it is formed. If the process is well-controlled, C49 completely transforms into C54, and there is no need for a second annealing step.
  • The results of this invention were confirmed using several standard test methods, well known to one skilled in the art. The results obtained from these tests are illustrative of the benefits of the invention, but exact results may vary upon duplication, depending on the equipment and parameters used by one performing the tests. Optical microscope measurements of a TiSi[0023] 2 conductor layer 232 , as shown in FIGS. 2C to 2F, formed in accordance with the present invention illustrated that a preformed matrix 224 decreases the surface roughness of the resulting TiSi 2 232, resulting from a finer grain size. Measurements were taken, using a 350 angstrom TiSi 2 232 surface for titanium 228 deposited on a preformed polysilicon matrix 224, comprised of Mo at an approximate dose of 1×1014 ions/cm2 and for a 350 angstrom TiSi2 surface for titanium 228 deposited on non-implanted (zero matrix) polysilicon 214. Identical results were obtained using a 500 angstrom TiSi 2 232 surface for titanium 228 deposited on a polysilicon matrix 224, comprised of Mo at an approximate dose of 1×1014 ions/cm2 and a 500 angstrom TiSi2 surface for titanium 228 deposited on non-implanted (zero matrix) polysilicon 214. Identical results were obtained for implanted tungsten (W) preformed matrices 224.
  • Results of thermal wave, reflectivity, and JEOL transmission electron microscopy (TEM) measurements are consistent with the data described above. UV 1050 reflectivity measurements show a gradual improvement in reflectivity with increasing dose concentration for preformed W and Mo matrix wafers. Decreasing reflectivity corresponds to decreasing grain sizes, due to a smoother surface. Reflectivity is improved even more dramatically between [0024] TiSi 2 232, as shown in FIGS. 2C to 2F, thicknesses of 300 to 500 nanometers. Parallel results from thermal wave, JEOL, and optical microscope measurements confirm the reflectivity results.
  • Furthermore, atomic force microscopy (AFM) studies illustrate how [0025] TiSi 2 232 grain size and surface morphology change with matrix 224 concentration changes. A 500 angstrom TiSi2 layer 232 was formed on both a zero matrix and a 1×1014 Mo ions/cm2 preformed matrix 224. High resolution AFM reveals that small TiSi2 grains tend to coalesce to form large grains in the case of normal silicidation with a zero matrix. This coalescence results in drastic variation of TiSi2 film thickness, as well as surface roughness. Grain sizes of 0.4 microns or larger, resulting from forming TiSi2 on a zero matrix, were measured using AFM. These large grain sizes are undesirable in sub-0.25 micron word line widths. The results of these measurements are very close to those reported by other non-AFM C54 grain size studies. However, a preformed matrix 224 tends to decrease surface roughness by uniformly nucleating and stabilizing individual TiSi 2 232 grains. Coalescence is drastically reduced for a 1×1014 Mo ions/cm2 preformed matrix 224, resulting in finer grain sizes. This results in more uniform grain size distribution and a much smoother surface.
  • Finally, a [0026] cap 220 of one or more dielectric materials, such as silicon oxide or silicon nitride, is applied to the word line stack 236 layers, if needed, according to conventional techniques and shown in FIG. 2D, and the line width 234 is defined by a dry etch, which defines at least one word line stack 236. The width 234 of a word line stack 236 is equal to the line width 234. TiSi2 conductor layers 232 formed in accordance with this embodiment of the invention, are comprised of the preferred C54—TiSi2 phase, with a grain size finer than that of the line width 234. It is preferable to have C54—TiSi2 for the conductor layer 232 due to its lower resistivity than C49—TiSi2. After wafer cleaning, a spacer 222 is formed, as shown in FIG. 2E, and conventional source/drain (S/D) implantation forms doped S/D regions 260 aligned with the spacers 238, as shown in FIG. 2F.
  • While the method of the invention has been described with reference to forming a conductor layer in a word lines stack, this method is applicable to forming a titanium silicide layer on any semiconductor substrate or device. For example, silicided interconnects are formed using the method of the invention in a further embodiment of the invention. Furthermore, source/drain regions are silicided in even further embodiments of the invention. More variations will be apparent to one skilled in the art. [0027]

Claims (18)

We claim:
1. A method for forming titanium silicide in a semiconductor structure, comprising the steps of:
providing a layer of silicon;
forming an implanted matrix in the silicon layer;
depositing titanium on the implanted matrix; and
annealing to form titanium silicide.
2. The method of
claim 1
, wherein the implanted matrix comprises a Group VIA element.
3. The method of
claim 1
, wherein the implanted matrix comprises a combination of Group VIA and VB elements.
4. The method of
claim 1
, wherein the implanted matrix comprises a Group VIA element, at a dose of approximately 5×1011 to 1×1014 atoms/cm2.
5. The method of
claim 1
, wherein the titanium silicide comprises C54-titanium silicide, having a grain size less than the width of the structure.
6. The method of
claim 1
, wherein the semiconductor structure is a sub-0.25 micron word line stack.
7. The method of
claim 1
, wherein the layer of silicon comprises a source/drain region in a transistor.
8. A method for forming titanium silicide in a semiconductor structure, comprising the steps of:
providing a layer of polysilicon;
forming an implanted matrix in the polysilicon layer;
depositing titanium on the implanted matrix; and
annealing to form titanium silicide.
9. The method of
claim 8
, wherein the implanted matrix comprises a Group VIA element.
10. The method of
claim 8
, wherein the implanted matrix comprises a combination of Group VIA and VB elements.
11. The method of
claim 8
, wherein the implanted matrix comprises a Group VIA element, at a dose of approximately 5×1011 to 1×1014 atoms/cm2.
12. The method of
claim 8
, wherein the titanium silicide comprises C54-titanium silicide, having a grain size less than the width of the structure.
13. The method of
claim 8
, wherein the semiconductor structure is a sub-0.25 micron word line stack.
14. A semiconductor structure, comprising:
a substrate;
a gate oxide layer, coupled to the substrate;
a titanium silicide layer in the C54 phase; and
a semiconductor layer, between the gate oxide layer and the titanium silicide layer.
15. The structure of
claim 14
, wherein the structure comprises a sub-0.25 micron word line stack.
16. The structure of
claim 14
, wherein the semiconductor layer is selected from the group consisting of: doped silicon, doped polysilicon, undoped silicon, undoped polysilicon.
17. A semiconductor structure, comprising:
a source/drain region supported by a substrate; and
a titanium silicide layer in the C54 phase coupled to the source/drain region.
18. A semiconductor structure, comprising a titanium silicide layer in the C54 phase supported by a substrate.
US09/906,464 1997-02-19 2001-07-16 Low resistivity titanium silicide structures Expired - Lifetime US6445045B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/906,464 US6445045B2 (en) 1997-02-19 2001-07-16 Low resistivity titanium silicide structures

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/802,884 US6262458B1 (en) 1997-02-19 1997-02-19 Low resistivity titanium silicide structures
US09/906,464 US6445045B2 (en) 1997-02-19 2001-07-16 Low resistivity titanium silicide structures

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US08/802,884 Continuation US6262458B1 (en) 1997-02-19 1997-02-19 Low resistivity titanium silicide structures

Publications (2)

Publication Number Publication Date
US20010054767A1 true US20010054767A1 (en) 2001-12-27
US6445045B2 US6445045B2 (en) 2002-09-03

Family

ID=25184990

Family Applications (3)

Application Number Title Priority Date Filing Date
US08/802,884 Expired - Lifetime US6262458B1 (en) 1997-02-19 1997-02-19 Low resistivity titanium silicide structures
US09/028,876 Expired - Lifetime US6444579B1 (en) 1997-02-19 1998-02-24 Methods of forming low resistivity titanium silicide structures
US09/906,464 Expired - Lifetime US6445045B2 (en) 1997-02-19 2001-07-16 Low resistivity titanium silicide structures

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US08/802,884 Expired - Lifetime US6262458B1 (en) 1997-02-19 1997-02-19 Low resistivity titanium silicide structures
US09/028,876 Expired - Lifetime US6444579B1 (en) 1997-02-19 1998-02-24 Methods of forming low resistivity titanium silicide structures

Country Status (1)

Country Link
US (3) US6262458B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060175664A1 (en) * 2005-02-07 2006-08-10 Micron Technology, Inc. Semiconductor constructions, and methods of forming metal silicides

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291868B1 (en) * 1998-02-26 2001-09-18 Micron Technology, Inc. Forming a conductive structure in a semiconductor device
KR100295061B1 (en) * 1999-03-29 2001-07-12 윤종용 Semiconductor device having chamfered silicide layer and method for manufacturing the same
US6797601B2 (en) 1999-06-11 2004-09-28 Micron Technology, Inc. Methods for forming wordlines, transistor gates, and conductive interconnects
US6730584B2 (en) 1999-06-15 2004-05-04 Micron Technology, Inc. Methods for forming wordlines, transistor gates, and conductive interconnects, and wordline, transistor gate, and conductive interconnect structures
US6265297B1 (en) * 1999-09-01 2001-07-24 Micron Technology, Inc. Ammonia passivation of metal gate electrodes to inhibit oxidation of metal
US20020072209A1 (en) * 2000-12-11 2002-06-13 Vanguard International Semiconductor Corporation Method of forming tungsten nitride layer as metal diffusion barrier in gate structure of MOSFET device
US6432763B1 (en) * 2001-03-15 2002-08-13 Advanced Micro Devices, Inc. Field effect transistor having doped gate with prevention of contamination from the gate during implantation
US6777251B2 (en) * 2002-06-20 2004-08-17 Taiwan Semiconductor Manufacturing Co. Ltd Metrology for monitoring a rapid thermal annealing process
US20040097880A1 (en) * 2002-11-19 2004-05-20 Angiodynamics, Inc. Combination thrombolytic infusion catheter and dilator system
US20040142517A1 (en) * 2003-01-17 2004-07-22 Chih-Wei Chang Hatted polysilicon gate structure for improving salicide performance and method of forming the same
JP2006269520A (en) * 2005-03-22 2006-10-05 Renesas Technology Corp Semiconductor device and its manufacturing method
US8598717B2 (en) 2006-12-27 2013-12-03 Spansion Llc Semiconductor device and method for manufacturing the same
US9166014B2 (en) 2013-06-06 2015-10-20 GlobalFoundries, Inc. Gate electrode with stabilized metal semiconductor alloy-semiconductor stack
US10763207B2 (en) 2017-11-21 2020-09-01 Samsung Electronics Co., Ltd. Interconnects having long grains and methods of manufacturing the same

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0673375B2 (en) 1984-03-19 1994-09-14 富士通株式会社 Method for manufacturing semiconductor device
US4755865A (en) 1986-01-21 1988-07-05 Motorola Inc. Means for stabilizing polycrystalline semiconductor layers
US4788160A (en) 1987-03-31 1988-11-29 Texas Instruments Incorporated Process for formation of shallow silicided junctions
JP2582776B2 (en) 1987-05-12 1997-02-19 株式会社東芝 Semiconductor device and manufacturing method thereof
US4897368A (en) 1987-05-21 1990-01-30 Matsushita Electric Industrial Co., Ltd. Method of fabricating a polycidegate employing nitrogen/oxygen implantation
US4784973A (en) 1987-08-24 1988-11-15 Inmos Corporation Semiconductor contact silicide/nitride process with control for silicide thickness
US5234794A (en) 1989-04-24 1993-08-10 Siemens Aktiengesellschaft Photostructuring method
US4923822A (en) 1989-05-22 1990-05-08 Hewlett-Packard Company Method of fabricating a semiconductor device by capping a conductive layer with a nitride layer
JPH03110837A (en) 1989-09-26 1991-05-10 Seiko Instr Inc Manufacture of semiconductor device
US5541131A (en) 1991-02-01 1996-07-30 Taiwan Semiconductor Manufacturing Co. Peeling free metal silicide films using ion implantation
KR100214036B1 (en) 1991-02-19 1999-08-02 이데이 노부유끼 Aluminum metallization method
US5381302A (en) 1993-04-02 1995-01-10 Micron Semiconductor, Inc. Capacitor compatible with high dielectric constant materials having a low contact resistance layer and the method for forming same
US5364803A (en) 1993-06-24 1994-11-15 United Microelectronics Corporation Method of preventing fluorine-induced gate oxide degradation in WSix polycide structure
US5395787A (en) 1993-12-01 1995-03-07 At&T Corp. Method of manufacturing shallow junction field effect transistor
JP2891092B2 (en) 1994-03-07 1999-05-17 日本電気株式会社 Method for manufacturing semiconductor device
US5624869A (en) 1994-04-13 1997-04-29 International Business Machines Corporation Method of forming a film for a multilayer Semiconductor device for improving thermal stability of cobalt silicide using platinum or nitrogen
US5498558A (en) * 1994-05-06 1996-03-12 Lsi Logic Corporation Integrated circuit structure having floating electrode with discontinuous phase of metal silicide formed on a surface thereof and process for making same
US5534713A (en) 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5569947A (en) * 1994-06-28 1996-10-29 Nippon Steel Corporation Insulated-gate field-effect transistor in a semiconductor device in which source/drain electrodes are defined by formation of silicide on a gate electrode and a field-effect transistor
US5545581A (en) 1994-12-06 1996-08-13 International Business Machines Corporation Plug strap process utilizing selective nitride and oxide etches
KR960030440A (en) * 1995-01-12 1996-08-17 모리시다 요이치 Semiconductor device and manufacturing method thereof
US5665203A (en) 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
US5545574A (en) 1995-05-19 1996-08-13 Motorola, Inc. Process for forming a semiconductor device having a metal-semiconductor compound
US5682055A (en) * 1995-06-07 1997-10-28 Sgs-Thomson Microelectronics, Inc. Method of forming planarized structures in an integrated circuit
JPH098297A (en) 1995-06-26 1997-01-10 Mitsubishi Electric Corp Semiconductor device, manufacture thereof and field-effect transistor
US5656546A (en) 1995-08-28 1997-08-12 Taiwan Semiconductor Manufacturing Company Ltd Self-aligned tin formation by N2+ implantation during two-step annealing Ti-salicidation
US5728625A (en) 1996-04-04 1998-03-17 Lucent Technologies Inc. Process for device fabrication in which a thin layer of cobalt silicide is formed
US5633200A (en) 1996-05-24 1997-05-27 Micron Technology, Inc. Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer
US5723893A (en) * 1996-05-28 1998-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating double silicide gate electrode structures on CMOS-field effect transistors
US5874351A (en) 1996-06-13 1999-02-23 Micron Tecnology, Inc. Sputtered metal silicide film stress control by grain boundary stuffing
US5739064A (en) 1996-11-27 1998-04-14 Micron Technology, Inc. Second implanted matrix for agglomeration control and thermal stability
US5796151A (en) 1996-12-19 1998-08-18 Texas Instruments Incorporated Semiconductor stack having a dielectric sidewall for prevention of oxidation of tungsten in tungsten capped poly-silicon gate electrodes
JPH10270380A (en) 1997-03-21 1998-10-09 Nec Corp Semiconductor device
TW322608B (en) 1997-07-31 1997-12-11 United Microelectronics Corp Manufacturing method of self-aligned salicide

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060175664A1 (en) * 2005-02-07 2006-08-10 Micron Technology, Inc. Semiconductor constructions, and methods of forming metal silicides

Also Published As

Publication number Publication date
US6445045B2 (en) 2002-09-03
US6262458B1 (en) 2001-07-17
US6444579B1 (en) 2002-09-03

Similar Documents

Publication Publication Date Title
US6015997A (en) Semiconductor structure having a doped conductive layer
US5926730A (en) Conductor layer nitridation
US6340627B1 (en) Method of making a doped silicon diffusion barrier region
US6262458B1 (en) Low resistivity titanium silicide structures
US5604140A (en) Method for forming fine titanium nitride film and method for fabricating semiconductor element using the same
US7534709B2 (en) Semiconductor device and method of manufacturing the same
US5518958A (en) Prevention of agglomeration and inversion in a semiconductor polycide process
US9064854B2 (en) Semiconductor device with gate stack structure
EP0905751B1 (en) Method for minimizing lateral and vertical dopant diffusion in gate structures
US5825068A (en) Integrated circuits that include a barrier layer reducing hydrogen diffusion into a polysilicon resistor
US20050156258A1 (en) Method of forming silicide film having excellent thermal stability, semiconductor device and semiconductor memory device comprising silicide film formed of the same, and methods of manufacturing the semiconductor device and the semiconductor memory device
US6392302B1 (en) Polycide structure and method for forming polycide structure
US20020098669A1 (en) Gate electrode having agglomeration prevention layer on metal silicide layer, and method for forming the same
US7148570B2 (en) Low resistivity titanium silicide on heavily doped semiconductor
US6833605B2 (en) Method of making a memory cell capacitor with Ta2O5 dielectric
US6734099B2 (en) System for preventing excess silicon consumption in ultra shallow junctions
Fukumoto et al. Titanium silicide interconnect technology for submicrometer DRAMs
US6509265B1 (en) Process for manufacturing a contact barrier
EP0836223A2 (en) Method of forming a silicide layer
Lee et al. Thermally stable tungsten bit-line process flow for the capacitor over bit-line-type dynamic random-access memory
Gambino et al. Thermal stability of WSi/sub 2/polycide structures for 1 Gbit DRAMs
KR960002065B1 (en) Fabricating method of semiconductor device
Kim et al. W-polycide gates with a thin polysilicon layer: Microstructure and resistivity
KR20060075384A (en) Tungsten-poly-metal gate and method for manufacturing the same

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT, MARYLAND

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT;REEL/FRAME:047243/0001

Effective date: 20180629

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT;REEL/FRAME:050937/0001

Effective date: 20190731