US20020043337A1 - Low mass wafer support system - Google Patents

Low mass wafer support system Download PDF

Info

Publication number
US20020043337A1
US20020043337A1 US09/981,537 US98153701A US2002043337A1 US 20020043337 A1 US20020043337 A1 US 20020043337A1 US 98153701 A US98153701 A US 98153701A US 2002043337 A1 US2002043337 A1 US 2002043337A1
Authority
US
United States
Prior art keywords
wafer holder
wafer
base plate
ring
holder
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/981,537
Other versions
US6454865B1 (en
Inventor
Matthew Goodman
Ivo Raaijmakers
Loren Jacobs
Franciscus Bilsen
Michael Meyer
Eric Barrett
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US09/981,537 priority Critical patent/US6454865B1/en
Publication of US20020043337A1 publication Critical patent/US20020043337A1/en
Priority to US10/200,465 priority patent/US6893507B2/en
Application granted granted Critical
Publication of US6454865B1 publication Critical patent/US6454865B1/en
Priority to US11/095,335 priority patent/US20050183829A1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Improvements in the design of a low mass wafer holder are disclosed. The improvements include the use of peripherally located, integral lips to space a wafer or other substrate above the base plate of the wafer holder. A uniform gap is thus provided between the wafer and the base plate, such as will temper rapid heat exchanges, allow gas to flow between the wafer and wafer holder during wafer pick-up, and keep the wafer holder thermally coupled with the wafer. At the same time, thermal disturbance from lip contact with the wafer is reduced. Gas flow during pick-up can be provided through radial channels in a wafer holder upper surface, or through backside gas passages. A thicker ring is provided at the wafer holder perimeter, and is provided in some embodiments as an independent piece to accommodate stresses accompanying thermal gradients. Self-centering mechanisms are provided to keep the wafer holder centered relative to a spider which is subject to differential thermal expansion.

Description

    REFERENCE TO RELATED APPLICATIONS
  • This application claims the priority benefit under 35 U.S.C. §119(e) from provisional Application No. 60/064,016 of Goodman et al., filed Nov. 3, 1997.[0001]
  • FIELD OF THE INVENTION
  • The present invention relates to support structures for substrates in semiconductor processing chambers, and more particularly to low mass support structures for supporting wafers within a single-wafer processing chamber. [0002]
  • BACKGROUND OF THE INVENTION
  • High-temperature ovens, called reactors, are used to create structures of very fine dimensions, such as integrated circuits on semiconductor wafers or other substrates. A circular substrate, typically a silicon wafer, is placed on a wafer support. Both the wafer and support are heated, typically by a plurality of radiant lamps placed around a quartz chamber. In a typical process, a reactant gas is passed over the heated wafer, causing the chemical vapor deposition (CVD) of a thin layer of the reactant material on the wafer. Through subsequent processes, these layers are made into integrated circuits, with a single layer producing from tens to thousands of integrated circuits, depending on the size of the wafer and the complexity of the circuits. Other processes include sputter depositions, photolithography, dry etching, plasma processes. and high temperature anneals. Many of these processes require high temperature steps and can be performed in similar quartz reaction chambers. [0003]
  • If the deposited layer has the same crystallographic structure as the underlying silicon wafer, it is called an epitaxial layer. This is also sometimes called a monocrystalline layer because it has only one crystal structure. [0004]
  • Various process parameters must be carefully controlled to ensure the high quality of the resulting layers. One such critical parameter is the temperature of the wafer during the processing. During CVD, for example, the deposition gas reacts at particular temperatures and deposits on the wafer. If the temperature varies greatly across the surface of the wafer, uneven deposition of the reactant gas occurs. [0005]
  • In certain batch processors (i.e., reactors which process more than one wafer at a time) wafers are placed on a relatively large-mass susceptor made of graphite or other heat-absorbing material to help the temperature of the wafers remain uniform. In this context, a “large-mass” susceptor is one which has a large thermal mass relative to the wafer. The thermal mass of a solid, or its lumped thermal capacitance, is given by the equation:[0006]
  • CT=ρVc
  • where: [0007]
  • ρ=the density of the solid, [0008]
  • V=the volume of the solid, and [0009]
  • c=the specific heat (heat capacity) of the solid. [0010]
  • Thus, the thermal mass is directly related to its mass, which is equal to the density times volume and to its specific heat. [0011]
  • One example of a large-mass susceptor is shown in U.S. Pat. No. 4,496,609 issued to McNeilly, which discloses a CVD process wherein the wafers are placed directly on a relatively large-mass slab-like susceptor and maintained in intimate contact to permit a transfer of heat therebetween. The graphite susceptor supposedly acts as a heat “flywheel” which transfers heat to the wafer to maintain its temperature uniform. The goal is to reduce transient temperature variations around the wafer that would occur without the “flywheel” effect of the susceptor. [0012]
  • Although large-mass susceptors theoretically aid in maintaining temperature uniformity across the wafers when the system is in a steady state, the large thermal mass of the susceptor makes the susceptor-wafer combination slow in responding to temperature transients (e.g., while heating up or cooling down the system). Accordingly, processing wafers with large-mass susceptors involves long thermal cycles, limiting the number of wafers which can be processed in a given length of time (i.e., limiting process throughput). High throughput remains a prime concern in single-wafer semiconductor processing. [0013]
  • In recent years, single-wafer processing of larger diameter wafers has grown for a variety of reasons including greater precision process control as compared to batch-processing. Typical wafers are made of silicon with one common size having a diameter of 200 mm and a thickness of 0.725 mm. Recently, larger silicon wafers having a diameter of 300 mm and a thickness of 0.775 mm have been introduced, as they even more efficiently exploit the benefits of larger single-wafer processing. Additionally, even larger wafers are contemplated for the future. [0014]
  • Although single-wafer processing by itself provides advantages over batch processing, control of the process parameters remains critical and is perhaps more so because of the increased cost of the larger wafers. One example of a single-wafer processor is shown in U.S. Pat. No. 4,821,674, which utilizes a circular rotatable susceptor having a diameter slightly larger than the wafer. This susceptor is preferably made of graphite and has a lower thermal mass than the aforementioned slab-type batch processing susceptor. Nevertheless, the thermal mass of a production version of the susceptor described in U.S. Pat. No. 4,821,674 is larger than the thermal mass of the single wafer, such that thermal cycle time for the system is limited. [0015]
  • U.S. Pat. No. 4,978,567 describes a wafer holding fixture of lower mass than conventional susceptors. The lower mass facilitates rapid heating and cooling of the wafer for Rapid Thermal Processing (RTP) systems. Throughput can also be increased in connection with other processes involving heating or cooling of a substrate to be processed. [0016]
  • Processing wafers with such a low-mass wafer holder, however, introduces new problems. For example, the low mass of the wafer holder, combined with a small gap between the wafer and holder, makes it difficult to lift a wafer off the holder without also lifting the wafer holder. A vacuum effect causes the wafer and holder to stick together. As gas starts to fill the small gap, the gap will increase and the gas will flow faster. Accordingly, the holder will drop shortly after pick-up. Obviously such an uncontrolled drop can cause damage to the wafer holder and surrounding equipment within the reaction chamber. Additionally, particulate matter created by such damage can contaminate processed wafers. [0017]
  • The very rapidity of thermal response for which the low mass wafer holder is designed can also cause damage to the wafer and to reactor parts. For example, when first introduced into a reaction chamber, the wafer may be cold (e.g., 200° C.), while the wafer holder remains hot (e.g., 900° C.) from processing a prior wafer. Bringing the cold wafer into contact with a hot wafer holder causes a rapid heat drain from the holder to the wafer. The low mass wafer holder rapidly drops in temperature, as compared to the rate at which a high mass susceptor would drop, until the wafer and wafer holder are in thermal equilibrium. The wafer, in the interim, undergoes a rapid heat influx. The rapid temperature fluctuation causes thermal shock to both the wafer and the holder. Both the wafer and holder tend to bow under the strain of vertical and radial temperature gradients during the transition. The stress can often cause breakage of the wafer holder and, occasionally, even the wafer. [0018]
  • The lower mass wafer holder is also susceptible to thermal expansion during heating. Due to differences in equipment material, the wafer holder will tend to expand at a different rate, as compared to surrounding equipment. In particular, a structure for supporting and rotating the wafer holder during processing is often constructed of quartz, such that radiant heat from below will largely pass through this structure. A typical graphite or silicon carbide (SiC) wafer holder expands significantly more rapidly than the quartz structure. [0019]
  • Relative movement between the supporting quartz and the wafer holder due to differences in thermal expansion can cause decentering of the wafer holder and the wafer upon it. Decentering, in turn, can tilt the wafer holder or otherwise upset a carefully balanced relationship between reactor elements and the wafer, configured for achieving temperature uniformity. Furthermore, eccentricity will exacerbate the decentration, such that the wafer holder can come in contact with a slip ring or other adjacent structure, bumping or rubbing against these structures during rotation and potentially introducing particulate matter into the reactor. Decentering can thus cause non-uniformity in the quality and thickness of deposited layers, for instance. [0020]
  • Consequently, there is a need for an improved low mass wafer support structure to increase throughput of semiconductor processing devices while ensuring temperature uniformity across the wafer surface. Desirably, such a support structure should avoid the above-noted problems associated with wafer pick-up, thermal shock, and thermal expansion. [0021]
  • SUMMARY OF THE INVENTION
  • In accordance with one aspect of the present invention, a low mass wafer holder is provided for supporting a substrate within a process reactor. The holder has an upper surface and a lower surface, with a plurality of lips integral with the upper surface. Because the lips are integral, the lips can be machined to a uniform height above the upper surface, thereby supporting the substrate with a uniform gap between substrate and the upper surface. In one embodiment, the upper surface is discontinuous, including the top surface of a peripheral supporting ring, as well as the top surface of a central base plate. [0022]
  • In accordance with another aspect of the present invention, a wafer holder for is provided for supporting a substrate within a process reactor. The wafer holder includes a central portion with an upper surface and a plurality of spacers projecting a uniform height above the upper surface. The spacers are distributed to peripherally support the substrate above the upper surface. The holder also includes a fringe portion, including a ring inner wall extending upwardly from and surrounding the upper surface. The ring inner wall and the upper surface of the central portion thus together define a substrate pocket for accommodating the substrate. The peripheral location of the spacers minimizes any risk of thermal disturbance from the discrete spacer contact with the substrate being processed. [0023]
  • In accordance with another aspect of the present invention, a semiconductor reactor is provided for treating a substrate. The reactor includes a reaction chamber, a plurality of heat sources, and a self-centering single-wafer support structure. The support structure is self-centering in the sense that it is centered and level at a first temperature as well as at a second, different temperature. The support structure includes a wafer holder for directly supporting the substrate, characterized by a first coefficient of thermal expansion. At least one recess is formed in a bottom surface of the wafer holder. The support structure also includes a support spider for supporting the wafer holder, characterized by a second coefficient of thermal expansion different from the first coefficient. The spider includes at least three support posts cooperating with the recess of the wafer holder. [0024]
  • In one embodiment, the support posts cooperate with three radial grooves formed in the bottom of the wafer holder, distributed at 60° intervals. In accordance with this embodiment, the wafer holder remains centered on the spider at any given temperature, despite differential thermal expansion of the holder relative to the spider. In another embodiment, each support post includes a hot-centering surface and a cold-centering surface. At high temperatures, the hot-centering surfaces of at least three support posts define a restrictive circle outside the peripheral edge of a base plate of the wafer holder. At low temperatures, the cold-centering surfaces of at least three support posts define a restrictive circle inside of the inner wall of a peripheral ring of the wafer holder. [0025]
  • In accordance with another aspect of the present invention, a low mass wafer holder is provided for supporting a single substrate in a processing chamber. The wafer holder includes a disc-shaped base plate and an annular ring independent of the base plate. The holder also includes an annular hanging portion integral with either the ring or the base plate, characterized by an inner diameter, and an annular supporting portion integral with the other of the ring and the base plate, characterized by an outer diameter smaller than the inner diameter of the hanging portion. The supporting portion underlies and supports the hanging portion. The two-piece wafer holder design has been found to alleviate stresses associated with thermal transfer for low mass wafer holders. [0026]
  • In accordance with another aspect of the present invention, a semiconductor reactor includes a reaction chamber, a plurality of heat sources, and a wafer support structure for supporting a wafer. The wafer support structure includes a low mass wafer holder, which directly supports the wafer. A temperature sensor, connected to at least one of the heat sources, senses the temperature at a point vertically spaced from the wafer holder. In one embodiment, a thermocouple is spaced below the wafer holder within a spacing range wherein the temperature reading is relatively insensitive to spacing changes. The wafer temperature can thereby be indirectly controlled at an appropriate temperature without regard to spacing changes caused by thermal expansion and other typical factors. [0027]
  • In accordance with another aspect of the present invention, a low mass wafer holder is provided for supporting a single substrate in a processing chamber. The wafer holder includes an upper support surface with a plurality of open radial channels. Each of the channels has a width less than the thermal diffusion length in the substrate (e.g., less than about 5 mm for a silicon substrate). The total volume of the channels is sufficient to permit lifting the substrate independently from the wafer holder. As the wafer is lifted, gas is permitted to flow beneath the wafer, such that no vacuum effect takes place and the wafer is easily separated from the wafer holder. [0028]
  • In accordance with another aspect of the present invention, a wafer holder is provided for supporting a substrate. The wafer holder has a thermal mass less than about five times the thermal mass of the substrate. The holder includes a base plate extending generally parallel with and spaced below the substrate. An annular lip peripherally supports the substrate above the base plate, and includes an inner face which defines a gap between the substrate and the base plate. A gas passage communicates from an underside of the wafer holder to the gap between the substrate and the base plate.[0029]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Further features and advantages of the present invention will become apparent from the detailed description of preferred embodiments which follow, when considered together with the attached drawings, which are intended to illustrate and not to limit the invention, and wherein: [0030]
  • FIG. 1 is a schematic sectional view of an exemplary reaction chamber with a wafer supported therein by a support structure, including a one-piece wafer holder and a spider, constructed in accordance with a first preferred embodiment of the present invention; [0031]
  • FIG. 2A is a partial, schematic sectional view of the wafer and support structure of FIG. 1; [0032]
  • FIG. 2B is a partial, schematic elevational end view, taken along line [0033] 2B-2B of FIG. 2A;
  • FIG. 3 is a graph illustrating bowing of the wafer holder and wafer from cold wafer placement on a hot wafer holder; [0034]
  • FIG. 4 is a top plan view of the wafer holder of FIG. 1; [0035]
  • FIG. 5 is a partial enlarged view of a lip on the wafer holder of FIG. 5; [0036]
  • FIG. 6 is a partial elevational cross-section, taken along lines [0037] 6-6 of FIG. 4;
  • FIG. 7 is a partial elevational cross-section, taken along lines [0038] 7-7 of FIG. 6;
  • FIG. 8 is a bottom plan view of the wafer holder of FIG. 1; [0039]
  • FIG. 9 is a partial elevational cross-section, taken along lines [0040] 9-9 of FIG. 8;
  • FIG. 10 is a partial elevational cross-section, taken along lines [0041] 10-10 of FIG. 9;
  • FIG. 11 is a top plan view of the spider of FIG. 1; [0042]
  • FIG. 12 is an elevational cross-section, taken along lines [0043] 12-12 of FIG. 11;
  • FIG. 13 is an enlarged elevational view of a round-tipped post of the spider of FIG. 11; [0044]
  • FIG. 14 is a partial enlarged view of a central portion of the wafer and support structure of FIG. 1, showing a center thermocouple spaced below the wafer holder; [0045]
  • FIG. 15 is a graph plotting temperature readings of a thermocouple against the position of the thermocouple below the wafer holder of FIG. 1; [0046]
  • FIG. 16 is a partial, schematic sectional view of a wafer and support structure in accordance with a second preferred embodiment of the present invention; [0047]
  • FIG. 17 is a top plan view of a support ring of the support structure of FIG. 16; [0048]
  • FIG. 18 is a partial enlarged view of a lip on the support ring of FIG. 17; [0049]
  • FIG. 19 is a partial elevational cross-section, taken along lines [0050] 19-19 of FIG. 17;
  • FIG. 20 is a partial elevational cross-section, taken along lines [0051] 20-20 of FIG. 19;
  • FIG. 21 is a bottom plan view of the support ring of FIG. 16; [0052]
  • FIG. 22 is a partial elevational cross-section, taken along lines [0053] 22-22 of FIG. 21;
  • FIG. 23 is a partial elevational cross-section, taken along lines [0054] 23-23 of FIG. 22;
  • FIG. 24 is a bottom plan view of a base plate of the support structure of FIG. 16; [0055]
  • FIG. 25 is a partial section view, taken along lines [0056] 25-25 of FIG. 24;
  • FIG. 26 is a partial, schematic sectional view of a wafer and support structure in accordance with a third preferred embodiment of the present invention; [0057]
  • FIG. 27 is a partial top plan view of the wafer and support structure of FIG. 26; [0058]
  • FIG. 28 is a top plan view of a wafer holder, constructed in accordance with a fourth preferred embodiment of the present invention; [0059]
  • FIG. 29 is a partial elevational cross-section, taken along lines [0060] 29-29 of FIG. 28;
  • FIG. 30 is a partial elevational cross-section, taken along lines [0061] 30-30 of FIG. 28;
  • FIG. 31 is a partial elevational cross-section, taken along lines [0062] 31-31 of FIG. 28;
  • FIG. 32 is a bottom plan view of the wafer holder of FIG. 28; [0063]
  • FIG. 33 is a top plan view of a support ring of a wafer holder, constructed in accordance with a fifth preferred embodiment of the present invention; [0064]
  • FIG. 34 is a partial enlarged view of a bump on the support ring of FIG. 33; [0065]
  • FIG. 35 is a partial schematic sectional view of a wafer and base plate supported upon the support ring of FIG. 33; [0066]
  • FIG. 36 is a partial schematic sectional view of a wafer holder constructed in accordance with a sixth preferred embodiment of the present invention; [0067]
  • FIG. 37 is a partial schematic sectional view of a wafer holder constructed in accordance with a seventh preferred embodiment of the present invention; [0068]
  • FIG. 38 is a partial schematic sectional view of a wafer holder constructed in accordance with a eighth preferred embodiment of the present invention; and [0069]
  • FIG. 39 is a partial schematic sectional view of a wafer holder constructed in accordance with a ninth preferred embodiment of the present invention. [0070]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • Exemplary System [0071]
  • FIG. 1 illustrates an exemplary chemical vapor deposition (CVD) [0072] reactor 10, including a quartz reaction chamber 12. Radiant heating elements 14 are supported outside the chamber 12, to provide heat energy to the chamber 12 without appreciable absorption by the quartz chamber 12 walls. While the preferred embodiments are described in the context of a “cold wall” CVD reactor, it will be understood that the wafer support systems described herein will have utility in the context of reactors of other types. In particular, one of skill in the art can find application for the wafer support systems described herein for other semiconductor processing equipment, wherein a wafer is to be supported while being uniformly heated or cooled. Moreover, the term “wafer” support systems described herein can support any of a number of substrates, such as glass, which are to be subjected to treatment in reaction chambers, such as CVD, physical vapor deposition (“PVD”), etching, anneal, dopant diffusion, photolithographic, etc. The wafer supports are of particular utility for supporting substrates during treatment processes at elevated temperatures.
  • A [0073] wafer 16 with a generally annular edge 17 is shown supported within the reaction chamber 12 upon a wafer support structure 18, constructed in accordance with a first preferred embodiment of present invention. The illustrated support structure 18 includes a wafer holder 20, upon which the wafer 16 rests, and a support spider 22. The spider 22 is mounted to a shaft 24, which extends downwardly through a tube 26 depending from the chamber 12 lower wall.
  • A central temperature sensor or [0074] thermocouple 28 desirably mounts upon the spider 22 in proximity to the wafer holder 20. Additional peripheral thermocouples 30 are also shown, housed within a slip ring 32 which surrounds the wafer holder 20 and wafer 16. The thermocouples 28, 30 are connected to a temperature controller (not shown), which sets the power of the various heating elements 14 in response to the readings of the thermocouples 28, 30.
  • In addition to housing the [0075] thermocouples 30, the slip ring 32 absorbs radiant heat during high temperature processing. This compensates for a tendency toward greater heat loss at wafer edges 17, a phenomenon which is known to occur due to a greater concentration of surface area for a given volume near such edges. By minimizing edge losses and the attending radial temperature non-uniformities across the wafer 16, the slip ring 32 can prevent wafer crystallographic slip. The slip ring 32 can be suspended by any suitable means. For example, the illustrated slip ring 32 rests upon elbows 34 which depend from a front chamber divider 36 and a rear chamber divider 38. The dividers 36, 38 desirably are formed of quartz.
  • The illustrated [0076] reaction chamber 12 includes an inlet port 40 for the injection of reactant and carrier gases. An outlet port 42 is on the opposite side of the chamber 12, with the wafer support structure 18 positioned between the inlet 40 and outlet 42.
  • Low Mass Wafer Holders [0077]
  • The wafer holders described herein are “low mass” wafer holders in the sense that they have a thermal mass, as defined in the “Background” section above, comparable to that of the substrate to be supported, such that the temperatures of the wafer and wafer holder can change fairly quickly during heating and cooling processes. Preferably, the thermal mass of the wafer holder is less than about five times, more preferably less than about three times, and particularly between about 0.5 and two times the thermal mass of the substrate. The illustrated [0078] wafer holder 20 has a thermal mass about 1.7 times the thermal mass of the 200 mm wafer 16 shown. It will be understood that such thermal mass ratios can be approximately maintained for wafer holders for supporting wafers of other sizes.
  • The [0079] wafer holder 20 may comprise any of a number of suitable materials of consistent density, such as have been utilized in the past for susceptors. As the low mass holder 20 will generally be thin, however, a material exhibiting high strength is desirable. In particular, silicon carbide (SiC) is a preferred material which exhibits high strength, the ability to withstand high temperature cycling and typical CVD process gases, and can be provided with consistent density for uniform thermal properties. The purity with which a thin SiC wafer holder can be provided is also advantageous in preventing contamination of the reaction chamber 12 through normal use.
  • Single Piece Wafer Holder [0080]
  • FIGS. 2A and 2B schematically show a peripheral portion of the [0081] wafer 16 and wafer support structure 18. The wafer holder 20 of the first preferred embodiment comprises a central base plate 50 integrally connected to a peripheral ring 52. The ring 52 is defined by an inner wall 54, extending vertically from above a top surface 56 of the base plate 50, and an outer wall 58, and an upper surface 60 extending between the inner and outer walls. A bottom surface of the ring 52 includes a plurality of recesses 62 (one shown), preferably three, each of which cooperates with a spider post 64 for coupling the wafer holder 20 with the spider 22.
  • The [0082] wafer holder 20 further includes at least one and preferably a plurality of spacers or lips 66 (one shown) which directly support the wafer 16 upon the wafer holder 20. While one or two lips of adequate width can provide adequate support, such as the one continuous lip shown the embodiments of FIGS. 33-37 below, the wafer holder 20 preferably includes 3 to 12 lips. More preferably 6 to 9 lips 66, and particularly six, are desirable for 200 mm wafers. For 300 mm wafer support, 9 or more lips 66 are preferred, and at least one additional support (not shown) should be more centrally located (e.g., within about 50 mm of the wafer center) to counter the effect of gravity on the more massive wafer. The height of the lips 66 define a gap between the wafer 16 and the base plate 50.
  • As noted in the “Background” section above, a low mass wafer holder tends to stick to the wafer during wafer pick-up after a processing step. This can be a problem for any pick-up device which lifts the wafer. For example, U.S. Pat. No. 4,846,102 describes a pick-up wand which shoots high velocity streams of gas at angles. When brought close to the top of a wafer surface, the gas streams create a low pressure zone above the wafer, causing the wafer to lift. The disclosure of U.S. Pat. No. 4,846,102 is hereby incorporated by reference. If the entire wafer bottom surface contacts a low mass wafer holder, a vacuum effect causes the wafer holder initially to lift along with wafer, then later dropping in an uncontrolled fashion. [0083]
  • The gap created by the preferred spacers or [0084] lips 66 can alleviate this concern by permitting gas to flow between the wafer 16 and the holder 20, thereby reducing the vacuum effect which can cause inadvertent lifting of the low mass wafer holder 20. The copending U.S. patent application Ser. No. 08/621,627, filed Mar. 26, 1996, entitled LOW MASS SUSCEPTOR, discloses a low mass wafer holder including a gap to facilitate wafer pick-up. The disclosure of this application is hereby expressly incorporated by reference. In that application, the spacers comprise separate pins which fit into recesses on the top surface of the wafer holder, distributed at points below the wafer.
  • As also noted in the “Background” section, introducing a cold wafer to a pre-heated susceptor or wafer holder can cause thermal shock to the wafer holder and/or wafer. The gap can also address this concern by slowing the transfer of heat from a hot wafer holder to a cold wafer. [0085]
  • FIG. 3 illustrates, however, that the presence of a gap does not altogether alleviate the problem of bowing. FIG. 3 shows wafer and wafer holder bowing, as a function of the wafer temperature, for a wafer at 200° C. placed upon a disk-shaped wafer holder, of the same diameter as the wafer, at 900° C. with a 250 micron (250×10[0086] −6 m) gap.
  • Immediately after the cold wafer is dropped upon the hot wafer holder, the temperature differential is at its greatest, as is the rate of heat exchange. Vertical thermal gradients are created across the susceptor and the wafer, causing both to bow down in the center to different degrees. The size of the gap thus changes, as also indicated upon the graphs of FIG. 3. Furthermore, the gap change is greatest at the center of the wafer and least at the wafer edges [0087] 17. Accordingly, differential bowing can also cause lateral thermal gradients. Lateral thermal gradients are also induced when the wafer holder is larger in diameter than the wafer.
  • Table I shows that the wafer and wafer holder bow as a function of various factors. The columns list, in order: the temperature at which the wafer holder is upon cold drop-off, known as the “load temperature” of the wafer holder; the thickness of the portion of the wafer holder below the wafer; the size of the initial gap for various experimental arrangements; a time constant indicating the speed of the heat exchange between the wafer and the wafer holder (particularly, the time required to reach 63% of the total temperature change which occurs after cold wafer drop-off); the stress experienced by the wafer holder during the heat exchange; the degree of bowing experienced by the wafer holder; and the difference between bowing of the wafer and the wafer holder, given as a percentage of the initial gap. [0088]
    TABLE 1
    Bow
    Load Plate Time Plate Difference
    temp. Thickness Gap Constant Stress Bow (% of
    (° C.) (μm) (μm) (s) (107 N/m2) (mm) Gap)
    700 725 500 1.38 0.27 0.09  5
    700 725 250 0.69 0.55 0.17 20
    500 725 250 0.77 0.23 0.07  2
    900 400 250 0.49 0.56 0.32 53
    900 200 250 0.32 0.28 0.32 53
    900 725 250 0.63 1.00 0.32 53
    900 725 500 1.26 0.51 0.16 13
    900 725 100 0.25 2.60 0.80 331 
    900 725  50 0.13 5.10 1.60 1324 
  • As will be understood from Table I, a higher load temperature leads to a greater difference in bowing. Similarly, the thickness of the wafer holder and the size of the gap also affect the degree of stress and bow experienced. For a constant gap size, increasing thickness of the wafer holder slows achievement of thermal equilibrium but increases the stress upon the wafer holder, due to larger vertical thermal gradients. Increasing the size of the gap between the wafer and the wafer holder slows the heat exchange, as indicated by the time constant. This tempering of the heat exchange reduces thermal gradients, thereby reducing the stress upon the wafer holder. The bow difference, as a percentage of the gap, also decreases with increasing initial gap. [0089]
  • It will be understood that bowing of the preferred wafer holder will be different from that shown in Table I, because of its non-planar shape and lateral temperature gradients. The general relationship between bow and gap, however, remains true for the [0090] preferred wafer holder 20.
  • While Table I indicates that a large gap is beneficial for reducing stress during cold wafer drop-off, too large a gap can be disadvantageous for heating and cooling the wafer and holder together. For this consideration, a small gap is advantageous for thermally coupling the wafer and wafer holder. If the gap is too large and the wafer and wafer holder become uncoupled, the wafer temperature will not necessarily be close to the susceptor temperature and it will be difficult to control uniformity. As with wafer drop-off, thermal transients induce stress which can lead to stress-induced defects in the wafer, commonly referred to as crystallographic “slip.”[0091]
  • Spacing Lips [0092]
  • FIGS. [0093] 4 to 10 illustrate an exemplary wafer holder 20 in accordance with the first preferred embodiment, constructed to accommodate the above-noted and other considerations. It should be noted, however, that the wafer holder 20 of FIGS. 4 to 10 is merely an example of a wafer holder within the scope of the present invention.
  • Along with the [0094] base plate 50, the inner wall 54 of the ring 52 defines a wafer pocket for receiving the wafer 16 (FIGS. 1 and 2) to be processed. The diameter of the inner wall 54 is thus slightly larger than the wafer 16 diameter, or about 7.970 inch (202.44 mm) for a 200 mm wafer 16. A chamfer 70, angled at about 45° to each of the ring top surface 60 and the inner wall 54, reduces the risk of injury to technicians in handling the wafer 16.
  • In order to provide the advantages of a low mass wafer holder, the thickness of the [0095] base plate 50 is desirably close to that of the wafer 16 to be processed. Accordingly, the base plate 50 preferably has a thickness between about 0.005 and 0.070 inch, and more preferably between about 0.010 and 0.040 inch. Typical 200 mm wafers have a thickness of about 0.029 inch. The base plate 50 of a wafer holder 20 designed to hold a 200 mm wafer is thus between about 0.025 and 0.032 inch. The illustrated base plate 50 has a thickness of about 0.0285 inch. In contrast, a wafer holder 20 designed for receiving a 300 mm wafer desirably has a base plate 50 thickness of about 0.0305 inch. It will be understood that the thickness will be otherwise to maintain the desired thermal mass ratio for wafers of other sizes.
  • The thickness of the [0096] peripheral ring 52, as measured by the length of the outer wall 58, can be approximately equal to or greater than the thickness of the base plate 50, as shown. Preferably, the peripheral portion of the wafer holder 20 is between about 1.2 and 3.0 times as thick as the base plate 50, and more preferably the ring 52 is about 2 times as thick as the base plate. For the illustrated embodiment, the ring 52 has a thickness of about 0.077 inch, whereas the base plate 50 has a thickness of about 0.0285. The greater mass of the peripheral ring 52 balances the normal tendency for the temperature at edges of the wafer holder 20 to lead the central portion of the base plate 50 during temperature changes. The ring 52 thus complements the edge loss compensation function performed by the slip ring 32 (FIG. 1), at least to some extent.
  • Lip Height [0097]
  • As noted with respect to schematic FIG. 2, the [0098] wafer holder 20 also includes a plurality of lips 66 to space the wafer 16 above the base plate 50. The height of the lips 66 is chosen to optimally promote heat coupling between the wafer 16 and the wafer holder 20, while still maintaining an adequate distance to minimize thermal shock upon wafer drop-off. It has been determined that the height of the lips 66 should be such as to permit a bow difference of no more than about 20% of the gap height upon introduction of a cold wafer to a hot wafer holder. In addition to promoting heat coupling and minimizing thermal shock, the gap aids in separately picking up the wafer without the holder 20 sticking to the wafer, when lifting with a pick-up device which lifts the wafer 16 from above or from its edges 17.
  • In accordance with these guidelines, and considering the material and dimensions of the [0099] preferred wafer holder 20, the lips 66 preferably extend above the base plate 50 a height of between about 0.005 and 0.080 inch, and more preferably between about 0.010 and 0.030 inch for processing 200 mm wafers. For 300 mm wafers, a lip 66 height of about 0.015 to 0.050 inch is preferred, more preferably about 0.025 to 0.035 inch. The lips 66 of the illustrated embodiment are about 0.020 inch (about 500 microns) high. When the wafer 16 (FIGS. 1 and 2) rests upon the lips 66, a gap of 0.020 inch is thus created between the wafer 16 and the base plate 50.
  • Integral Lips [0100]
  • Desirably, the [0101] lips 66 are integrally formed as one piece with the surfaces of the wafer holder 20 from which they extend. In the illustrated embodiment, the ring 52, base plate 50 and lips 66 can all be machined from a single piece of silicon carbide. Fewer pieces are thus subject to loss during ordinary handling of the wafer holder 20 by the end user, as compared to separate support structures inserted into holes or recesses in a wafer holder. Also, integral spacers can not be lifted out of the wafer holder, unlike separate support structures, when a wafer is unloaded from the holder after processing.
  • Perhaps more significantly, the [0102] lips 66 can be machined to a precisely uniform height. The wafer 16 is thereby evenly supported at a constant gap with the base plate 50 across the entire wafer surface. As will be understood by one of skill in the art, the uniform gap facilitates uniform thermal properties during processing at steady temperatures, as well as during temperature transitions.
  • An adequate number of [0103] lips 66 are provided and distributed to support the wafer 16. Thus, at least three lips 66 are provided. In the illustrated embodiment, designed to hold a 200 mm wafer 16, six lips 66 are shown circumferentially distributed at 60° intervals. For such an arrangement, a wafer of the type having a flat section for alignment purposes will be supported by at least three lips 66, and more likely by five lips 66, even if the flat is aligned with one of the lips 66.
  • Peripheral Lips [0104]
  • As shown, the [0105] lips 66 are advantageously positioned to peripherally support the wafer 16. Accordingly, the lips 66 extend radially inward from the ring inner wall 54 a distance adequate to ensure that each of the lips 66 extend below the wafer 16 even if the wafer is not perfectly centered within the wafer pocket.
  • The term “peripheral,” as used to describe the [0106] lips 66, indicates that the lips do not extend more than about 5 mm inward of the wafer edge 17 when supporting a wafer 16, preferably extending from beyond the wafer edge 17 to less than about 3 mm inside the wafer edge 17. The illustrated lips 66 each extend about 0.125 inch (3.21 mm) from the inner wall 54 to an inner lip face 72. Thus, a circle 74 (FIG. 4) defined by the lip faces 72 of the illustrated lips 66 has diameter of about 196 mm (7.720 inches), or slightly smaller than the diameter of the 200 mm wafer 16 to be supported. With the wafer 16 properly centered upon the wafer holder 20, each lip extends only about 2.0 mm beneath the wafer 16.
  • Providing the support peripherally, however, advantageously tends to bring the support locations within the peripheral wafer exclusion zones (in which no devices are fabricated) of most manufacturers. As the exclusion zone of a 200 mm wafer is typically about 3 mm (0.12 inch), the [0107] lips 66 preferably extend less than this distance beneath the wafer 16 for typical wafer centering on the holder. Thus, any defects to the wafer 16 introduced by contact with the lips 66 are in a less critical area of the wafer 16. Secondly, confining support locations to the periphery presents a confined peripheral area in which to scan the wafer for crystallographic slip or other damage which can be caused by temperature non-uniformities.
  • Furthermore, as discussed above, vertical thermal gradients during ordinary processing, and consequent radial thermal gradients, tend to cause bowing of the [0108] wafer 16. A centrally supported wafer would curl upward at its edges. Such upward curling could scratch or otherwise damage the pick-up device or the wafer. The peripherally supported wafer 16, on the other hand, accommodates any wafer bowing in a central gap above the base plate 50.
  • Desirably, the [0109] lips 66 have a smooth upper surface finish such as to prevent backside damage to the wafer 16. The upper surface of the lips 66 preferably has a roughness of less than about 16 Ra, and more preferably less than about 8 Ra.
  • Width of Lips [0110]
  • Though peripherally located, preferably below the exclusion zone of the wafer [0111] 16 (FIG. 2), the lips 66 should also be of small enough dimension to have negligible thermal effects upon the wafer 16 during processing. At least one dimension of the lip surface contacting the wafer 16 is thus preferably less than the thermal diffusion length in the material of the substrate to be processed. The thermal diffusion length is a measure of how far heat diffuses into a material in a given period of time. More particularly, thermal diffusion length is giver by the following formula: L 2 = λτ C p ρ
    Figure US20020043337A1-20020418-M00001
  • where: [0112]
  • λ=the heat conductivity of the material [0113]
  • τ=is a characteristic time constant, [0114]
  • C[0115] p=the specific heat (heat capacity) of the solid, and
  • ρ=the density of the solid. [0116]
  • Taking τ=0.55, the 63% equilibration time for holder-wafer heat exchange, the diffusion length for silicon can be calculated as about 5 mm. [0117]
  • Preferably, therefore, at least one dimension of the contacting surface of each [0118] lip 66 is less than about 5 mm, more preferably less than about 3 mm, and most preferably less than about 1.5 mm.
  • More preferably, both dimensions of the lip surface contacting the [0119] wafer 16 in the supported position (see FIG. 2) are less than the thermal diffusion length in silicon. The thermal effect of such small contacting surfaces is thus quickly averaged out, such that the no appreciable thermal gradients are created, even if the wafer holder 20 has a slightly different temperature than the wafer 16.
  • Therefore, each [0120] lip 66 has a width less than about 5 mm near the inner face 72 (see FIG. 5). Preferably, the width of each lip 66 is between about 0.25 and 2.5 mm, more preferably about 0.5 and 1.5 mm, to provide stability for supporting the wafers while minimizing any disturbance to the wafer's thermal properties. The illustrated embodiment provides a lip width of about 0.0285 inch (0.73 mm) at the lip inner face 72. As noted above, the length of each lip 66 (measured radially) is about 0.125 inch (3.2 mm), of which only about 2.0 nmm extends below a properly centered wafer 16. Accordingly, both dimensions of the supporting surface of each lip 66 are less than the thermal diffusion length in silicon.
  • Curved Features [0121]
  • As seen from the views of FIGS. [0122] 5-7, the lips 66 include several curved surfaces in transition from the integral lip 66 to the remainder of the wafer holder 20. These curved surfaces provide increased strength to the lips 66, such as to resist breaking off during handling, wafer drop-off or wafer pick-up. For example, as best seen from the view of FIG. 5, the illustrated lips 66 flare outwardly near the ring inner wall 54. The flare follows a radius of curvature between about 0.05 and 0.09 inch, preferably about 0.07 inch. This curvature particularly facilitates machining the preferred silicon carbide material. The width of the lip 66 at its juncture with the wall is thus about 0.11 inch (2.92 mm). As this base lip width remains less than the thermal diffusion length in silicon, the lips 66 will cause minimal thermal disturbance even for wafers which are not perfectly centered within the holder 20. Similarly, FIG. 6 shows curved surfaces in transition between the ring inner wall 54 and the top surface of the lip 66, and between the lip inner face 72 and the top surface 56 of the base plate 50. These rounded corners have a radius of curvature around 0.010 inch, and extend all around the corners joining the illustrated lips 66 with the remainder of the wafer holder 20. In addition to providing strength to the small structures of the wafer holder 20, the illustrated curvature avoids sharp corners which can cause thermal and structural stresses.
  • While the [0123] preferred wafer holder 20 is designed for supporting a 200 mm wafer, one of ordinary skill in the art can readily adapt the teachings of the present disclosure to determine the appropriate dimensions for supporting for larger future generation wafers. For supporting a 300 mm wafer, for example, it is desirable to provide a larger gap than that for a 200 mm wafer. Preferably, the gap for a 300 mm wafer is between about 0.025 and 0.035 inch. It will additionally be understood that for larger wafers, a greater number of lips may be required to support a larger wafer without sagging in the center. Bumps or equivalent structures can also be provided in positions closer to the center of the wafer holder to support larger wafers and maintain constant separation between the wafer and the wafer holder.
  • Self-Centering Support System [0124]
  • FIGS. [0125] 8-10 illustrate the plurality of recesses 62 distributed about a bottom surface 80 of the wafer holder 20. As briefly noted with respect to FIG. 2, each of the recesses 62 cooperates with a spider post 64 for coupling the wafer holder 20 with the spider 22 (FIGS. 11-13). Accordingly, the number of recesses 62 formed in the wafer holder 20 is desirably the same as the number of posts 64 of the spider 22 (FIG. 11-13). In the illustrated embodiment, three recesses 62 are formed in the bottom surface 80 of the wafer holder 20, desirably even spaced at intervals of 120°, matching the spacing of the spider posts 64 (FIGS. 11-13) for centering the wafer holder 20 on the spider 22.
  • While each [0126] recess 62 is illustrated as aligned with one of the six lips 62 on the upper surface of the wafer holder 20 (see FIG. 9), it will be understood that this alignment is not critical. Similarly, while each recess 62 is illustrated as extending peripherally to the outer wall 58, it will be understood that this position is not critical and is merely convenient from the standpoint of machining the recesses 62. Desirably, however, the recesses 62 are each located the same radial distance from the center of the preferred circular wafer holder 20.
  • Preferably, each [0127] recess 62 includes a level section 82, which is machined to a consistent depth for a pre-selected radial distance from the wafer holder 20 perimeter, thus running generally parallel to the major base plate surfaces (top and bottom). The pre-selected distance should be greater than the degree of relative movement between the wafer holder 20 and the spider 22 (FIGS. 11-13) caused by differential thermal expansion, as will be understood from the description of the recess centering function below. For the illustrated materials and dimensions, such relative movement will generally be less than about 0.025 inch. The radial length of the level section 82 is thus greater than about 0.025 inch, and is about 0.145 inch in radial length for the illustrated level section 82.
  • Radially inward of the [0128] level section 82 is a tapered section 84, which becomes more shallow in the direction of the center of the wafer holder 20. In the illustrated embodiment, the tapered section 84 conforms to a curvature of radius 1.40 to 1.50 inches. Advantageously, the tapering avoids sharp corners and attendant thermal stresses and facilitates manufacturing.
  • FIG. 10 shows a profile of the illustrated [0129] recess 62. Preferably, the recess 62 includes a rounded trough portion 86, as shown, extending into a flat 88 in either circumferential direction. Thus, in the end view of FIG. 10, the recess 62 resembles a “V” shape. Each flat 88 defines an angle α with the bottom surface 80 of the wafer holder 20. In the illustrated embodiment, the trough conforms to a curvature of radius 0.050-0.070 inch, and angle α is about 29°-31°. The deepest portion of the trough 86, within the level section 82, is about 0.0285 inch for the illustrated embodiment.
  • While the illustrated [0130] flats 88 are approximately planar, it will be understood in light of the interaction described below that the flats can have curvature. Preferably, the curvature does not exactly match that of the ball-end post 64 (described below) with which the recess 62 is to interact. More preferably, any curvature of the flat 88 is “flatter,” or of higher radius, than that of the ball-end post 64.
  • Spider [0131]
  • FIGS. [0132] 11-13 illustrate the preferred spider 22. As noted, the recesses 62 in the bottom surface 80 of the wafer holder 20 are designed to interact with posts 64 of the spider 22. The spider 22 includes a central hub 90 and a plurality of arms 92 extending radially from the hub 90. Preferably, the spider 22 includes three evenly spaced arms 92 (i.e., at 120° intervals) of equal length. The arms 92 terminate at posts 64, one of which was schematically depicted in FIG. 2. Desirably, the posts 64 define a cylinder of radius slightly larger than the wafer 16 to be supported, indicated in phantom in FIG. 11. The spider 22 preferably comprises a material transparent to the radiation of the heat elements 14 (FIG. 1). The illustrate spider 22 comprises quartz, which is both a radiation-transparent material and capable of withstanding repeated extreme heat cycling.
  • With reference to FIG. 12, the [0133] hub 90 is hollow and includes an upper flange 94, a cylindrical portion 96 extending below the flange 94, and a conical portion 98 widening the hub 90 below the cylindrical portion 96. The conical portion 98 engages with the hollow shaft 24 (FIG. 1), though it will be understood that the hub could be integral with the shaft in other arrangements. The center thermocouple 28 and wiring therefor extends through the shaft 24, the hub 90, and upward through the opening defined by the flange 94. The center thermocouple 28 is illustrated and discussed in more detail with respect to FIGS. 14 and 15.
  • The [0134] arms 92 extend radially outward from the cylindrical portion 96 of the hub 90, generally horizontal and parallel with the wafer 16 in the properly mounted position (see FIG. 1). Desirably, the thickness of each arm tapers radially outward. For example, the illustrated arm 92 has a thickness of about 0.40 inch near the hub, tapering to about 0.25 inch at the post. The posts 64 extend vertically upward from the radial terminus of each arm 92, a vertical length of 0.44 inch for the illustrated embodiment.
  • It will be understood that in other arrangements the spider arms can extend upwardly at an angle and still arrive at the same point as the horizontal arm and the vertical post. Even for such arrangements, however, it is advantageous to have at least a short vertical post at the terminus of the arm, as will be understood from a description of the ball-end of the [0135] preferred post 64 and its function.
  • As best seen from FIG. 13, each post [0136] 64 terminates in a ball-end or rounded surface 100. The ball-end surface 100 is characterized by a size and curvature which is selected to fit within one of the recesses 62 in the wafer holder 20 (see FIGS. 8-10). For example, the illustrated ball-end surface 100 includes a central spherical section having a radius of curvature of about 0.09-0.11 inch and the width of the post 62 is about 0.157 inch. Radially outward of the central spherical section, the ball-end surface 100 also includes an annular conical section 101 which forms an angle β with the horizontal. The angle β is preferably greater than the angle α formed by the flats 88 (FIG. 10) within the wafer holder recesses 62.
  • It will be understood that, for other arrangements, the ball-end surface can follow a curvature other than spherical (e.g., toroidal, elliptical, completely conical, pyramid-shaped, etc.). Desirably, however, the curvature is symmetrical in at least one dimension, for centering. While a vertical post section is advantageous in facilitating such symmetry, it will be understood that such symmetry can be provided in arrangements where the spider merely has arms angled upwardly from the hub. [0137]
  • Referring now to FIGS. 10 and 13 and schematic assembly FIGS. 2A and 2B, the preferred ball-end surface [0138] 100 (FIG. 13) is larger than the trough portion 86 (about 0.060 inch) of the recesses 62, but still comes within the wider confines of the recess 62 defined by the flats 88 along each recess 62 (FIG. 10). Accordingly, when assembled (FIGS. 2A and 2B), the spherical ball-end surface 100 of each spider post 64 contacts the flat surfaces 88 of one of the recesses 62 in the wafer holder 20.
  • During assembly, the radially inward tapered sections [0139] 84 (FIG. 9) of the recesses 62 can aid the end user in centering the wafer holder 20 upon the spider 22. Once assembled, however, the ball-end 100 on each post 64 sits within the level section 82 of one of the recesses 62. There are three recesses 62 positioned around the bottom of the wafer holder 20 (preferably peripherally and at 120° to one another—see FIGS. 8 and 11), corresponding to three spider posts 64 similarly positioned relative to the spider hub 90.
  • When the three ball-[0140] end posts 64 are each within one of the three V-shaped recesses 62, the relative position of the spider 22 and the wafer holder 20 is constrained to one degree of freedom. For example, if an external force is exerted which has a tendency to pull one post 64 in the direction along its corresponding recess 62, the outer flats 88 of the other two recesses 62 will exert an equal and opposite force on the other two corresponding ball-end posts 64 through the rounded surface 100. The movement in the direction of the external force is resisted, and the system remains centered. Since the one stable position available is arranged to center and level the wafer holder 20 (by positioning the various elements with radial symmetry), the combination of the ball-end surfaces 100 and the V-shaped recesses 62 is referred to as a self-centering system. The wafer holder 20 is centered relative to the spider 22 and the surrounding reactor parts (e.g., the slip ring 32).
  • It will be understood that self-centering in a unique position of stability occurs where more than three ball-end posts and V-shaped recesses are employed in a similar manner. Furthermore, one of skill in the art can readily apply the teachings of the present disclosure to reverse the positions of the curved surface and the V-shaped recess. For such an arrangement, each of three or more posts would include a V-shaped recess for cooperating with one of three or more curved surfaces provided on the bottom of the wafer holder. [0141]
  • In operation, the illustrated arrangement maintains a level and self-centered [0142] wafer holder 20 even when thermal expansion or contraction changes the dimensions of the wafer holder 20, and even when the wafer holder 20 expands or contracts to a different degree than the spider 22. Differential expansion generally occurs for the preferred materials, that is, for the quartz spider 22 and the SiC wafer holder 20, though it will be understood that such expansion would occur for parts of a variety of other suitable materials.
  • For example, at high temperatures, the [0143] wafer holder 20 will expand and the recesses 62 will move further away from the center of the wafer holder 20 (see FIG. 8). For the preferred wafer holder dimensions and material, the movement may be as high as 0.025 inch. In the meanwhile, the posts 64 of the preferred quartz spider 22 will move relatively little, relative to the hub 90 (see FIG. 11). Due to radial symmetry, the recesses 62 in an assembled wafer support structure 18 (FIGS. 1-2B), will each move radially outward by the same distance on their respective posts 64. At each temperature, there will be only one unique position of stability: the position in which the wafer holder 20 is centered.
  • Referring again to FIGS. 2A and 2B, the cooperation between the [0144] posts 64 and the recesses 62 can additionally impart rotation from the shaft 24 to the wafer holder 20. While the spider 22 may be stationary, it typically mounts to a rotating shaft to impart rotary motion to the wafer holder for enhancing chemical deposition uniformity over the wafer. In the illustrated embodiment, the shaft 24 is mechanically connected to a motor below the reaction chamber 12 (FIG. 1).
  • Center Thermocouple Spacing [0145]
  • With reference to FIG. 14, the center temperature sensor or [0146] thermocouple 28 is shown extending through the spider hub 90, with its tip 110 spaced from the base plate 50. The thermocouple 28 comprises an outer protective sheath surrounding a ceramic support, with a pair of thermocouple wires extending therethrough and forming a junction just below the tip 110.
  • Desirably, the protective sheath comprises a thermally conductive material which does not devitrify under CVD processing conditions. Preferably, the protective sheath is composed of silicon carbide, though other satisfactory materials include boron nitride, silicon nitride, silicon dioxide, aluminum nitride, aluminum oxide, and various combinations thereof. The illustrated [0147] thermocouple 28 further includes a quartz sleeve or cap shielding the protective sheath from the junction, in the event the sheath is not chemically compatible with the thermocouple wires. Alternatively, a boron nitride coating can be used on the wires.
  • Prior art reactors typically include a center thermocouple to indirectly measure the temperature of the wafer holder, since methods of directly measuring wafer temperature during processing have been found unsatisfactory for a variety of reasons. Prior art wafer holders, however, typically were thick enough to include a recess in which to insert the thermocouple, thereby accurately obtaining a gauge of the wafer holder temperature. The wafer holder, in turn, was the best indicator of the actual wafer temperature. Knowing a relationship between wafer temperature and wafer holder temperature through experimentation, the temperature controller can be calibrated to indirectly control wafer temperature. [0148]
  • The preferred [0149] base plate 50, on the other hand, is too thin to provide such a recess. A through hole is undesirable for the illustrated embodiment, as it would tend to induce backside deposition during CVD. Arranging the thermocouple tip 110 to contact the base plate 50 in order to obtain a reading as close as possible to the actual temperature of the wafer holder 20 is also undesirable. Rotation of the wafer holder 20, vibrations, and/or thermal expansion could bring the thermocouple out of contact with the wafer holder 20 in the midst of processing, radically changing the relation thermocouple reading and the wafer temperature. Furthermore, the thermocouple 28 is typically fixed at a point on the order of 30 cm below the tip 110, beneath the reaction chamber 12. The length of the thermocouple 28, including the thermally conductive SiC protective sheath, expands upwardly from the fixed point during thermal processing. This expansion can also cause the thermocouple tip 110 to come into and out of contact with the wafer holder 20, changing the thermocouple calibration, and potentially moving the low mass wafer holder 20 during processing.
  • Accordingly, the [0150] thermocouple 28 is mounted within the spider hub 90 to space the tip 110 a pre-determined distance from the wafer holder 20, as shown. At a minimum, the tip 110 is spaced from the wafer holder 20 such that the two never touch during processing, even during high temperature steps where the upward thermal expansion of the thermocouple is at a maximum. Preferably, the maximum spacing is such that the wafer temperature deviates from the reading it would have if the thermocouple were touching the wafer holder by less than about ±5 C.°, more preferably by less than about ±2 C.°, and most preferably by less than about ±1 C.°. Desirably, the pre-determined spacing between the tip 110 and the wafer holder 20 is chosen within a range wherein the thermocouple/wafer temperature relationship remains fairly spacing insensitive, as will be understood from the following discussion of FIG. 15.
  • Referring now to FIG. 15, a graph is shown with wafer temperature on the abscissa and the spacing between the [0151] thermocouple 28 and the base plate 50 on the ordinate axis. As in actual reactor operation, a temperature controller connected to radiative heat sources (lamps) for the reactor chamber was used to maintain the center thermocouple 28 temperature fixed at 1000° C. for this experiment. An instrumented wafer supported by the wafer holder 20 was also heated by the heat lamps, and the temperature of the wafer directly measured by the instrumentation in the wafer. As the center thermocouple 28 was moved, the wafer temperature changed, indicating that the relationship between the thermocouple temperature and wafer temperature changes with the distance between the wafer holder 20 and the thermocouple 28.
  • Between about 0.030 inch and 0.070 inch spacing, however, the curve remains fairly flat, as compared to adjacent sections of the curve. Within this range, the relationship between wafer and thermocouple temperature remains fairly spacing-insensitive. Accordingly, minor spacing fluctuations during processing from the above-noted factors (rotation of the [0152] wafer holder 20, vibrations, and/or thermal expansion) result in tolerable changes in the relationship between wafer temperature and thermocouple temperature. Therefore, the preferred wafer support structure 18 is arranged to space the tip 110 of the center thermocouple 28 between about 0.030 and 0.070 inch from base plate 50 of the preferred wafer holder 20. Preferably, the initial spacing is in the midst of this range, about 0.040 and 0.060 inch, such that fluctuations during operation remain within the 0.030 to 0.070 inch range. Most preferably, the initial spacing is set at about 0.050 inch.
  • One of skill in the art will recognize that the optimal thermocouple-wafer support spacing range may differ for different thermocouple types, and for different wafer support structures. For example, the optimal spacing may depend upon the thermocouple surface area exposed to the wafer holder, the surface area of the wafer holder, the lamp power, and/or other dimensions and materials of the thermocouple. The skilled artisan can adapt the teachings herein, however, to optimize the spacing for any particular system to minimize spacing fluctuation sensitivity, utilizing the above-described experimental techniques. [0153]
  • In particular, the initial spacing is preferably be such that the wafer temperature at steady state changes by less than about 10° C. for a spacing fluctuation of 0.010 inch. In other words, the slope of a wafer temperature versus thermocouple spacing (like FIG. 15) at the initial position of the thermocouple is within about ±10° C./0.010″. More preferably, the slope is less within about ±5 C.°/0.010″. [0154]
  • Two-Piece Wafer Holder with Supporting Ring [0155]
  • With reference now to FIGS. [0156] 16-25, a second preferred embodiment of the present invention is illustrated, wherein the wafer holder 20 a comprises a ring 52 a and a separate base plate 50 a, rather than a single integral piece. The material of the illustrated wafer holder 20 a can be the same as that of the previous embodiment, preferably comprising silicon carbide, and the lips 66 a are still integral (forming one piece) with one of the ring 52 a and base plate 50 a. The wafer holder 20 a is also preferably of low thermal mass, as described above. As the wafer holder 20 a is otherwise substantially similar to the wafer holder 20 of the first preferred embodiment illustrated in FIGS. 1-15 and described above, like reference numerals will be used throughout the remaining description to describe like features. Similar but significantly altered features will be referred to with like reference numerals with the additional suffix “a.” Additionally, new features without analogous features in the first embodiment will also carry the suffix “a.”
  • With reference now to FIG. 16, the wafer holder [0157] 20 a is shown schematically, along with a post 64 which is as described above. As illustrated, the base plate 50 a is suspended from the ring 52 a. Suspension is provided by arranging a hanging portion of the base plate 50 a to have a larger width or diameter (for the circular embodiment) than a supporting portion of the ring 52 a. The overlapping portions thus interact, with the hanging portion of the base plate 50 a above the supporting portion of the ring 52 a, to provide support for the base plate 50 a.
  • As in the first preferred embodiment, the [0158] ring 52 a includes a relatively thick band 200 a below the upper surface 60 and between the inner wall 54 and outer wall 58. The ring 52 a further includes a relatively less thick first annular shelf 202 a, radially inward from and integral with the band 200 a, upon which the plurality of lips 66 are positioned. Furthermore, the ring 52 a includes a relatively even less thick second or subsidiary annular shelf 204 a, radially inward from and integral with the first shelf 202 a. For clarity, the second shelf 204 a will be hereinafter referred to as a “step” 204 a, while the first shelf 202 a will be hereinafter referred to as the “shelf” 202 a. The base plate 50 a includes an annular overhang 205 a.
  • It will be understood that, in the illustrated embodiment, the hanging portion of the [0159] base plate 50 a comprises the overhang 205 a, while the support portion of the ring 52 a comprises the step 204 a. Thus, in the illustrated embodiment, the support by these interacting portions is provided annularly, though the support can also be provided intermittently. The skilled artisan will recognize a number of manners in which to suspend the base plate from the ring. Preferably, however, the base plate 50 a and ring 52 a remain independent and are not permanently mounted to one another.
  • With reference now to FIGS. [0160] 17-23, the ring 52 a of the second preferred embodiment is illustrated. The shelf 202 a is partially defined by a horizontal shelf top surface 206 a, extending between a vertical shelf inner wall 208 a and the band inner wall 54. The step 204 a is similarly partially defined by a horizontal step upper surface 210 a, extending between a vertical step inner wall 212 a and the shelf inner wall 208 a. Preferably, the band 200 a, shelf 202 a and step 204 a all share a common ring bottom surface 214 a. The bottom surface 214 a includes the recess 62, which can be substantially identical to that of the first preferred embodiment.
  • The dimensions of features not specifically described here can be the same as those noted above for similar features of the first preferred embodiment. Thus, for example, the illustrated [0161] band 200 a has a thickness of about 0.077 inch, as with the thickness of the ring 52 of the first preferred embodiment (see, e.g., FIG. 6), and the inner wall 54 diameter is about 7.970 inch for a 200 mm wafer, also as above.
  • The [0162] shelf 202 a of the second preferred embodiment desirably has the same thickness as the base plate 50 a (described below), and in the illustrated embodiment is about 0.0285 inch. The width of this shelf 202 a, as measured along the shelf top surface 206 a, is desirably the same as the lip 66, namely about 0.125 inch. This width is chosen for ease of machining, as the lip inner face 72 can be machined simultaneously flush with the shelf inner wall 208 a. The height of shelf inner wall 208 a, best seen from the view of FIG. 22, defines the distance below the shelf 202 a that the step 204 a begins, and is about 0.0105 inch in the illustrated embodiment.
  • The [0163] step 204 a should be thick enough to support the weight of the base plate 50 a (FIGS. 24-25), and wide enough to ensure that the base plate 50 a remains supported rather than falling through the central opening defined by the ring 52 a. In the illustrated embodiment, the thickness of the step 204 a, as measured along the step inner wall 212 a, is about 0.018 inch. The width of the illustrated step 204 a, as measured along the step upper surface 210 a, is about 0.030 inch. The inside diameter of the ring 52 a, defined by the inner wall 212 a, is about 7.660 inch.
  • As with the first preferred embodiment, corners between vertical and horizontal surfaces are each rounded with radii of about 0.010 inch, in order to improve strength. [0164]
  • With reference now to FIGS. [0165] 24-25, the base plate 50 a of the second preferred embodiment is illustrated. The overhang 205 a is partially defined by a vertical overhang wall 220 a, extending downward from the base plate top surface 56 a. A horizontal overhang lower surface 222 a extends radially inward from the overhang wall 220 a. A vertical lower base wall 224 a extends downwardly from the overhang lower surface 222 a.
  • The overall thickness of the [0166] base plate 50 a is desirably the same as the shelf 202 a of the ring 52 a (FIGS. 17-23), or about 0.0285 inch for the illustrated embodiment, in order to provide a constant thickness of wafer holder material below the wafer 16.
  • The thickness of the [0167] overhang 205 a is sufficient to support the weight of the entire base plate 50 a by suspension upon the support portion (step 204 a) of the ring 52 a. Preferably, the thickness of the overhang 205 a is the same as the height of the shelf inner wall 208 a (see especially FIG. 22), or about 0.0105 inch for the illustrated embodiment. By providing an overhang thickness identical to the shelf inner wall height, a (discontinuous) wafer holder upper surface is advantageously provided at a constant distance from the wafer 16. The discontinuous wafer holder upper surface comprises the base plate top surface 56 a together with the shelf top surface 206 a (best seen in FIGS. 17 and 22) of the ring 52 a.
  • The width of the [0168] overhang 205 a is desirably about equal to the width of the step 204 a upon which the overhang 205 a rests in the assembled arrangement (see FIG. 16). Thus, the illustrated overhang has width, as measured along the overhang lower surface 222 a, of about 0.030 inch. The outside diameter of the plate 50 a, defined by the overhang wall 222 a, is about 7.700 inch, which is larger than the inside radius of the ring 52 a by about 0.040 inch. The step 204 a of the ring 52 a and the lower surface 222 a of the base plate 50 a thus overlap but leave a leeway of about 0.010 inch on either side. The plate 50 a can thus be adequately supported by the step 204 a, while the leeway accommodates both manufacturer tolerances and permits the end user to fit the plate 50 a into the ring 52 a during assembly.
  • As with most other corners of the preferred embodiments, the corner between the overhang [0169] lower surface 222 a and the base lower wall 224 a is rounded with about a 0.010 radius. This curvature desirably matches the curvature of the corresponding corner on the ring between the step inner wall 212 and step upper surface 210 a.
  • Advantageously, it has been found that the two-piece wafer holder [0170] 20 a of the second preferred embodiment can withstand stresses associated with thermal gradients, such as the vertical gradients described above in connection with cold wafer drop-off. Accordingly, the two-piece wafer holder 20 a survives a greater number of thermal cycles, such as those encountered during normal processing, than the one-piece wafer holder 20 of the first preferred embodiment.
  • The advantages of various other features not specifically described remain as described for the first preferred embodiment. Thus, for example, the [0171] lips 66 and recesses 62 are not described again here. Note, however, that the recesses 62 of the second preferred embodiment are not aligned with lips 66, unlike the corresponding recesses 62 of the first preferred embodiment. A comparison of FIG. 9, showing a lip 66 in the same section with a recess 62, with FIG. 22, showing no lip in the section of the recess 62, makes this difference clear. The difference is not material, however, to the advantages described hereinabove.
  • Two-Piece Wafer Holder with Supporting Base [0172]
  • With reference now to FIG. 26 and [0173] 27, a wafer support structure 18 b is depicted schematically with a base-supported ring 52 b, constructed in accordance with a third preferred embodiment of the present invention. The wafer support structure 18 b also includes a self-centering mechanism, as described below. Desirably, the wafer support structure 18 b comprises the same preferred materials as in the previous embodiments, namely SiC for the wafer holder 20 b and quartz for the spider 22 b. The wafer holder 20 b is also preferably of low thermal mass, as described above. Numerous features are substantially the same as corresponding features of the previous embodiment, such that like reference numerals will be used to describe like features. Similar but significantly altered features will be referred to with like reference numerals with the additional suffix “b.” Additionally, new features without analogous features in the first embodiment will also carry the suffix “b.”
  • In contrast to the second preferred embodiment, wherein the [0174] base plate 50 a is suspended from the ring 52 a (FIG. 16), the ring 52 b of the present embodiment is desirably suspended from the base plate 50 b. Thus, a hanging portion of the ring 52 b is arranged to have a smaller width or diameter (for the circular embodiment) than a supporting portion of the base plate 50 b. The overlapping portions thus interact, with the hanging portion of the ring 52 b above the supporting portion of the base plate 50 b, to provide support for the ring 52 b. The base plate 50 b is independently supported by a spider 22 b.
  • The [0175] base plate 50 b of this embodiment can be a simple disc-shaped plate, as illustrated. Thus, the supporting portion of the base plate 50 b is merely the peripheral portion of the plate 50 b, just radially inward of a base plate peripheral edge 229 b. In contrast to the second preferred embodiment, the plurality of lips 66 b (preferably six) are formed on the base plate 50 b. While illustrated schematically as separate pieces, lips 66 b are desirably formed integrally with the base plate 50 b, for the same reasons as described with respect to the first preferred embodiment. Preferably, the lip height is within the ranges described above, as is the lip width.
  • The [0176] ring 52 b includes a relatively thick band 200 b, and at least one recess. In the illustrated embodiment, a plurality of peg recesses 230 b are positioned radially inward of the band 200 b. Each peg recess 230 b is defined in part by a vertical recess back wall 232 b and two vertical recess side walls 233 b (best seen from the top down view of FIG. 27), each extending upwardly from the ring lower surface 214 b. Preferably, the ring 52 b includes three peg recesses 230 b distributed circumferentially at about 120° to one another, for interacting with three spider posts 64 b. The dimensions of each recess 230 b are chosen for a self-centering interaction with the spider posts, described in more detail below.
  • Desirably, each [0177] peg recess 230 b extends radially inwardly and opens into an annular groove, extending around the inner lower perimeter of the ring 52 b. The annular groove is defined by an overhanging ledge 234 b and a vertical groove inner wall 235 b, as best seen from the view of FIG. 27. The inner boundary of the ledge 234 b is defined by the inner ring wall 54 b, which has a diameter smaller than the outer diameter of the base plate 50 b.
  • When assembled, the peripheral portion of the [0178] base plate 50 b fits within the annular groove, such that the ledge 234 b rests upon the peripheral portion of the base plate 50 b, as shown. The groove inner wall 235 b can be spaced slightly from peripheral edge 229 b of the base plate 50 b. Accordingly, in the illustrated embodiment, the overhanging portion of the ring 52 b comprises the ledge 234 b.
  • Hot and Cold Self-Centering [0179]
  • The [0180] base plate 50 b is supported by a spider 22 b, which can be similar in structure to the spider 22 of the first preferred embodiment. The spider posts 64 b, however, have a different structure. Rather than the ball-end post, the spider posts 64 b of the third preferred embodiment include an upward extension or protrusion with a hot centering surface and a cold centering surface. The hot centering surface desirably is proximate to or contacts an outer wall of the base plate (e.g., the base plate peripheral edge 229 b) when the system is at high temperature, due to differential expansion of the base plate 50 b (preferably SiC) relative to the spider 22 b (preferably quartz). Similarly, the cold centering surface desirably is proximate to or contacts an inner wall of the ring 52 b (e.g., the recess back wall 232 b) when the system is at low temperature, due to differential contraction of the ring 52 b relative to the spider 22 b. “Proximate to,” within the meaning of the present disclosure, means that the centering surface should be within about 0.020 inch of a vertical wafer holder surface, and more preferably within about 0.010 inch.
  • In the illustrated embodiment, the post end includes a [0181] platform 240 b on the inner side of the post 64. The base plate 50 b rests upon the platform 240 b. For the cylindrical post, this platform 240 b has the shape of a truncated circle (see FIG. 27). At the radially outward edge of the platform 240 b, a centering protrusion or peg 242 b extends upwardly into the recess 230 b of the ring 52 b. The centering peg 242 b includes an inner hot centering surface 244 b and an outer cold centering surface 246 b. In the illustrated embodiment, the cold centering surface 246 b extends downwardly into an outwardly curved flared surface 248 b, which in turn joins the vertical cylindrical outer surface of the main portion of the spider post 64 b.
  • As noted, the hot centering [0182] surface 244 b is proximate to or contacts the peripheral edge 229 b of the base plate 50 b during high temperature steps, for example at about 1200° C. It will be understood that, because at least three such hot centering surfaces 244 b on at least three different spider posts 64 b are distributed about the periphery of the base plate 50 b, the base plate 50 b is confined to negligible movement. Accordingly, the integral lips 66 b which support the wafer 16 are also confined. The ring 52 b rests upon the base plate 50 b and has its movement limited by interaction of the lips 66 b with the ring inner wall 54 b, and/or by the interaction between the groove inner wall 235 b and the peripheral edge 229 b of the base plate 50 b, depending on which two surfaces have the closer spacing.
  • Similarly, the cold centering [0183] surface 246 b is proximate to or contacts the recess back wall 232 b of the ring 52 b during lower temperature steps, e.g., 20° C. It will be understood that, because at least three such cold centering surfaces 246 b (on three different spider posts 64 b) each contact one of at least three recess back walls 232 b distributed about the ring 52 b, the ring 52 b is confined to negligible movement. The ring 52 b, in turn, constrains movement of the base plate 50 b and the wafer 16 thereon by interaction of the groove inner wall 235 b with the peripheral edge 229 b of the base plate 50 b, or by interaction of the ring inner wall 54 b with the lips 66 b.
  • In the illustrated embodiment, the [0184] recess side walls 233 b can interact with the spider posts 64 b to prevent rotation of the ring 52 b relative to the spider 22 b, and can “clock” or ensure transfer of rotational drive from the spider 22 b to the ring 52 b, such that they rotate together. Friction between the ring 52 b and the base plate 50 b, and between the base plate 50 b and the spider post platform 240 b, couples the ring rotation to the base plate 50 b, such that the entire wafer holder 20 b (and the wafer held thereon) rotates together with the spider 22 b.
  • It will be understood, however, that rotation of the spider can be transferred to the wafer holder without the illustrated recess structure. For example, a radially wider ledge can be formed by extending the annular groove radially outward to form a groove inner wall at the same radial distance at which the recess back [0185] wall 232 b is illustrated in FIGS. 26-27. In such an alternative arrangement, the groove inner wall would interact with the cold centering surface 246 b of the spider post 64 b under lower temperature conditions, similarly to the centering function as described for the illustrated embodiment. In such an alternative arrangement, friction between the spider post platform and the base plate lower surface could adequately couple the spider and the base plate for purposes of rotation. The base plate, in turn, would also be frictionally coupled to the ring by the weight of the ring concentrated upon the peripheral portion of the base plate at the extended annular ledge.
  • Wafer Holder with Upper Gas Passages [0186]
  • With reference now to FIGS. [0187] 28-32, a wafer holder 20 c, constructed in accordance with a fourth preferred embodiment of the present invention, facilitates wafer pick-up. Desirably, the wafer support structure comprises the same preferred materials as in the previous embodiments, namely SiC for the wafer holder 20 c and quartz for the spider (not shown). The wafer holder 20 c is also preferably of low thermal mass, as described above. Numerous features are similar or substantially the same as corresponding features of the previous embodiment, such that like reference numerals will be used to describe like features. Similar but significantly altered features will be referred to with like reference numerals with the additional suffix “c.” Additionally, new features without analogous features in the previous embodiments will also carry the suffix “c.”
  • As with the first preferred embodiment, the [0188] wafer holder 20 c is a unitary piece comprising a central base plate 50 c, with a relatively more thick peripheral annular ring 52 c. The wafer (not shown) can fit within a basin defined by the thicker ring 52 c. Structures are also provided to permit gas between the wafer and the wafer holder 20 c. Like the lips of the previously described embodiments, the structures include dimensions selected to prevent undue thermal disturbance in the wafer. In the illustrated embodiment, gas fills gas passages formed in an upper surface of the wafer holder.
  • In particular, a plurality of open [0189] radial channels 250 c are provided in the top surface of the wafer holder 250 c to serve as gas passages. In the illustrated embodiment, each channel 250 c comprises a base plate channel 252 c and a ring channel 254 c. This configuration advantageously allows machining each channel 250 c at a constant depth across the entire wafer holder 20 c. Moreover, the ring channel 254 c provides a large gas flow path to the base plate channel 252 c for gas to enter as the wafer is picked up.
  • The [0190] base plate channels 252 c, which are directly below the wafer just before wafer pick-up, have a width less than the thermal diffusion length in silicon (about 5 mm). Accordingly, the thermal effect upon the wafer, from the absence of material within the channels 252 c, is negligible. Preferably, the base plate channels 252 c have a depth of about 0.010 inch and a width of about 0.015 inch. It will be understood that the illustrated ring channels 254 c, machined to the same level as the base plate channels 252 c, are both deeper and wider (compare FIGS. 29 and 30). The thermal effect of the ring channels 254 c, however, is attenuated relative to the base plate channels 252 c.
  • Because the [0191] base plate channels 252 c are so narrow, a plurality of channels 252 c is provided to give a total volume of gas passages which is adequate for separately lifting the wafer. In the illustrated embodiment, 32 such channels 252 c are provided.
  • The one-[0192] piece wafer holder 20 c includes a common lower surface 80 c. Three or more recesses 62 c are provided in the lower surface 80 c. The recesses 62 c are provided for interaction with a similar number of spider posts (not shown), which can support and impart rotation to the wafer holder 20 c, as described with respect to the previous embodiments. The spider posts can be configured with hot- and cold-centering surfaces spaced to anticipate differential thermal expansion and contraction, as will be understood by one of skill in the art in light of the above disclosure with respect to the third preferred embodiment.
  • Additionally, a [0193] central opening 256 c is provided through the wafer holder 20 c. Desirably, the central opening 256 c is sized to receive a thermocouple. The thermocouple can thereby read the temperature in close proximity to the wafer during processing, and the heat lamps outside the reaction chamber can be controlled accordingly. Furthermore, as the thermocouple extends through a central hub on a spider (see FIG. 14), the thermocouple can keep the wafer holder 20 c centered during rotation and thermal cycling, regardless of thermal expansion.
  • Wafer Holders with Lower Gas Passages [0194]
  • FIGS. [0195] 33-37 illustrate wafer holders which provide one or more lower gas passages. The lower gas passages allow gas to flow into the gap between the base plate and the wafer while the wafer is being picked up, and out of the gap while the wafer is being dropped off. In each of the illustrated embodiments, the support ring is provided with a single annular lip with a cylindrical inner face, rather than a plurality of spaced lips. The annular lip still spaces the wafer above a base plate. It will be understood, however, that in other arrangements the backside gas flow can be combined with the front side gas flow between spaced lips, such that adequate gas flow is ensured between the wafer and wafer holder during pick-up and drop-off.
  • With reference to FIGS. [0196] 33-35, a two-piece wafer holder 20 d is illustrated in accordance with a fifth preferred embodiment. As with previous embodiments, the wafer holder 20 d desirably comprises the same preferred materials as in the previous embodiments, namely SiC for the wafer holder 20 d and quartz for the spider (not shown). The wafer holder 20 d is also preferably of low thermal mass, as described above. Numerous features are similar or substantially the same as corresponding features of the previous embodiment, such that like reference numerals will be used to describe like features. Similar but significantly altered features will be referred to with like reference numerals with the additional suffix “d.” Additionally, new features without analogous features in the previous embodiments will also carry the suffix “d.” Similarly, reference numerals for altered or new features of a sixth preferred embodiment will carry the suffix “e” in FIG. 36.
  • Referring again to FIGS. [0197] 33-35, preferably a single annular lip 66 d is provided, such as to effectively seal the front side of the wafer 16 from the back side. Such an arrangement effectively eliminates the diffusion of processing gas to the backside from the front side of the wafer 16. This seal would ordinarily create a vacuum effect during wafer pick-up. In the illustrated embodiment, however, an annular backside passage 260 d (FIG. 35) is provided between the ring 52 d and the base plate 50 d, and specifically between ring step 204 d and the base plate overhang 205 d. As a wafer is picked up after processing, gas is drawn up from below the wafer holder through the backside passage 260 d, preventing the base plate 50 d from sticking to the wafer 16 by a vacuum effect. For example, purge gas will generally be present below the wafer holder 20 d, both during and after processing. It will be understood that the backside passage 260 d similarly allows gas flow outward (downward) from the gap during wafer drop-off.
  • The [0198] backside passage 260 d is provided in the illustrated embodiment by a plurality of bumps 262 d positioned about the upper surface 210 d of the ring step 204 d. Desirably, more than three bumps 262 d are provided to support the base plate 50 d levelly, while the number and size of the bumps are small enough to permit sufficient gas flow through the passage 260 d for the wafer 16 to be picked up independently of the base plate 50 d. Preferably six or more bumps are provided, while twelve such bumps 262 d are illustrated in the plan view of FIG. 33. The thickness of the ring step 204 d and the base plate overhang 205 d can be adjusted, within the dictates of structural strength requirements, to maintain the gap between the base plate 50 d and the wafer 16, as described above, as will be understood by one of skill in the art.
  • While the [0199] backside passage 260 d of the illustrated embodiment allows gas to be drawn through to the gap between the wafer 16 and the wafer holder 20 d during wafer pick-up, gas will tend not to flow during processing, as the front side is effectively sealed by contact between the wafer 16 and the annular lip 66 d. Combined with the fact that the preferred reactor 10 provides mostly purge gas below the wafer holder 20 d, the lack of flow effectively eliminates the ability of deposition gases (or other reactant species) to reach the backside of the wafer.
  • Advantageously, the arrangement illustrated in FIG. 35 provides the [0200] backside passage 260 d in a stepped path, such that radiant heat does not directly penetrate from lamps to the wafer 16 and hot spots are avoided.
  • Referring now to FIG. 36, a [0201] wafer holder 20 e is shown in accordance with a sixth preferred embodiment of the present invention. A lower gas passage is provided in the form of at least one conduit 270 e leading from the underside of the wafer holder 20 e to the gap between the base plate 50 e and the wafer 16. Preferably, the conduit 270 e leads from the underside of the ring 52 e to the side wall of the annular lip 66e. Similarly to the annular backside passage 260 d of the previously described embodiment, the conduit 270 e allows gas to fill the gap while the wafer is being lifted, thus reducing any vacuum effect which could otherwise cause the baseplate 50 e to be lifted with the wafer. Similarly, the conduit 270 e can also allow gas to exit the gap between the wafer 16 and base plate 50 e during wafer drop, thus preventing the wafer from floating off center.
  • Desirably, three [0202] conduits 270 e are provided, aligned with posts 64 e of a spider 22 e. Each of the conduits 270 e connects to a hollow spider post 64 e, through which gas can flow, at least during wafer pick-up and desirably also during wafer drop-off. Preferably, a purge gas line is connected to the hollow spider 22 e, accessing purge gas.
  • The purge gas line is most preferably connected to the same gas line flowing purge gas to a pick-up wand of the type described in U.S. Pat. No. 4,846,102. Thus, in the preferred embodiment, the purge gas would flow through the [0203] spider post 64 e and the conduit 270 e only during when the pick-up wand is operating, such that no flow would be forced into the gap during wafer processing. Overpressure from the gas flow can also be avoided by providing obstructions in the hollow spider 22 e, to restrict gas flow into the wafer-base plate gap.
  • Apart from the [0204] conduit 270 e and the annular lip 66 e, the wafer holder 20 e can be as described with respect to the second preferred embodiment.
  • Desirably, the [0205] conduits 270 e are angled inwardly as shown, such as to avoid lamp radiation directly falling on the wafer.
  • FIG. 37 illustrates a [0206] wafer holder 20 f constructed in accordance with a seventh preferred embodiment, incorporating features of both the fifth and sixth preferred embodiments. By providing both conduits 270 e and the annular backside passage 260 d, the wafer holder 20 f can provide a greater rate of gas flow into the gap during wafer pick-up. Such an amount of flow may be desirable, for example, for use during pick-up of high surface area wafers, or for ultra-light wafer holders which could easily be lifted along with the wafer.
  • Hot Centering Wafer Support [0207]
  • With reference now to FIGS. 37 and 38, two [0208] wafer support structures 18 g, 18 h are depicted schematically, constructed in accordance with eighth and ninth embodiments of the present invention, respectively. Desirably, the wafer support structures 18 g, 18 h comprise the same preferred materials as in the previous embodiments, namely SiC for the wafer holders 20 g, 20 h and quartz for the respective spiders 22 b, 22 h. The wafer holders 20 g are also preferably of low thermal mass, as described above.
  • Numerous features are substantially the same as corresponding features of the previous embodiment, such that like reference numerals will be used to describe like features. For example, the spider of FIG. 38 can be identical to that illustrated in FIG. 26, such that the [0209] reference numeral 22 b is employed. Similar but significantly altered features will be referred to with like reference numerals with the additional suffixes “g” and “h.” Additionally, new features without analogous features in the first embodiment will also carry the suffixes “g” and “h.”
  • Like the second preferred embodiment (FIGS. [0210] 26-27), each of the embodiments of FIGS. 38 and 39 feature mechanisms which are self-centering at high temperatures. The principal differences between the second embodiment and FIGS. 38-39 are: the eighth and ninth embodiments do not include cold centering features; the base plates 50 g, 50 h and ring portions 52 g, 52 h are integral or one piece; the ring portions 52 g, 52 h are substantially the same thickness as their corresponding base plates 50 g, 50 h ; and the wafer holders 20 g, 20 h do not include peg recesses to aid rotational coupling to the corresponding spiders 22 b, 22 h. Each of these features, which are common to both eighth and ninth embodiments, are individually and synergistically advantageous from thermal and manufacturing viewpoints.
  • With reference initially to FIG. 38, as noted, the wafer holder [0211] 20 g of the eight embodiment is preferably one piece and the base plate 50 g and ring portion 52 g have substantially uniform thickness. As with the previous embodiments, the base plate thickness is close to that of the wafer it is to hold (e.g., about 0.0285 inch for a 200 mm wafer or about 0.0305 inch for a 300 mm wafer). A transition portion 280 g connects the integral base plate 50 g and ring portion 52 g. The transition portion 280 g is an annular step which defines the wafer pocket. A plurality of lips 66 g (preferably six), similar to the lips of previously described embodiments, extend integrally from the base plate 50 g, defining a gap between the supported wafer 16 and the top surface 56 g of the base plate 50 g. To form the wafer pocket with the appropriate gap, the transition portion 280 g has a vertical thickness of about 0.077 inch (for the 200 mnm wafer support), forming a vertical transition inner wall 54 g (also defining an inner edge of the ring portion 52 g) and a vertical transition outer wall 229 g (also defining an outer edge of the base plate 50 g).
  • The [0212] base plate 50 g is supported by the spider 22 b, and particularly by three spider posts 64 b. The tip of each post 64 b includes a platform 240 b on the inner side of the post 64 b. The base plate 50 g of the wafer holder 20 g rests upon the platform 240 b. In operation, the rotation of the spider 22 b is transferred or coupled to the wafer holder 20 g by friction between the spider post platform 240 g and the base plate lower surface.
  • Each of the [0213] posts 64 b also include an upward extension or protrusion with a hot centering surface. In particular, the post protrusion comprises the centering peg 242 b having an inner hot centering surface 244 b. As with the embodiment of FIG. 26, the hot centering surface 244 b is desirably proximate to or contacts an outer vertical wall of the wafer holder 20 g (e.g., the transition outer wall 229 g) when the system is at high temperature (e.g., at about 1200° C.), due to differential expansion of 30 the base plate 50 g (preferably SiC) relative to the spider 22 b (preferably quartz). “Proximate to,” within the meaning of the present disclosure, means that the centering surface of the spider 22 b should be within about 0.020 inch of a vertical wafer holder surface, and more preferably within about 0.010 inch. It will be understood that, because at least three such hot centering surfaces 244 b on at least three different spider posts 64 b are distributed about the periphery of the base plate 50 g, the base plate 50 g is confined to negligible movement.
  • Advantageously, the embodiment of FIG. 38 includes many of the advantages of the previously disclosed embodiments. For example, the wafer holder [0214] 20 g is self-centering at high temperatures, when thermal uniformity is most difficult to achieve, and most important to achieve. Additionally, rotational coupling also promotes uniform thermal effects. The illustrated embodiments, however, accomplish both hot centering and rotational coupling with fewer sharp internal corners (such as those created by the peg recesses shown in FIGS. 26 and 27). Such sharp corners tend to concentrate thermal, electrical and physical stresses. Naturally, reduction of corners also simplifies manufacturing.
  • Cross-sectional (thickness) uniformity of the ring [0215] 52 g and base plate 50 g also enables uniform temperatures across the wafer holder 20 g. Essentially, the rate at which temperature increases during heating is proportional to the area receiving radiation divided by the thickness of the wafer holder 20 g. Temperature non-uniformities, during certain thermal processes, can cause large enough stress to result in breakage of the wafer holder. For such processes, uniform thickness to avoid breakage is more important than edge loss compensation by use of a thicker ring portion.
  • With reference now to FIG. 39, the ninth preferred embodiment offers similar advantages. As with the embodiment of FIG. 39, the wafer holder [0216] 20 g includes substantially uniformly thick base plate 50 h and ring 52 h portions, which are also integrally formed as one piece. The transition portion 280 h, however, is angled outwardly, rather than being completely vertical. Preferably, the transition 280 h forms an angle with the ring 52 h and base plate 50 h of between about 30° and 60°, and is shown at about 45° in FIG. 39. The transition portion 280 h is thus defined between an annular and angled transition inner wall 54 h and an outer wall 229 h.
  • The [0217] wafer holder 20 h, and particularly the base plate 50 h, rests on inner platforms 240 h of the spider posts 64 h, rotationally coupled to the spider 22 h by friction. The post protrusion 242 h includes an angled hot centering surface 244 h. At high temperatures, as the wafer holder 20 h expands relative to the spider 22 h. The holder's outer wall 229 h mates with the hot centering surfaces 244 h of each of the posts 64 h (preferably at least three), keeping the wafer holder 20 h (and thus the wafer 16) centered within a tightly confined space.
  • The embodiment of FIG. 39 entails similar advantages as those of FIG. 38. Additionally, the [0218] angled transition portion 280 h reduces the effective thickness of the transition region. For example, comparing equivalent wafer holders of the eighth and ninth embodiments, the vertical transition portion 280 g of FIG. 38 has a thickness of about 0.077″, while the angled transition portion 280 h of FIG. 39 has an effective vertical thickness of about 0.040″. Accordingly, the ninth embodiment experiences even fewer thermal non-uniformities during thermal processing, resulting in reduced breakage. Furthermore, the angle reduces the sharpness of corners and attendant stresses.
  • It will be appreciated by those skilled in the art that various modifications and changes may be made without departing from the scope of the invention, and all such modifications and changes are intended to fall within the scope of the invention, as defined by the appended claims. [0219]

Claims (66)

We claim:
1. A low mass wafer holder for supporting a substrate within a process reactor, the holder having an upper surface and a lower surface, the holder comprising a plurality of lips integral with the upper surface, the lips extending upwardly a uniform height above the upper surface to support the substrate with a uniform gap between substrate and the upper surface.
2. The wafer holder of claim 1, wherein the wafer holder consists essentially of silicon carbide.
3. The wafer holder of claim 1, further comprising a base plate having a top surface and an annular ring having an inner wall, the ring inner wall extending above the wafer holder upper surface and defining a substrate pocket with a diameter greater than the diameter of the substrate.
4. The wafer holder of claim 3, wherein the ring is integrally formed with the base plate.
5. The wafer holder of claim 3, wherein the ring comprises a shelf positioned below and radially inward of the ring inner wall, the wafer holder upper surface comprising the base plate top surface and a ring top surface.
6. The wafer holder of claim 5, wherein the lips are integrally formed with the ring shelf.
7. The wafer holder of claim 3, wherein each of the lips comprises an inner face, a lip base wider than the inner face, and a pair of lip side walls extending between the inner face and the lip base.
8. The wafer holder of claim 7, wherein the lip base is integral with the ring inner wall.
9. The wafer holder of claim 1, wherein the wafer holder has a thermal mass less than about three times a thermal mass of the substrate.
10. The wafer holder of claim 9, wherein the thermal mass of the wafer holder is between about 0.5 and 2.0 times the thermal mass of the substrate.
11. The wafer holder of claim 1, wherein each of the lips extends a height between about 0.010 inch and 0.030 inch above the upper surface, and the substrate comprises a 200 mm silicon wafer.
12. The wafer holder of claim 1, wherein each of the lips extends a height between about 0.020 inch and 0.050 inch above the upper surface, and the substrate comprises a 300 mm silicon wafer.
13. A wafer holder for supporting a substrate within a process reactor, the wafer holder comprising a central portion having a first thickness and an upper surface, a plurality of spacers projecting upwardly a uniform height above the upper surface and distributed to peripherally support the substrate above the upper surface, and a fringe portion having a second thickness, the fringe portion comprising a ring inner wall extending upwardly from and surrounding the upper surface.
14. The wafer holder of claim 13, wherein the wafer holder consists essentially of silicon carbide.
15. The wafer holder of claim 13, wherein the wafer holder has a thermal mass less than about five times a thermal mass of the substrate.
16. The wafer holder of claim 13, wherein the spacers are arranged in a circular pattern and the substrate comprises a generally circular semiconductor wafer.
17. The wafer holder of claim 16, wherein 3 to 12 spacers are distributed with radial symmetry about the upper surface.
18. The wafer holder of claim 16, wherein the semiconductor wafer comprises a 300 mm silicon wafer, greater than about 9 spacers are distributed with radial symmetry about the upper surface, and further comprising at least one central supporting member.
19. The wafer holder of claim 16, wherein each of the spacers are lips comprising an inner face, an outer base, and two side walls connecting the inner face to the outer base, the inner faces defining a first circle having a radius smaller than the radius of the wafer.
20. The wafer holder of claim 19, wherein the radius of the first circle is smaller than the radius of the wafer by less than about 3 mm.
21. The wafer holder of claim 19, wherein the radius of the first circle is smaller than the radius of the wafer by about 2 mm.
22. The wafer holder of claim 19, wherein the outer bases define a second circle of radius greater than the radius of the wafer.
23. The wafer holder of claim 13, wherein the holder comprises a base plate and an independent ring.
24. The wafer holder of claim 23, wherein the ring comprises an annular band, including the ring inner wall, and an annular shelf extending radially inward of the band, such that the central portion comprises the base plate and the shelf of the ring, and the fringe portion comprises the band.
25. The wafer holder of claim 24, wherein the spacers are integrally formed with the shelf.
26. The wafer holder of claim 23, wherein the ring supports the base plate.
27. The wafer holder of claim 23, wherein the base plate supports the ring.
28. The wafer holder of claim 13, wherein the second thickness is between about 1.2 times and 3.0 times greater than the first thickness.
29. A semiconductor reactor for treating a substrate, comprising:
a reaction chamber;
a plurality of heat sources;
a self-centering single-wafer support structure, having a first level and centered position at a first temperature and a second level and centered position at a second temperature, including:
a wafer holder for directly supporting the substrate, having a first coefficient of thermal expansion, and the wafer holder comprising at least one recess in a bottom surface thereof, and
a support spider for supporting the wafer holder, having a second coefficient of thermal expansion different from the first coefficient, the spider comprising at least three support posts cooperating with the recess of the wafer holder.
30. The reactor of claim 29, wherein the wafer holder consists essentially of silicon carbide.
31. The reactor of claim 29, wherein the recess comprises at least three radial grooves corresponding to the support posts of the spider, distributed at even angular distances from one another about the wafer holder bottom surface.
32. The reactor of claim 31, wherein each of the radial grooves comprises a pair of radially oriented flat surfaces defining a groove opening, each of the support posts including a curved end sized to fit within the groove opening.
33. The reactor of claim 32, wherein the grooves are distributed equidistantly from a wafer holder center.
34. The reactor of claim 32, wherein the support spider comprises three posts connected to and equidistant from a central spider hub.
35. The reactor of claim 34, wherein the spider hub is connected to a rotatable shaft.
36. The reactor of claim 29, wherein the wafer holder comprises a disk-shaped base plate and an independent annular ring.
37. The reactor of claim 36, wherein the ring supports the base plate and the recess comprises at least three radial grooves formed in an undersurface of the ring.
38. The reactor of claim 36, wherein the base plate supports the ring and the recess is formed between a base plate outer wall and a ring inner wall, and each of the support posts comprises an upwardly protruding peg having a radially outer surface and a radially inner surface, the outer peg surface of each support post positioned proximate the ring inner wall at the first temperature, the inner peg surface of each support post positioned proximate the base plate outer wall at the second temperature.
39. The reactor of claim 38, wherein the first temperature is lower than the second temperature.
40. The reactor of claim 38, wherein the recess comprises an annular groove defined by a base plate peripheral edge, a vertical groove inner wall, and an annular ring ledge extending radially inward from the groove inner wall.
41. The reactor of claim 40, wherein the recess further comprises at least three peg recesses corresponding to the support posts, the peg recesses extending radially outward from the annular groove, the ring inner wall comprising a peg recess back wall and the base plate outer wall comprising the base plate peripheral edge.
42. A low mass wafer holder for supporting a single substrate in a processing chamber, comprising:
a disc-shaped base plate;
an annular ring independent of the base plate;
an annular hanging portion integral with one of the ring and the base plate; and
an annular supporting portion integral with the other of the ring and the base plate, the supporting portion underlying and supporting the hanging portion.
43. The low mass wafer holder of claim 42, wherein the wafer holder has a thermal mass less than about three times a thermal mass of the substrate.
44. The low mass wafer holder of claim 43, wherein the thermal mass of the wafer holder is between about 0.5 and 2.0 times the thermal mass of the substrate.
45. The low mass wafer holder of claim 42, wherein the wafer holder consists essentially of silicon carbide.
46. The low mass wafer holder of claim 42, wherein the hanging portion comprises an overhang of the base plate, extending radially outward from a central portion of the base, and the supporting portion comprises a step of the ring, extending radially inward from a outer portion of the ring.
47. The low mass wafer holder of claim 46, wherein the outer portion of the ring comprises an annular shelf extending radially outward from the step and having a thickness equal to that of the central portion of the base plate, the thickness of the base overhang and the ring step selected such that an upper surface of the base plate is flush with an upper surface of the shelf and a lower surface of the base plate is flush with a lower surface of the ring.
48. The low mass wafer holder of claim 46, wherein the step of the ring includes a plurality of bumps defining an annular backside passage between the step and the overhang of the base plate.
49. The low mass wafer holder of claim 42, wherein the hanging portion comprises an overhanging ledge of the ring, extending radially inward from an outer portion of the ring, and the supporting portion comprises a peripheral portion of the base plate.
50. The low mass wafer holder of claim 42, further comprising a plurality of spacers supporting the substrate at a uniform height above the base plate.
51. A semiconductor reactor, comprising:
a reaction chamber;
a plurality of heat sources;
a wafer support structure for supporting a wafer, including a low mass wafer holder; and
a temperature sensor driving at least one of the heat sources, the temperature sensor sensing the temperature at a point vertically spaced from the wafer holder.
52. The reactor of claim 51, wherein maintaining the temperature sensor at about 1000° C. and moving the temperature sensor about 0.010 inch relative to the wafer holder results in steady state wafer temperature difference of less than about 10° C.
53. The reactor of claim 51, wherein the wafer support structure further comprises a support spider having at least three support posts engaged with an undersurface of the wafer holder, the support posts connected to a central spider hub spaced below the wafer holder, the temperature sensor comprising a thermocouple extending through the spider hub.
54. The reactor of claim 51, wherein the thermal mass of the wafer holder is between about 0.5 and 2.0 times the thermal mass of the wafer.
55. A low mass wafer holder for supporting a single substrate in a processing chamber, comprising an upper support surface including a plurality of open radial channels, each of the channels having a width less than the thermal diffusion length in the substrate, a total volume of the channels sufficient to permit lifting the substrate independently from the wafer holder.
56. The low mass wafer holder of claim 55, consisting essentially of silicon carbide.
57. The low mass wafer holder of claim 55, wherein the plurality of channels comprises 32 channels having a depth of about 0.010 inch and a width of about 0.015 inch.
58. The low mass wafer holder of claim 55, wherein the thermal mass of the wafer holder is between about 0.5 and 2.0 times the thermal mass of the substrate.
59. A wafer holder for supporting a substrate, the wafer holder having a thermal mass less than about five times the thermal mass of the substrate, comprising:
a base plate extending generally parallel with and spaced below the substrate;
an annular lip for peripherally supporting the substrate above the base plate, the lip having an inner face defining a gap between the substrate and the base plate; and
a gas passage communicating from an underside of the wafer holder to the gap between the substrate and the base plate.
60. The wafer holder of claim 59, consisting essentially of silicon carbide.
61. The wafer holder of claim 59, wherein the annular lip is integral with and extends inwardly from a ring.
62. The wafer holder of claim 61, wherein the ring supports the base plate.
63. The wafer holder of claim 62, wherein the base plate is supported upon a plurality of bumps on the ring, and the gas passage comprises an annular opening between the base plate and the ring.
64. The wafer holder of claim 63, wherein the gas passage further comprises at least one conduit extending inwardly and upwardly at an angle through the wafer holder from a lower surface of the ring.
65. The wafer holder of claim 59, wherein the gas passage comprises at least one conduit extending inwardly and upwardly at an angle through the annular lip.
66. The wafer holder of claim 65, wherein the gas passage comprises a plurality of conduits, the openings of which are spaced to align with a plurality of hollow support posts of a support spider.
US09/981,537 1997-11-03 2001-10-17 Low mass wafer support system Expired - Lifetime US6454865B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US09/981,537 US6454865B1 (en) 1997-11-03 2001-10-17 Low mass wafer support system
US10/200,465 US6893507B2 (en) 1997-11-03 2002-07-18 Self-centering wafer support system
US11/095,335 US20050183829A1 (en) 1997-11-03 2005-03-21 Low-mass susceptor improvements

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US6401697P 1997-11-03 1997-11-03
US18475798A 1998-11-02 1998-11-02
US09/981,537 US6454865B1 (en) 1997-11-03 2001-10-17 Low mass wafer support system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US18475798A Division 1997-11-03 1998-11-02

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/200,465 Continuation US6893507B2 (en) 1997-11-03 2002-07-18 Self-centering wafer support system

Publications (2)

Publication Number Publication Date
US20020043337A1 true US20020043337A1 (en) 2002-04-18
US6454865B1 US6454865B1 (en) 2002-09-24

Family

ID=22053008

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/981,537 Expired - Lifetime US6454865B1 (en) 1997-11-03 2001-10-17 Low mass wafer support system
US10/200,465 Expired - Lifetime US6893507B2 (en) 1997-11-03 2002-07-18 Self-centering wafer support system
US11/095,335 Abandoned US20050183829A1 (en) 1997-11-03 2005-03-21 Low-mass susceptor improvements

Family Applications After (2)

Application Number Title Priority Date Filing Date
US10/200,465 Expired - Lifetime US6893507B2 (en) 1997-11-03 2002-07-18 Self-centering wafer support system
US11/095,335 Abandoned US20050183829A1 (en) 1997-11-03 2005-03-21 Low-mass susceptor improvements

Country Status (6)

Country Link
US (3) US6454865B1 (en)
EP (1) EP1036406B1 (en)
JP (1) JP2001522142A (en)
KR (1) KR100660416B1 (en)
DE (1) DE69813014T2 (en)
WO (1) WO1999023691A2 (en)

Cited By (322)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060219176A1 (en) * 2005-03-16 2006-10-05 Ngk Insulators, Ltd. Processing device
US20080011330A1 (en) * 2004-12-14 2008-01-17 Sez Ag Apparatus And Method For Drying Disk-Shaped Substrates
US20080289574A1 (en) * 2007-05-24 2008-11-27 Asm America, Inc. Thermocouple
US20080314319A1 (en) * 2007-06-19 2008-12-25 Memc Electronic Materials, Inc. Susceptor for improving throughput and reducing wafer damage
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US20090165719A1 (en) * 2007-12-27 2009-07-02 Memc Electronic Materials, Inc. Epitaxial barrel susceptor having improved thickness uniformity
US20090272323A1 (en) * 2008-05-02 2009-11-05 Hideki Ito Susceptor, semiconductor manufacturing apparatus, and semiconductor manufacturing method
US20090308425A1 (en) * 2008-06-17 2009-12-17 Asm America, Inc. Thermocouple
US20100098519A1 (en) * 2008-10-17 2010-04-22 Memc Electronic Materials, Inc. Support for a semiconductor wafer in a high temperature environment
US20100145547A1 (en) * 2008-12-08 2010-06-10 Asm America, Inc. Thermocouple
US20100282163A1 (en) * 2009-05-06 2010-11-11 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US20100284438A1 (en) * 2009-05-06 2010-11-11 Asm America, Inc. Thermocouple
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US20140110057A1 (en) * 2012-10-20 2014-04-24 Applied Materials, Inc. Segmented focus ring assembly
US20140219905A1 (en) * 2009-06-08 2014-08-07 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US20150190835A1 (en) * 2010-08-20 2015-07-09 Applied Materials, Inc. Extended life deposition ring
US20150340266A1 (en) * 2014-05-21 2015-11-26 Applied Materials, Inc. Thermal processing susceptor
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US20170358423A1 (en) * 2016-06-08 2017-12-14 Sodick Co., Ltd. Apparatus for modifying surfaces of titanium implants made of titanium alloy
USD810705S1 (en) * 2016-04-01 2018-02-20 Veeco Instruments Inc. Self-centering wafer carrier for chemical vapor deposition
USD819580S1 (en) * 2016-04-01 2018-06-05 Veeco Instruments, Inc. Self-centering wafer carrier for chemical vapor deposition
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
USD876504S1 (en) * 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US20200234996A1 (en) * 2019-01-17 2020-07-23 Asm Ip Holding Bv Vented susceptor
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
CN111690911A (en) * 2020-06-30 2020-09-22 成都晔凡科技有限公司 Bearing device
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US20200395195A1 (en) * 2018-08-13 2020-12-17 Lam Research Corporation Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
CN112201610A (en) * 2020-09-30 2021-01-08 南京华易泰电子科技有限公司 Non-contact wafer supporting device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
TWI728554B (en) * 2018-12-25 2021-05-21 日商Sumco股份有限公司 Vapor phase deposition apparatus and method for manufacturing epitaxial silicon wafer
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
CN113053799A (en) * 2019-12-26 2021-06-29 昭和电工株式会社 Base seat
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US20210225684A1 (en) * 2020-01-19 2021-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Workpiece holder, wafer chuck, wafer holding method
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11201079B2 (en) * 2018-05-30 2021-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer chuck
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11302565B2 (en) 2016-07-13 2022-04-12 Siltronic Ag Device for handling a semiconductor wafer in an epitaxy reactor and method for producing a semiconductor wafer having an epitaxial layer
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
USD958764S1 (en) 2019-01-17 2022-07-26 Asm Ip Holding B.V. Higher temperature vented susceptor
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11404302B2 (en) 2019-05-22 2022-08-02 Asm Ip Holding B.V. Substrate susceptor using edge purging
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
WO2022240593A1 (en) * 2021-05-12 2022-11-17 Applied Materials, Inc. Low mass substrate support
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN113053799B (en) * 2019-12-26 2024-04-26 株式会社力森诺科 Base seat

Families Citing this family (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US6026589A (en) * 1998-02-02 2000-02-22 Silicon Valley Group, Thermal Systems Llc Wafer carrier and semiconductor apparatus for processing a semiconductor substrate
JP3076791B2 (en) * 1998-10-19 2000-08-14 アプライド マテリアルズ インコーポレイテッド Semiconductor manufacturing equipment
US6143079A (en) * 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
US6537011B1 (en) * 2000-03-10 2003-03-25 Applied Materials, Inc. Method and apparatus for transferring and supporting a substrate
US6692219B2 (en) 2000-11-29 2004-02-17 Tokyo Electron Limited Reduced edge contact wafer handling system and method of retrofitting and using same
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
JP4323764B2 (en) * 2002-07-16 2009-09-02 大日本スクリーン製造株式会社 Heat treatment equipment
US6861321B2 (en) 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
JP3908112B2 (en) * 2002-07-29 2007-04-25 Sumco Techxiv株式会社 Susceptor, epitaxial wafer manufacturing apparatus and epitaxial wafer manufacturing method
US7704327B2 (en) * 2002-09-30 2010-04-27 Applied Materials, Inc. High temperature anneal with improved substrate support
US9627244B2 (en) 2002-12-20 2017-04-18 Mattson Technology, Inc. Methods and systems for supporting a workpiece and for heat-treating the workpiece
DE10261362B8 (en) * 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrate holder
US6885206B2 (en) * 2003-02-11 2005-04-26 Strasbaugh Device for supporting thin semiconductor wafers
US7077973B2 (en) 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
EP1654752B1 (en) * 2003-08-01 2011-06-29 SGL Carbon SE Holder for supporting wafers during semiconductor manufacture
JP4441222B2 (en) * 2003-08-29 2010-03-31 出光興産株式会社 Chlorinated propylene-based polymer, production method thereof, and use thereof
US7128806B2 (en) 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
TWI365519B (en) * 2003-12-19 2012-06-01 Mattson Tech Canada Inc Apparatuses and methods for suppressing thermally induced motion of a workpiece
KR101112029B1 (en) * 2004-02-13 2012-03-21 에이에스엠 아메리카, 인코포레이티드 Substrate Support System for Reduced Autodoping and Backside Deposition
JPWO2005111266A1 (en) * 2004-05-18 2008-03-27 株式会社Sumco Susceptor for vapor phase growth equipment
GB2414858A (en) * 2004-06-03 2005-12-07 Nanobeam Ltd A workpiece or specimen support assembly for a charged particle beam system
DE602004010190T2 (en) * 2004-07-30 2008-11-06 Lpe S.P.A. EPITAXIEREACTOR WITH SUSCEPTOR-CONTROLLED POSITIONING
US7396022B1 (en) * 2004-09-28 2008-07-08 Kla-Tencor Technologies Corp. System and method for optimizing wafer flatness at high rotational speeds
JP2006124758A (en) * 2004-10-27 2006-05-18 Komatsu Electronic Metals Co Ltd Susceptor, epitaxial wafer production apparatus and epitaxial wafer production method
US8052030B2 (en) * 2005-01-24 2011-11-08 The Boeing Company Apparatus for friction stir welding using spindle-in-spindle
US7745762B2 (en) * 2005-06-01 2010-06-29 Mattson Technology, Inc. Optimizing the thermal budget during a pulsed heating process
TWI327339B (en) * 2005-07-29 2010-07-11 Nuflare Technology Inc Vapor phase growing apparatus and vapor phase growing method
JP2007042909A (en) * 2005-08-04 2007-02-15 Sumitomo Electric Ind Ltd Wafer holder and wafer prober mounted with the same
TWI327761B (en) * 2005-10-07 2010-07-21 Rohm & Haas Elect Mat Method for making semiconductor wafer and wafer holding article
KR101332206B1 (en) * 2005-12-02 2013-11-25 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. Semiconductor processing
US8603248B2 (en) * 2006-02-10 2013-12-10 Veeco Instruments Inc. System and method for varying wafer surface temperature via wafer-carrier temperature offset
JP4868503B2 (en) * 2006-03-30 2012-02-01 Sumco Techxiv株式会社 Epitaxial wafer manufacturing method
EP2038456B1 (en) * 2006-06-09 2014-03-05 Soitec System and process for high volume deposition of gallium nitride
CN101479840B (en) * 2006-06-30 2010-12-22 Memc电子材料有限公司 Wafer platform
US8951351B2 (en) * 2006-09-15 2015-02-10 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced backside deposition and defects
US8852349B2 (en) * 2006-09-15 2014-10-07 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
US9481944B2 (en) 2006-11-22 2016-11-01 Soitec Gas injectors including a funnel- or wedge-shaped channel for chemical vapor deposition (CVD) systems and CVD systems with the same
WO2008064077A2 (en) * 2006-11-22 2008-05-29 S.O.I.Tec Silicon On Insulator Technologies Methods for high volume manufacture of group iii-v semiconductor materials
EP2084304B1 (en) 2006-11-22 2013-06-26 Soitec Method and apparatus for the epitaxial deposition of monocrystalline group iii-v semiconductor material using gallium trichloride
US20090223441A1 (en) * 2006-11-22 2009-09-10 Chantal Arena High volume delivery system for gallium trichloride
KR101353334B1 (en) * 2006-11-22 2014-02-18 소이텍 Abatement of reaction gases from gallium nitride deposition
JP5575483B2 (en) 2006-11-22 2014-08-20 ソイテック Mass production equipment for III-V semiconductor materials
WO2008130448A2 (en) * 2006-11-22 2008-10-30 S.O.I.Tec Silicon On Insulator Technologies Temperature-controlled purge gate valve for chemical vapor deposition chamber
US9481943B2 (en) 2006-11-22 2016-11-01 Soitec Gallium trichloride injection scheme
WO2008092682A1 (en) * 2007-02-01 2008-08-07 Ibp Conex Limited Insertion and release tool for pipe fitting arrangement and method using such tool
US8057602B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US8057601B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20090025636A1 (en) * 2007-07-27 2009-01-29 Applied Materials, Inc. High profile minimum contact process kit for hdp-cvd application
JP5038073B2 (en) * 2007-09-11 2012-10-03 株式会社ニューフレアテクノロジー Semiconductor manufacturing apparatus and semiconductor manufacturing method
FR2923946A1 (en) * 2007-11-21 2009-05-22 Alcatel Lucent Sas EQUIPMENT FOR MANUFACTURING SEMICONDUCTORS, PUMPING DEVICE AND CORRESPONDING SUBSTRATE HOLDER
WO2009085561A2 (en) * 2007-12-20 2009-07-09 S.O.I.Tec Silicon On Insulator Technologies Methods for in-situ chamber cleaning process for high volume manufacture of semiconductor materials
US20090165721A1 (en) * 2007-12-27 2009-07-02 Memc Electronic Materials, Inc. Susceptor with Support Bosses
JP5156446B2 (en) * 2008-03-21 2013-03-06 株式会社Sumco Susceptor for vapor phase growth equipment
EP2289095B1 (en) * 2008-05-02 2019-07-03 Applied Materials, Inc. System for non radial temperature control for rotating substrates
US20090280248A1 (en) * 2008-05-06 2009-11-12 Asm America, Inc. Porous substrate holder with thinned portions
DE102008023054B4 (en) * 2008-05-09 2011-12-22 Siltronic Ag Process for producing an epitaxied semiconductor wafer
US9070590B2 (en) 2008-05-16 2015-06-30 Mattson Technology, Inc. Workpiece breakage prevention method and apparatus
CN105810630A (en) * 2008-08-29 2016-07-27 威科仪器有限公司 Wafer carrier with varying thermal resistance
US8287650B2 (en) * 2008-09-10 2012-10-16 Applied Materials, Inc. Low sloped edge ring for plasma processing chamber
US8801857B2 (en) 2008-10-31 2014-08-12 Asm America, Inc. Self-centering susceptor ring assembly
US8209833B2 (en) * 2008-11-07 2012-07-03 Tokyo Electron Limited Thermal processing system and method of using
JP5359698B2 (en) * 2009-08-31 2013-12-04 豊田合成株式会社 Compound semiconductor manufacturing apparatus, compound semiconductor manufacturing method, and compound semiconductor
JP5038381B2 (en) * 2009-11-20 2012-10-03 株式会社東芝 Susceptor and deposition system
SG183432A1 (en) * 2010-02-24 2012-09-27 Veeco Instr Inc Processing methods and apparatus with temperature distribution control
JP5604907B2 (en) * 2010-02-25 2014-10-15 信越半導体株式会社 Semiconductor substrate support susceptor for vapor phase growth, epitaxial wafer manufacturing apparatus, and epitaxial wafer manufacturing method
US8920564B2 (en) * 2010-07-02 2014-12-30 Applied Materials, Inc. Methods and apparatus for thermal based substrate processing with variable temperature capability
JP5707766B2 (en) * 2010-07-28 2015-04-30 住友電気工業株式会社 Susceptor and semiconductor manufacturing equipment
US8591700B2 (en) 2010-08-19 2013-11-26 Stmicroelectronics Pte Ltd. Susceptor support system
JP5477314B2 (en) * 2011-03-04 2014-04-23 信越半導体株式会社 Susceptor and epitaxial wafer manufacturing method using the same
US20120318455A1 (en) * 2011-06-14 2012-12-20 Andreas Fischer Passive compensation for temperature-dependent wafer gap changes in plasma processing systems
US20130025538A1 (en) * 2011-07-27 2013-01-31 Applied Materials, Inc. Methods and apparatus for deposition processes
WO2013022892A1 (en) * 2011-08-11 2013-02-14 Nikon Corporation Intermittent temperature control of movable optical elements
US9099514B2 (en) * 2012-03-21 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer holder with tapered region
US9960059B2 (en) * 2012-03-30 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Honeycomb heaters for integrated circuit manufacturing
US10316412B2 (en) 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
JP5513578B2 (en) * 2012-10-05 2014-06-04 株式会社ニューフレアテクノロジー Susceptor, semiconductor manufacturing apparatus and semiconductor manufacturing method
WO2014065955A1 (en) 2012-10-24 2014-05-01 Applied Materials, Inc. Minimal contact edge ring for rapid thermal processing
CN102983093B (en) * 2012-12-03 2016-04-20 安徽三安光电有限公司 A kind of graphite carrier for LED epitaxial wafer processing procedure
US9589818B2 (en) * 2012-12-20 2017-03-07 Lam Research Ag Apparatus for liquid treatment of wafer shaped articles and liquid control ring for use in same
US9123765B2 (en) 2013-03-11 2015-09-01 Applied Materials, Inc. Susceptor support shaft for improved wafer temperature uniformity and process repeatability
US9273413B2 (en) 2013-03-14 2016-03-01 Veeco Instruments Inc. Wafer carrier with temperature distribution control
WO2014143499A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Susceptor support shaft with uniformity tuning lenses for epi process
US10167571B2 (en) 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
USD766849S1 (en) * 2013-05-15 2016-09-20 Ebara Corporation Substrate retaining ring
CN111180305A (en) * 2013-06-26 2020-05-19 应用材料公司 Single loop design for high throughput, substrate extreme edge defect reduction in ICP plasma processing chambers
US9275868B2 (en) 2013-07-19 2016-03-01 Globalfoundries Inc. Uniform roughness on backside of a wafer
DE102013012082A1 (en) * 2013-07-22 2015-01-22 Aixtron Se Device for the thermal treatment of a semiconductor substrate, in particular for applying a coating
US20150037019A1 (en) * 2013-08-02 2015-02-05 Applied Materials, Inc. Susceptor support shaft and kinematic mount
US9330955B2 (en) 2013-12-31 2016-05-03 Applied Materials, Inc. Support ring with masked edge
JP6559706B2 (en) 2014-01-27 2019-08-14 ビーコ インストルメンツ インコーポレイテッド Wafer carrier with holding pockets with compound radius for chemical vapor deposition systems
US9517539B2 (en) * 2014-08-28 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer susceptor with improved thermal characteristics
US10269614B2 (en) * 2014-11-12 2019-04-23 Applied Materials, Inc. Susceptor design to reduce edge thermal peak
US10242848B2 (en) * 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
WO2016154052A1 (en) 2015-03-25 2016-09-29 Applied Materials, Inc. Chamber components for epitaxial growth apparatus
US10438795B2 (en) 2015-06-22 2019-10-08 Veeco Instruments, Inc. Self-centering wafer carrier system for chemical vapor deposition
SG11201708235WA (en) * 2015-06-22 2017-11-29 Veeco Instr Inc Self-centering wafer carrier system for chemical vapor deposition
US20170032992A1 (en) * 2015-07-31 2017-02-02 Infineon Technologies Ag Substrate carrier, a method and a processing device
WO2017062141A1 (en) * 2015-10-04 2017-04-13 Applied Materials, Inc. Substrate support and baffle apparatus
USD785578S1 (en) * 2016-03-22 2017-05-02 Asm Ip Holding B.V. Substrate supporting arm for semiconductor manufacturing apparatus
KR20180122023A (en) * 2016-03-28 2018-11-09 어플라이드 머티어리얼스, 인코포레이티드 The susceptor support
US20170353994A1 (en) * 2016-06-06 2017-12-07 Applied Materials, Inc. Self-centering pedestal heater
US9698042B1 (en) 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
JP2018026503A (en) * 2016-08-12 2018-02-15 株式会社Sumco Susceptor, epitaxial growth system, and manufacturing method of epitaxial wafer
DE102016115614A1 (en) * 2016-08-23 2018-03-01 Aixtron Se Susceptor for a CVD reactor
US20180102247A1 (en) * 2016-10-06 2018-04-12 Asm Ip Holding B.V. Substrate processing apparatus and method of manufacturing semiconductor device
US10777442B2 (en) * 2016-11-18 2020-09-15 Applied Materials, Inc. Hybrid substrate carrier
JP7077331B2 (en) * 2017-02-28 2022-05-30 エスジーエル・カーボン・エスイー Substrate carrier structure
KR102417931B1 (en) * 2017-05-30 2022-07-06 에이에스엠 아이피 홀딩 비.브이. Substrate supporting device and substrate processing apparatus including the same
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
US11217474B2 (en) * 2019-12-06 2022-01-04 Government Of The United States Of America, As Represented By The Secretary Of Commerce Non-vacuum, non-contact spinner wafer chuck
CN111128845B (en) * 2019-12-16 2022-10-21 北京北方华创微电子装备有限公司 Tray applied to thin film deposition device
US20230260824A1 (en) * 2022-02-14 2023-08-17 Applied Materials, Inc. Vacuum chucking of a substrate within a carrier

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3407783A (en) 1964-08-31 1968-10-29 Emil R. Capita Vapor deposition apparatus
US3549847A (en) 1967-04-18 1970-12-22 Gen Electric Graphite susceptor
JPS4930319B1 (en) 1969-08-29 1974-08-12
US4522149A (en) 1983-11-21 1985-06-11 General Instrument Corp. Reactor and susceptor for chemical vapor deposition process
US4560420A (en) 1984-06-13 1985-12-24 At&T Technologies, Inc. Method for reducing temperature variations across a semiconductor wafer during heating
JPS6169116A (en) 1984-09-13 1986-04-09 Toshiba Ceramics Co Ltd Susceptor for continuous cvd coating on silicon wafer
NL8602356A (en) 1985-10-07 1987-05-04 Epsilon Ltd Partnership APPARATUS AND METHOD FOR AN AXIAL SYMMETRICAL REACTOR FOR THE CHEMICAL VAPORIZATION.
US5200157A (en) 1986-02-17 1993-04-06 Toshiba Ceramics Co., Ltd. Susceptor for vapor-growth deposition
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPS63186422A (en) 1987-01-28 1988-08-02 Tadahiro Omi Wafer susceptor
US4978567A (en) * 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
KR0155545B1 (en) 1988-06-27 1998-12-01 고다까 토시오 Apparatus for heat-treating a substrate
US5306699A (en) 1988-08-31 1994-04-26 Superconductor Technologies, Inc. Reactor vessel for manufacture of superconducting films
JP2731855B2 (en) 1989-02-14 1998-03-25 アネルバ株式会社 Low pressure vapor phase growth equipment
US5156820A (en) 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US5119540A (en) 1990-07-24 1992-06-09 Cree Research, Inc. Apparatus for eliminating residual nitrogen contamination in epitaxial layers of silicon carbide and resulting product
US4990374A (en) 1989-11-28 1991-02-05 Cvd Incorporated Selective area chemical vapor deposition
US5108792A (en) 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
DE69126724T2 (en) * 1990-03-19 1998-01-15 Toshiba Kawasaki Kk Device for vapor phase separation
US5098198A (en) * 1990-04-19 1992-03-24 Applied Materials, Inc. Wafer heating and monitor module and method of operation
US5094885A (en) 1990-10-12 1992-03-10 Genus, Inc. Differential pressure cvd chuck
US5121531A (en) 1990-07-06 1992-06-16 Applied Materials, Inc. Refractory susceptors for epitaxial deposition apparatus
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5298465A (en) 1990-08-16 1994-03-29 Applied Materials, Inc. Plasma etching system
US5044943A (en) 1990-08-16 1991-09-03 Applied Materials, Inc. Spoked susceptor support for enhanced thermal uniformity of susceptor in semiconductor wafer processing apparatus
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5356486A (en) 1991-03-04 1994-10-18 Applied Materials, Inc. Combined wafer support and temperature monitoring device
US5199483A (en) 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5393349A (en) 1991-08-16 1995-02-28 Tokyo Electron Sagami Kabushiki Kaisha Semiconductor wafer processing apparatus
US5332442A (en) 1991-11-15 1994-07-26 Tokyo Electron Kabushiki Kaisha Surface processing apparatus
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5308645A (en) 1992-08-07 1994-05-03 Delco Electronics Corporation Method and apparatus for through hole substrate printing
US5803977A (en) 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
US5343938A (en) 1992-12-24 1994-09-06 Vlsi Technology, Inc. Method and apparatus for thermally insulating a wafer support
EP0606751B1 (en) * 1993-01-13 2002-03-06 Applied Materials, Inc. Method for depositing polysilicon films having improved uniformity and apparatus therefor
US5444217A (en) 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
EP0611273B1 (en) 1993-02-08 1998-09-16 SEZ Semiconductor-Equipment Zubehör für die Halbleiterfertigung AG Holder for disc-like articles
US5421893A (en) * 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
NL9300389A (en) 1993-03-04 1994-10-03 Xycarb Bv Substrate carrier.
US5738165A (en) 1993-05-07 1998-04-14 Nikon Corporation Substrate holding apparatus
JPH0711446A (en) 1993-05-27 1995-01-13 Applied Materials Inc Suscepter device for vapor growth
EP0634785B1 (en) * 1993-07-13 1997-07-23 Applied Materials, Inc. Improved susceptor design
JPH0758039A (en) 1993-08-20 1995-03-03 Toshiba Ceramics Co Ltd Susceptor
US5549756A (en) 1994-02-02 1996-08-27 Applied Materials, Inc. Optical pyrometer for a thin film deposition system
US5467220A (en) 1994-02-18 1995-11-14 Applied Materials, Inc. Method and apparatus for improving semiconductor wafer surface temperature uniformity
EP0669640A1 (en) 1994-02-25 1995-08-30 Applied Materials, Inc. Susceptor for deposition apparatus
US5645646A (en) 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5514439A (en) 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5700725A (en) 1995-06-26 1997-12-23 Lucent Technologies Inc. Apparatus and method for making integrated circuits
JP3430277B2 (en) * 1995-08-04 2003-07-28 東京エレクトロン株式会社 Single wafer heat treatment equipment
US5551985A (en) 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
US6086680A (en) * 1995-08-22 2000-07-11 Asm America, Inc. Low-mass susceptor
JPH09181155A (en) * 1995-09-29 1997-07-11 Applied Materials Inc Susceptor of depositing equipment
US5584936A (en) * 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US6395363B1 (en) 1996-11-05 2002-05-28 Applied Materials, Inc. Sloped substrate support
US6090212A (en) * 1997-08-15 2000-07-18 Micro C Technologies, Inc. Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate

Cited By (419)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080011330A1 (en) * 2004-12-14 2008-01-17 Sez Ag Apparatus And Method For Drying Disk-Shaped Substrates
US20060219176A1 (en) * 2005-03-16 2006-10-05 Ngk Insulators, Ltd. Processing device
US7874726B2 (en) 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US20080289574A1 (en) * 2007-05-24 2008-11-27 Asm America, Inc. Thermocouple
US20080314319A1 (en) * 2007-06-19 2008-12-25 Memc Electronic Materials, Inc. Susceptor for improving throughput and reducing wafer damage
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US20090165719A1 (en) * 2007-12-27 2009-07-02 Memc Electronic Materials, Inc. Epitaxial barrel susceptor having improved thickness uniformity
US8404049B2 (en) 2007-12-27 2013-03-26 Memc Electronic Materials, Inc. Epitaxial barrel susceptor having improved thickness uniformity
US20090272323A1 (en) * 2008-05-02 2009-11-05 Hideki Ito Susceptor, semiconductor manufacturing apparatus, and semiconductor manufacturing method
US8999063B2 (en) 2008-05-02 2015-04-07 Nuflare Technology, Inc. Susceptor, semiconductor manufacturing apparatus, and semiconductor manufacturing method
US20090308425A1 (en) * 2008-06-17 2009-12-17 Asm America, Inc. Thermocouple
US7946762B2 (en) 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
US20100098519A1 (en) * 2008-10-17 2010-04-22 Memc Electronic Materials, Inc. Support for a semiconductor wafer in a high temperature environment
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8262287B2 (en) 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US20100145547A1 (en) * 2008-12-08 2010-06-10 Asm America, Inc. Thermocouple
US8616765B2 (en) 2008-12-08 2013-12-31 Asm America, Inc. Thermocouple
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US20100282163A1 (en) * 2009-05-06 2010-11-11 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US20100284438A1 (en) * 2009-05-06 2010-11-11 Asm America, Inc. Thermocouple
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
WO2010129428A1 (en) * 2009-05-06 2010-11-11 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US8100583B2 (en) 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US9267850B2 (en) 2009-05-06 2016-02-23 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US20140219905A1 (en) * 2009-06-08 2014-08-07 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20150190835A1 (en) * 2010-08-20 2015-07-09 Applied Materials, Inc. Extended life deposition ring
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140110057A1 (en) * 2012-10-20 2014-04-24 Applied Materials, Inc. Segmented focus ring assembly
US10276354B2 (en) * 2012-10-20 2019-04-30 Applied Materials, Inc. Segmented focus ring assembly
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10062598B2 (en) * 2014-05-21 2018-08-28 Applied Materials, Inc. Thermal processing susceptor
US10930543B2 (en) 2014-05-21 2021-02-23 Applied Materials, Inc. Thermal processing susceptor
US11848226B2 (en) 2014-05-21 2023-12-19 Applied Materials, Inc. Thermal processing susceptor
US20150340266A1 (en) * 2014-05-21 2015-11-26 Applied Materials, Inc. Thermal processing susceptor
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
USD810705S1 (en) * 2016-04-01 2018-02-20 Veeco Instruments Inc. Self-centering wafer carrier for chemical vapor deposition
USD819580S1 (en) * 2016-04-01 2018-06-05 Veeco Instruments, Inc. Self-centering wafer carrier for chemical vapor deposition
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10115560B2 (en) * 2016-06-08 2018-10-30 Sodick Co., Ltd. Apparatus for modifying surfaces of titanium implants made of titanium alloy
US20170358423A1 (en) * 2016-06-08 2017-12-14 Sodick Co., Ltd. Apparatus for modifying surfaces of titanium implants made of titanium alloy
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11302565B2 (en) 2016-07-13 2022-04-12 Siltronic Ag Device for handling a semiconductor wafer in an epitaxy reactor and method for producing a semiconductor wafer having an epitaxial layer
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) * 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11201079B2 (en) * 2018-05-30 2021-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer chuck
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US20200395195A1 (en) * 2018-08-13 2020-12-17 Lam Research Corporation Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features
EP3837713A4 (en) * 2018-08-13 2022-07-20 Lam Research Corporation Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features
US11798789B2 (en) * 2018-08-13 2023-10-24 Lam Research Corporation Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
CN113508452A (en) * 2018-12-25 2021-10-15 胜高股份有限公司 Vapor deposition apparatus and method for manufacturing epitaxial silicon wafer
US11846039B2 (en) 2018-12-25 2023-12-19 Sumco Corporation Vapor deposition device and method for manufacturing epitaxial silicon wafer
TWI728554B (en) * 2018-12-25 2021-05-21 日商Sumco股份有限公司 Vapor phase deposition apparatus and method for manufacturing epitaxial silicon wafer
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
USD958764S1 (en) 2019-01-17 2022-07-26 Asm Ip Holding B.V. Higher temperature vented susceptor
US20200234996A1 (en) * 2019-01-17 2020-07-23 Asm Ip Holding Bv Vented susceptor
US11961756B2 (en) * 2019-01-17 2024-04-16 Asm Ip Holding B.V. Vented susceptor
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11404302B2 (en) 2019-05-22 2022-08-02 Asm Ip Holding B.V. Substrate susceptor using edge purging
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US20210202294A1 (en) * 2019-12-26 2021-07-01 Showa Denko K.K. Susceptor
CN113053799B (en) * 2019-12-26 2024-04-26 株式会社力森诺科 Base seat
CN113053799A (en) * 2019-12-26 2021-06-29 昭和电工株式会社 Base seat
US20210225684A1 (en) * 2020-01-19 2021-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Workpiece holder, wafer chuck, wafer holding method
US11791192B2 (en) * 2020-01-19 2023-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Workpiece holder, wafer chuck, wafer holding method
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
CN111690911A (en) * 2020-06-30 2020-09-22 成都晔凡科技有限公司 Bearing device
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN112201610A (en) * 2020-09-30 2021-01-08 南京华易泰电子科技有限公司 Non-contact wafer supporting device
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
WO2022240593A1 (en) * 2021-05-12 2022-11-17 Applied Materials, Inc. Low mass substrate support
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
US6893507B2 (en) 2005-05-17
EP1036406A2 (en) 2000-09-20
US20030029571A1 (en) 2003-02-13
KR20010031715A (en) 2001-04-16
WO1999023691A3 (en) 1999-08-05
EP1036406B1 (en) 2003-04-02
KR100660416B1 (en) 2006-12-22
WO1999023691A2 (en) 1999-05-14
US20050183829A1 (en) 2005-08-25
JP2001522142A (en) 2001-11-13
DE69813014T2 (en) 2004-02-12
DE69813014D1 (en) 2003-05-08
US6454865B1 (en) 2002-09-24

Similar Documents

Publication Publication Date Title
US6454865B1 (en) Low mass wafer support system
US6776849B2 (en) Wafer holder with peripheral lift ring
US6086680A (en) Low-mass susceptor
EP1209251B1 (en) Temperature control system for wafer
US6709267B1 (en) Substrate holder with deep annular groove to prevent edge heat loss
JP4247429B2 (en) Substrate holder, susceptor and substrate holder manufacturing method
US7070660B2 (en) Wafer holder with stiffening rib
US20050092439A1 (en) Low/high temperature substrate holder to reduce edge rolloff and backside damage
US20030168174A1 (en) Gas cushion susceptor system
WO1998032893A9 (en) Wafer support system
US11764101B2 (en) Susceptor for semiconductor substrate processing
US6861321B2 (en) Method of loading a wafer onto a wafer holder to reduce thermal shock
US6971835B2 (en) Vapor-phase epitaxial growth method
EP1308989A2 (en) Improved low mass wafer support system

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FEPP Fee payment procedure

Free format text: PAYER NUMBER DE-ASSIGNED (ORIGINAL EVENT CODE: RMPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12