US20020070375A1 - Stress tunable tantalum and tantalum nitride films - Google Patents

Stress tunable tantalum and tantalum nitride films Download PDF

Info

Publication number
US20020070375A1
US20020070375A1 US10/060,827 US6082702A US2002070375A1 US 20020070375 A1 US20020070375 A1 US 20020070375A1 US 6082702 A US6082702 A US 6082702A US 2002070375 A1 US2002070375 A1 US 2002070375A1
Authority
US
United States
Prior art keywords
film
tan
stress
residual
tantalum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/060,827
Inventor
Tony Chiang
Peijun Ding
Barry Chin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/060,827 priority Critical patent/US20020070375A1/en
Publication of US20020070375A1 publication Critical patent/US20020070375A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • C23C14/165Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0042Controlling partial pressure or flow rate of reactive or inert gases with feedback of measurements
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3492Variation of parameters during sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5826Treatment with charged particles
    • C23C14/5833Ion beam bombardment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention pertains to tantalum and tantalum nitride films which can be stress tuned to be in tension or in compression or to have a particularly low stress, and to a method of producing such films. These stress tuned films are particularly useful in semiconductor interconnect structures where they can be used to balance the stress within a stack of layers which includes a combination of barrier layers, wetting layers, and conductive layers, for example. Tge low stress tantalum and tantalum nitride films are particularly suited for the lining of vias and trenches having a high 1:1 aspect ratio.
  • a typical process for producing a multilevel structure having feature sizes in the range of 0.5 micron ( ⁇ m) or less would include: blanket deposition of a dielectric material; patterning of the dielectric material to form openings; deposition of a diffusion barrier layer and, optionally, a wetting layer to line the openings; deposition of a conductive material onto the substrate in sufficient thickness to fill the openings; and removal of excessive conductive material from the substrate surface using a chemical, mechanical, or combined chemical-mechanical polishing techniques.
  • Future technological requirements have placed a focus on the replacement of aluminium (and aluminum alloys) by copper as the conductive material. As a result, there is an increased interest in tantalum nitride barrier layers and in tantalum barrier/wetting layers which are preferred for use in combination with copper.
  • Tantalum nitride barrier films Ta 2 N and TaN x have been shown to function up to 700° C. and 750° C., respectively, without the diffusion of copper into an underlying silicon (Si) substrate. Tantalum barrier/wetting films have been shown to function at temperatures of approximately 500° C. It is advantageous in terms of processing simplicity to sputter the barrier and or wetting layers underlaying the copper. Tantalum nitride barrier layers are most commonly prepared using reactive physical sputtering, typically with magnetron cathodes, where the sputtering target is tantalum and nitrogen is introduced into the reaction chamber.
  • the improved directionality is achieved by increasing the distance between the cathode and the workpiece surface (the throw) and by reducing the argon pressure during sputtering.
  • a throw distance of 25 cm is said to be approximately equal to an interposed collimator of aspect ratio near 1.0.
  • Gamma sputtering use of this “long throw” technique with traditional, non-collimated magnetron sputtering at low pressures.
  • Gamma sputtering enables the deposition of thin, conformal coatings on sidewalls of a trench having an aspect ratio of 2.8:1 for 0.5 ⁇ m-wide trench features.
  • a relatively high film residual compressive stress in the range of about ⁇ 1.0 ⁇ 10 +10 to about ⁇ 5.0 ⁇ 10 +10 dynes/cm 2 .
  • High film residual compressive stress in the range described above can cause a Ta film or a tantalum nitride (e.g. Ta 2 N or TaN) film to peel off from the underlying substrate (typically silicon oxide dielectric).
  • the film stress can cause feature distortion on the substrate (typically a silicon wafer) surface or even deformation of a thin wafer.
  • a method of reducing the residual stress in a Ta barrier/wetting film or a Ta 2 N or TaN barrier film would be beneficial in enabling the execution of subsequent process steps without delamination of such films from trench and via sidewalls or other interconnect features. This reduces the number of particles generated, increasing device yield during production. In addition, a film having a near zero stress condition improves the reliability of the device itself.
  • Ta and TaN x tantalum nitride
  • Process variables of particular interest for sputter applied Ta and TaN x films include the following.
  • An increase in the power to the sputtering target typically DC
  • An increase in the process chamber pressure i.e. the concentration of various gases and ions present in the chamber
  • An increase in the substrate DC offset bias voltage typically an increase in the applied AC as substrate bias power
  • the substrate temperature during deposition of the film also affects the film residual stress.
  • an increase in the process chamber pressure-and an increase in the substrate offset bias most significantly affect the tensile and compressive stress components, respectively.
  • the most advantageous tuning of a sputtered film is achieved using Ion Metal Plasma (IMP) as the film deposition method.
  • IMP Ion Metal Plasma
  • This sputtering method provides for particular control over the ion bombardment of the depositing film surface. When it is desired to produce a film having minimal residual stress, particular care must be taken to control the amount of ion bombardment of the depositing film surface, as an excess of such ion bombardment can result in an increase in the residual compressive stress component in the deposited film.
  • Tantalum (Ta) films deposited using the IMP method typically exhibit a residual stress ranging from about +1 ⁇ 10 +10 dynes/cm 2 (tensile stress) to about ⁇ 2 ⁇ 10 +10 dynes/cm 2 (compressive stress), depending on the process variables described above. Tantalum nitride (TaN x ) films deposited using the IMP method typically can be tuned to exhibit a residual stress within the same range as that specified above with reference to Ta films. We have been able to reduce the residual stress in either the Ta or TaN x films to low values ranging from about +1 ⁇ 10 +9 to about ⁇ 2 ⁇ 10 +9 dynes/cm 2 using tuning techniques described herein.
  • film residual stress values are significantly less than observed for traditionally sputtered films and for Gamma-sputtered films.
  • This reduction in film residual compressive stress is particularly attributed to bombardment of the film surface by IMP-generated ions during the film deposition process. Heavy bombardment of the film surface by IMP-generated ions can increase the film residual compressive stress, so when it is desired to minimize the film compressive stress, the ion bombardment should be optimized for this purpose.
  • Other process variables which may be used in tuning the film stress include the spacing between the sputter target and the substrate surface to be sputter deposited; ion bombardment subsequent to film deposition; and annealing of the film during or after deposition.
  • FIG. 1 is a graph showing the residual stress in an IMP deposited Ta film as a function of DC power to the Ta target, RF power to the IMP ionization coil, and the pressure in the process chamber.
  • FIG. 2A is a contour plot showing the IMP deposited Ta film residual stress in dynes/cm 2 as a function of the DC power to the Ta target and the process chamber pressure, when the RF power to the ionization coil is 1 kW.
  • FIG. 2B is a contour plot showing the residual stress in an IMP deposited Ta film as a function of the same variables illustrated in FIG. 2A, when the RF power to the ionization coil is 3 kW.
  • FIG. 3 is a graph showing the residual stress in an IMP deposited Ta film as a function of the substrate offset bias, and in particular as a function of the AC bias power (typically the AC power is coupled to the substrate through the substrate heater which is in electrical contact with the substrate).
  • FIG. 4 is a graph showing the chemical composition of a Gamma-sputtered tantalum nitride film, as a function of the nitrogen gas flow rate to the sputtering process chamber.
  • FIG. 4 shows the resistivity and the structure of the tantalum nitride compound, which is in conformance with the nitrogen content of the compound.
  • FIG. 5 is a graph showing the film composition of a reactive IMP-deposited tantalum nitride film, as a function of the nitrogen gas flow rate to the process chamber. Again, the resistivity of the film is indicative of the various film structures created as the nitrogen content of the film is increased.
  • FIG. 6 is a graph showing the residual film stress for Gamma-sputtered tantalum nitride film as a function of the nitrogen gas flow rate to the sputtering process chamber and as a function of the temperature at which the film is deposited.
  • FIG. 7 is a graph showing the residual film stress for reactive IMP sputtered tantalum nitride film as a function of the nitrogen gas flow rate to the sputtering process chamber.
  • the present invention pertains to stress tunable tantalum and tantalum nitride films and to a method of producing such films.
  • residual film stress can be tuned by controlling particular process variables such as process chamber pressure, DC offset bias voltage, power to the sputtering target and substrate temperature during film deposition.
  • process chamber pressure When IMP sputtering is used, a variation in the power to the ionization coil can be used for tuning. Ion bombardment of the depositing film surface is particularly useful in controlling residual film stress.
  • a semiconductor includes a variety of different materials which are known to have the behavioral characteristics of a semiconductor
  • reference to a “plasma” includes a gas or gas reactants activated by an RF glow discharge
  • reference to “copper” includes alloys thereof.
  • Film stress values were measured using a Tencor® Flexus FLX 3200 machine available from Tencor Corporation, Mountain View, Calif.
  • the term “aspect ratio” refers to the ratio of the height dimension to the width dimension of particular openings into which an electrical contact is to be placed.
  • a via opening which typically extends in a tubular form through multiple layers has a height and a diameter
  • the aspect ratio would be the height of the tubular divided by the diameter.
  • the aspect ratio of a trench would be the height of the trench divided by the minimal travel width of the trench at its base.
  • the term “completely filled” refers to the characteristic af a feature such as a trench or via which is filled with a conductive material, wherein there is essentially no void space present within the portion of the feature filled with conductive material.
  • copper refers to copper and alloys thereof, wherein the copper content of the alloy is at least 80 atomic % copper.
  • the alloy may comprise more than two elemental components.
  • feature refers to contacts, vias, trenches, and other structures which make up the topography of the substrate surface.
  • the term “Gamma or ( ⁇ ) sputtered copper” refers to the “long throw” sputtering technique described in the paper by S. M. Rossnagel and J. Hopwood, which was discussed previously herein.
  • the distance between the substrate and the target is about the diameter of the substrate or greater; and, preferably, the process gas pressure is sufficiently low that the mean free path for collision within the process gas is greater than the distance between the target and the substrate.
  • IMP ion metal plasma
  • sputter deposition preferably magnetron sputter deposition (where a magnet array is placed behind the target).
  • a high density, inductively coupled RF plasma is positioned between the sputtering cathode and the substrate support electrode, whereby at least a portion of the sputtered emission is in the form of ions at the time it reaches the substrate surface.
  • IMP sputtered tantalum refers to tantalum which was sputtered using the IMP sputter deposition method.
  • IMP sputtered tantalum nitride refers to tantalum nitride which was sputtered using the IMP sputter deposition method.
  • reactive IMP sputtered tantalum nitride refers to ion-deposition sputtering wherein nitrogen gas is supplied during the sputtering of tantalum, to react with the ionized tantalum, producing an ion-deposition sputtered tantalum nitride-comprising compound.
  • stress tuned refers to a TaN x or Ta film which has been treated during processing to adjust the residual stress within the deposited film to fall within a particular desired range. For example, at times it is desired to use the TaN x or Ta film to balance the overall stress within a stack of layers, so the film may be tuned to be in compression or tension. At other times it may be desired to reduce the stress in the film to be as near to zero as possible.
  • traditional sputtering refers to a method of forming a film layer on a substrate wherein a target is sputtered and the material sputtered from the target passes between the target and the substrate to form a film layer on the substrate, and no means is provided to ionize a substantial portion of the target material sputtered from the target before it reaches the substrate.
  • One apparatus configured to provide traditional Sputtering is disclosed in U.S. Pat. No. 5,320,728, the disclosure of which is incorporated herein by reference. In such a traditional sputtering configuration, the percentage of target material which is ionized is less than 10 %, more typically less than 1%, of that sputtered from the target.
  • a process system in which the method of the present invention may be carried out is the Applied Materials, Inc. (Santa Clara, Calif.) Endura® Integrated Processing System. The system is shown and described in U.S. Pat. No. 5,186,718, the disclosure of which is hereby incorporated by reference.
  • the traditional sputtering process is well known in the art.
  • the Gamma sputtering method is described in detail by S. M. Rossnagel and J. Hopwood in their paper titled “Thin, high atomic weight refractory film deposition for diffusion barrier, adhesion layer, and seed layer applications”, as referenced above.
  • the IMP sputtering method is also described by S. M. Rossnagel and J. Hopwood in their paper “Metal ion deposition from ionized magnetron sputtering discharge, J. Vac. Sci. Technol. B, Vol. 12, No. 1 (January/February 1994).
  • One skilled in the art can division a combination of a number of different layers underlaying the copper fill material. Whatever the combination of layers, they provide a stack of layers; and tuning the stress of individual layers within the stack can provide a more stress balanced and dimensionally stable stack.
  • the preferred embodiment described above is for the lining of trenches and vias
  • the stress tuned TaN x and Ta films described herein have general application in semiconductor interconnect structures.
  • the method of controlling and reducing the residual film stress in tantalum nitride and tantalum films can be used to advantage in any structure in which a layer of such a film is present.
  • the concept of tuning the residual stress in a sputter-deposited film comprising at least one metal element has broad applicability.
  • the preferred embodiments described herein were produced in an Endura® Integrated Processing System available from Applied Materials of Santa Clara, Calif.
  • the physical vapor deposition (sputtering in this case) process chamber is capable of processing an 8 inch (200 mm) diameter silicon wafer.
  • the substrate was a silicon wafer having a silicon oxide surface coating with trenches in the surface of the silicon oxide.
  • Sputtering was carried out using a tantalum target cathode having approximately a 35.3 cm (14 in.) diameter, and DC power was applied to this cathode over a range from about 1 kW to about 18 kW.
  • the substrate was placed at a distance of about 25 cm (9.8 in.) from the tantalum target cathode in the case of gamma sputtering, and at a distance of about 14 cm (5.5 in.) from the cathode in the case of IMP sputtering.
  • an AC bias power ranging from about 0 W to about 400 W was applied to the substrate, to produce a substrate offset bias ranging from about 0 V to about ⁇ 100 V.
  • the substrate offset bias attracts ions from the plasma to the substrate.
  • a high density, inductively coupled RF plasma was generated in the region between the target cathode and the substrate by applying RF power to a coil (having from 1 to 3 turns) over a range from about 400 kHz to about 13.56 MHz (preferably about 2 MHz).
  • a coil having from 1 to 3 turns
  • Two hundred (200) mm sample surfaces were IMP sputter-deposited at a sample surface temperature of about 25° C., in argon, at pressures ranging from about 10 mT to about 60 mT.
  • the distance from the cathode to the sample was typically about 14 cm.
  • the DC power to the tantalum target was adjusted over a range from about 1 kW to about 8 kW (preferably about 1 kW to about 3 kW).
  • the wattage to the RF power coil was adjusted over a range from about 1.0 kW to about 5 kW (preferably about 1.0 kW to about 3 kW).
  • An AC bias power ranging from about 0 W to about 500 W was used.
  • FIG. 1 shows a graph 100 of the residual film stress 101 of the tantalum film in Dynes/cm 2 , as a function of the RF power 108 to the ionization coil, as illustrated by the curve numbered 102 ; the pressure 110 in the sputtering chamber, as illustrated by the curve numbered 104 ; and the DC power 112 to the sputtering target (cathode), as illustrated by the curve numbered 106 .
  • the residual stress in the deposited Ta film can be tuned over a wide range, for example (but not by way of limitation), from about 1.0 ⁇ 10 +10 to about ⁇ 2 ⁇ 10 +10 , and can be set at a low stress nominal value, for example, between about 6 ⁇ 10 +10 and about ⁇ 6 ⁇ 10 +9 , a range over which the residual stress can approach zero.
  • a residual stress of about ⁇ 6 ⁇ 10 +9 by way of example, the IMP sputtered film residual compressive stress is a factor of three lower than the residual compressive stress of a typical gamma-sputtered Ta film.
  • the process variables which affect film residual stress can be optimized to produce the desired residual film stress in Ta films.
  • FIGS. 2A and 2B show the effect of an increase in the RF power to the IMP ionization coil, which is directly related to the amount of ion bombardment at the tantalum film surface.
  • FIG. 2A, graph 200 shows the Ta residual film stress in curves 201 through 206 , when the power to the ionization coil is 1 kW, as a function of process chamber argon pressure 207 and the DC power to the tantalum target 208 .
  • FIG. 2B, graph 220 shows the Ta residual film stress interior of ellipses 221 and 222 , when the power to the ionization coil is 3 kW, as a function of process chamber argon pressure 227 and the DC power to the tantalum target 228 .
  • FIG. 3 The effect of the increase in ion bombardment of a depositing film surface, which can be achieved by increasing the DC offset bias voltage of the substrate onto which the film is deposited, is illustrated in FIG. 3.
  • Graph 300 shows the residual stress 311 in dynes/cm 2 310 as a function of the AC bias power 320 in Watts
  • the corresponding substrate DC offset bias voltage ranges from about 0 V to about ⁇ 150 V.
  • FIGS. 4 and 5 show the chemical composition and resistivity of tantalum nitride films produced using Gamma sputtering and IMP sputtering techniques, respectively.
  • the chemical composition (atomic nitrogen content) of the film is shown as a function of the nitrogen gas flow rate to the process chamber in which the TaN x film is produced.
  • FIG. 4, graph 400 shows the nitrogen content 410 of the Gamma-sputtered tantalum nitride film in atomic % 413 , as a function of the nitrogen flow rate 416 in sccm to the process vessel.
  • a two hundred (200) mm diameter sample surface was Gamma sputter-deposited at a sample surface temperature of about 25° C., in an argon/nitrogen atmosphere, at a pressure of about 1.5 mT, where the Argon gas feed was about 15 sccm and the nitrogen flow rate 416 was as shown on graph 400 .
  • the “throw” distance between the tantalum target and the sample surface was approximately 250 mm.
  • the DC power to the tantalum target was about 4 kW.
  • graph 400 shows the resistivity 412 in ⁇ -cm 414 of the tantalum nitride film as the nitrogen content 413 increases.
  • the resistivity corresponds with the change in the tantalum nitride structure, as indicated on Graph 400 , where 402 represents ⁇ -Ta; 404 represents bcc-Ta(N); 406 represents amorphous TaN x ; and 408 represents nanocrystalline fcc-TaN x (x ⁇ 1).
  • FIG. 4 shows that when the atomic nitrogen content exceeds about 45% to about 50%, the resistivity of the TaN x film increases drastically (to above 1,000 ⁇ -cm).
  • FIG. 6, graph 600 shows the residual film stress in dynes/cm 2 602 of a Gamma sputtered TaN x film, as a function of the nitrogen flow rate to the process chamber in sccm 604 , and as a function of the substrate temperature at the time of film deposition, when the other process variables are held at the values described with reference to FIG. 4.
  • Curve 610 represents the TaN x film Gamma sputtered at a substrate temperature of about 25° C.
  • Curve 612 represents the TaN x film Gamma sputtered at a substrate temperature of about 250° C.
  • Curve 614 represents the TaN x film Gamma sputtered at a substrate temperature of about 450° C.
  • Line 606 constructed at a nitrogen flow rate 604 of about 16 scm represents the atomic nitrogen content in excess of which the resistivity of the TaN x film increases drastically (as illustrated in FIG. 4 for a nitrogen flow rate of 16 sccm).
  • the gamma-sputtered TaN x films having reduced residual compressive stress occur at nitrogen contents at which the resistivity of the film is unacceptably high (greater than about 1,000 ⁇ -cm). Looking at the residual film stress of TaN x films having a resistivity lower than about 1,000 ⁇ -cm, it is evident that residual film stress can be reduced by increasing the substrate temperature at the time of film deposition.
  • TaN x films having a resistivity higher than about 1,000 ⁇ -cm where the residual film stress increases when the substrate temperature is higher during film deposition.
  • FIG. 5 graph 500 shows the nitrogen content 510 of the reactive IMP-sputtered TaN film in atomic % 513 , as a function of the nitrogen flow rate in sccm 516 to the process chamber.
  • a two hundred (200) mm diameter sample (substrate) surface was reactive IMP sputter-deposited at a sample surface temperature of about 25° C., in an argon/nitrogen atmosphere, at a pressure of about 40 mT, where Argon gas feed was about 95 sccm (80 sccm to the process chamber feed and 15 sccm to the heat exchange surface) and the nitrogen flow rate 516 was as shown on graph 500 .
  • the DC power to the tantalum target was about 2 kW.
  • the RF power to the IMP induction coil was about 1.5 kW. No offset bias of the substrate was used.
  • graph 500 shows the resistivity 512 in ⁇ -cm 514 of the IMP sputtered TaN x film as the atomic nitrogen content 513 increases.
  • FIG. 5 also shows that when the atomic nitrogen content exceeds about 45%, the resistivity of the TaN x film increases drastically (to above 1,000 ⁇ -cm).
  • FIG. 7, graph 700 shows the residual film stress in dynes/cm 2 702 of an IMP sputtered TaN x film, as a function of the nitrogen flow rate to the process chamber in sccm 704 , for deposition on a substrate at a temperature of about 25° C., when the other process variables are held at the values described with reference to FIG. 5.
  • Line 706 constructed at a nitrogen flow rate 704 of about 14- 16 sccm, represents the atomic nitrogen content in excess of which the resistivity of the TaN x film increases drastically (as illustrated in FIG. 5).
  • a nitrogen flow rate 704 of about 14- 16 sccm represents the atomic nitrogen content in excess of which the resistivity of the TaN x film increases drastically (as illustrated in FIG. 5).
  • the IMP sputtered TaN x films in contrast with the gamma sputtered films, it is possible to produce a film having reduced residual stress at the lower nitrogen contents, where an acceptable resistivity can be obtained.
  • the IMP sputtered TaN x film residual stress appears to remain relatively unaffected by an increase in the nitrogen content over the nitrogen content range represented by the nitrogen flow rates illustrated in FIG. 7 (up to about 60 atomic % nitrogen, based on FIG. 5).
  • the tantalum nitride film By depositing the tantalum nitride film using the IMP sputtering method which provides increased bombardment of the depositing film surface (over that obtained by the Gamma sputtering method), it is possible to produce a TaN x film having both an acceptable resistivity and reduced residual film stress. This is because the IMP sputtered TaN x film stress remains relatively unchanged with increasing nitrogen content (in comparison with gamma sputtered TaN x film stress which is strongly dependent on the nitrogen content of the film in the region where the film resistivity is acceptable).

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Fluid Mechanics (AREA)
  • Physical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

The present disclosure pertains to our discovery that the residual stress residing in a tantalum (Ta) film or a tantalum nitride (TaNx, where 0<x≦1.5) film can be controlled (tuned) by controlling particular process variables during deposition of the film. Process variables of particular interest during film deposition, for sputter applied Ta and TaNx films, include the following. The power to the sputtering target; the process chamber pressure (i.e. the concentration of various gases and ions present in the chamber); the substrate DC offset bias voltage (typically an increase in the AC applied substrate bias power); and, the temperature of the substrate upon which the film is being deposited. When the Ta or TaNx film is deposited using IMP sputtering, the power to the ionization coil can be used for stress tuning of the film. Of these variables, the process chamber pressure and the substrate offset bias most significantly affect the tensile and compressive stress components, respectively. The most advantageous tuning of a sputtered film is achieved using Ion Metal Plasma (IMP) as the film deposition method. This sputtering method provides for particular control over the ion bombardment of the depositing film surface. Tantalum (Ta) films deposited using the IMP method typically exhibit a residual stress ranging from about +1×10+10 dynes/cm2 (tensile stress) to about −2×10+10 dynes/cm2 (compressive stress), depending on the process variables described above. Tantalum nitride (TaNx) films deposited using the IMP method typically can be tuned to exhibit a residual stress within the same range as that specified above with reference to Ta films. We have been able to reduce the residual stress in either the Ta or TaNx films to range between about 6×10+9 and about −6×10+9 dynes/cm2 using tuning techniques described herein.
The Ta and TaNx films can also be tuned subsequent to deposition using ion bombardment of the film surface and annealing of the deposited film.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention pertains to tantalum and tantalum nitride films which can be stress tuned to be in tension or in compression or to have a particularly low stress, and to a method of producing such films. These stress tuned films are particularly useful in semiconductor interconnect structures where they can be used to balance the stress within a stack of layers which includes a combination of barrier layers, wetting layers, and conductive layers, for example. Tge low stress tantalum and tantalum nitride films are particularly suited for the lining of vias and trenches having a high 1:1 aspect ratio. [0002]
  • 2. Brief Description of the Background Art [0003]
  • A typical process for producing a multilevel structure having feature sizes in the range of 0.5 micron (μm) or less would include: blanket deposition of a dielectric material; patterning of the dielectric material to form openings; deposition of a diffusion barrier layer and, optionally, a wetting layer to line the openings; deposition of a conductive material onto the substrate in sufficient thickness to fill the openings; and removal of excessive conductive material from the substrate surface using a chemical, mechanical, or combined chemical-mechanical polishing techniques. Future technological requirements have placed a focus on the replacement of aluminium (and aluminum alloys) by copper as the conductive material. As a result, there is an increased interest in tantalum nitride barrier layers and in tantalum barrier/wetting layers which are preferred for use in combination with copper. [0004]
  • Tantalum nitride barrier films, Ta[0005] 2N and TaNx have been shown to function up to 700° C. and 750° C., respectively, without the diffusion of copper into an underlying silicon (Si) substrate. Tantalum barrier/wetting films have been shown to function at temperatures of approximately 500° C. It is advantageous in terms of processing simplicity to sputter the barrier and or wetting layers underlaying the copper. Tantalum nitride barrier layers are most commonly prepared using reactive physical sputtering, typically with magnetron cathodes, where the sputtering target is tantalum and nitrogen is introduced into the reaction chamber.
  • S. M. Rossnagel and J. Hopwood describe a technique which enables control of the degree of directionality in the deposition of diffusion barriers in their paper titled “Thin, high atomic weight refractory film deposition for diffusion barrier, adhesion layer, and seed layer applications” J. Vac. Sci. Technol. B 14(3), May/June 1996. In particular, the paper describes a method of depositing tantalum (Ta) which permits the deposition of the tantalum atoms on steep sidewalls of interconnect vias and trenches. The method uses conventional, non-collimated magnetron sputtering at low pressures, with improved directionality of the depositing atoms. The improved directionality is achieved by increasing the distance between the cathode and the workpiece surface (the throw) and by reducing the argon pressure during sputtering. For a film deposited with commercial cathodes (Applied Materials Endura® class; circular planar cathode with a diameter of 30 cm) and rotating magnet defined erosion paths, a throw distance of 25 cm is said to be approximately equal to an interposed collimator of aspect ratio near 1.0. In the present disclosure, use of this “long throw” technique with traditional, non-collimated magnetron sputtering at low pressures is referred to as “Gamma sputtering”. [0006]
  • Gamma sputtering enables the deposition of thin, conformal coatings on sidewalls of a trench having an aspect ratio of 2.8:1 for 0.5 μm-wide trench features. However, we have determined that Gamma sputtered TaN films exhibit a relatively high film residual compressive stress, in the range of about −1.0×10[0007] +10 to about −5.0×10+10 dynes/cm2. High film residual compressive stress, in the range described above can cause a Ta film or a tantalum nitride (e.g. Ta2N or TaN) film to peel off from the underlying substrate (typically silicon oxide dielectric). In the alternative, the film stress can cause feature distortion on the substrate (typically a silicon wafer) surface or even deformation of a thin wafer.
  • A method of reducing the residual stress in a Ta barrier/wetting film or a Ta[0008] 2N or TaN barrier film would be beneficial in enabling the execution of subsequent process steps without delamination of such films from trench and via sidewalls or other interconnect features. This reduces the number of particles generated, increasing device yield during production. In addition, a film having a near zero stress condition improves the reliability of the device itself.
  • SUMMARY OF THE INVENTION
  • We have discovered that the residual stress residing in a tantalum (Ta) film or a tantalum nitride (TaN[0009] x, where 0<x≦1.5) film can be controlled (tuned) by controlling particular process variables during deposition of the film. Process variables of particular interest for sputter applied Ta and TaNx films include the following. An increase in the power to the sputtering target (typically DC) increases the compressive stress component in the film. An increase in the process chamber pressure (i.e. the concentration of various gases and ions present in the chamber) increases the tensile stress component in the film. An increase in the substrate DC offset bias voltage (typically an increase in the applied AC as substrate bias power) stress component in the film. The substrate temperature during deposition of the film also affects the film residual stress. Of these variables, an increase in the process chamber pressure-and an increase in the substrate offset bias most significantly affect the tensile and compressive stress components, respectively. The most advantageous tuning of a sputtered film is achieved using Ion Metal Plasma (IMP) as the film deposition method. This sputtering method provides for particular control over the ion bombardment of the depositing film surface. When it is desired to produce a film having minimal residual stress, particular care must be taken to control the amount of ion bombardment of the depositing film surface, as an excess of such ion bombardment can result in an increase in the residual compressive stress component in the deposited film.
  • Tantalum (Ta) films deposited using the IMP method typically exhibit a residual stress ranging from about +1×10[0010] +10 dynes/cm2 (tensile stress) to about −2×10+10 dynes/cm2 (compressive stress), depending on the process variables described above. Tantalum nitride (TaNx) films deposited using the IMP method typically can be tuned to exhibit a residual stress within the same range as that specified above with reference to Ta films. We have been able to reduce the residual stress in either the Ta or TaNx films to low values ranging from about +1×10+9 to about −2×10+9 dynes/cm2 using tuning techniques described herein. These film residual stress values are significantly less than observed for traditionally sputtered films and for Gamma-sputtered films. This reduction in film residual compressive stress is particularly attributed to bombardment of the film surface by IMP-generated ions during the film deposition process. Heavy bombardment of the film surface by IMP-generated ions can increase the film residual compressive stress, so when it is desired to minimize the film compressive stress, the ion bombardment should be optimized for this purpose.
  • Other process variables which may be used in tuning the film stress include the spacing between the sputter target and the substrate surface to be sputter deposited; ion bombardment subsequent to film deposition; and annealing of the film during or after deposition.[0011]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a graph showing the residual stress in an IMP deposited Ta film as a function of DC power to the Ta target, RF power to the IMP ionization coil, and the pressure in the process chamber. [0012]
  • FIG. 2A is a contour plot showing the IMP deposited Ta film residual stress in dynes/cm[0013] 2 as a function of the DC power to the Ta target and the process chamber pressure, when the RF power to the ionization coil is 1 kW.
  • FIG. 2B is a contour plot showing the residual stress in an IMP deposited Ta film as a function of the same variables illustrated in FIG. 2A, when the RF power to the ionization coil is 3 kW. [0014]
  • FIG. 3 is a graph showing the residual stress in an IMP deposited Ta film as a function of the substrate offset bias, and in particular as a function of the AC bias power (typically the AC power is coupled to the substrate through the substrate heater which is in electrical contact with the substrate). [0015]
  • FIG. 4 is a graph showing the chemical composition of a Gamma-sputtered tantalum nitride film, as a function of the nitrogen gas flow rate to the sputtering process chamber. In addition, FIG. 4 shows the resistivity and the structure of the tantalum nitride compound, which is in conformance with the nitrogen content of the compound. [0016]
  • FIG. 5 is a graph showing the film composition of a reactive IMP-deposited tantalum nitride film, as a function of the nitrogen gas flow rate to the process chamber. Again, the resistivity of the film is indicative of the various film structures created as the nitrogen content of the film is increased. [0017]
  • FIG. 6 is a graph showing the residual film stress for Gamma-sputtered tantalum nitride film as a function of the nitrogen gas flow rate to the sputtering process chamber and as a function of the temperature at which the film is deposited. [0018]
  • FIG. 7 is a graph showing the residual film stress for reactive IMP sputtered tantalum nitride film as a function of the nitrogen gas flow rate to the sputtering process chamber.[0019]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present invention pertains to stress tunable tantalum and tantalum nitride films and to a method of producing such films. In particular, applicants have discovered that residual film stress can be tuned by controlling particular process variables such as process chamber pressure, DC offset bias voltage, power to the sputtering target and substrate temperature during film deposition. When IMP sputtering is used, a variation in the power to the ionization coil can be used for tuning. Ion bombardment of the depositing film surface is particularly useful in controlling residual film stress. [0020]
  • I. Definitions
  • As a preface to the detailed description, it should be noted that, as used in this specification and the appended claims, the singular forms “a”, “an”, and “the” include plural referents, unless the context clearly dictates otherwise. Thus, for example, the term “a semiconductor” includes a variety of different materials which are known to have the behavioral characteristics of a semiconductor, reference to a “plasma” includes a gas or gas reactants activated by an RF glow discharge, and reference to “copper” includes alloys thereof. [0021]
  • Film stress values were measured using a Tencor® Flexus FLX 3200 machine available from Tencor Corporation, Mountain View, Calif. [0022]
  • Specific terminology of particular importance to the description of the present invention is defined below. [0023]
  • The term “aspect ratio” refers to the ratio of the height dimension to the width dimension of particular openings into which an electrical contact is to be placed. For example, a via opening which typically extends in a tubular form through multiple layers has a height and a diameter, and the aspect ratio would be the height of the tubular divided by the diameter. The aspect ratio of a trench would be the height of the trench divided by the minimal travel width of the trench at its base. [0024]
  • The term “completely filled” refers to the characteristic af a feature such as a trench or via which is filled with a conductive material, wherein there is essentially no void space present within the portion of the feature filled with conductive material. [0025]
  • The term “copper” refers to copper and alloys thereof, wherein the copper content of the alloy is at least 80 atomic % copper. The alloy may comprise more than two elemental components. [0026]
  • The term “feature” refers to contacts, vias, trenches, and other structures which make up the topography of the substrate surface. [0027]
  • The term “Gamma or (γ) sputtered copper” refers to the “long throw” sputtering technique described in the paper by S. M. Rossnagel and J. Hopwood, which was discussed previously herein. Typically the distance between the substrate and the target is about the diameter of the substrate or greater; and, preferably, the process gas pressure is sufficiently low that the mean free path for collision within the process gas is greater than the distance between the target and the substrate. [0028]
  • The term “ion metal plasma” or “IMP” refer to sputter deposition, preferably magnetron sputter deposition (where a magnet array is placed behind the target). A high density, inductively coupled RF plasma is positioned between the sputtering cathode and the substrate support electrode, whereby at least a portion of the sputtered emission is in the form of ions at the time it reaches the substrate surface. [0029]
  • The term “IMP sputtered tantalum” refers to tantalum which was sputtered using the IMP sputter deposition method. [0030]
  • The term “IMP sputtered tantalum nitride” refers to tantalum nitride which was sputtered using the IMP sputter deposition method. [0031]
  • The term “reactive IMP sputtered tantalum nitride” refers to ion-deposition sputtering wherein nitrogen gas is supplied during the sputtering of tantalum, to react with the ionized tantalum, producing an ion-deposition sputtered tantalum nitride-comprising compound. [0032]
  • The term “stress tuned” refers to a TaN[0033] x or Ta film which has been treated during processing to adjust the residual stress within the deposited film to fall within a particular desired range. For example, at times it is desired to use the TaNx or Ta film to balance the overall stress within a stack of layers, so the film may be tuned to be in compression or tension. At other times it may be desired to reduce the stress in the film to be as near to zero as possible.
  • The term “traditional sputtering” refers to a method of forming a film layer on a substrate wherein a target is sputtered and the material sputtered from the target passes between the target and the substrate to form a film layer on the substrate, and no means is provided to ionize a substantial portion of the target material sputtered from the target before it reaches the substrate. One apparatus configured to provide traditional Sputtering is disclosed in U.S. Pat. No. 5,320,728, the disclosure of which is incorporated herein by reference. In such a traditional sputtering configuration, the percentage of target material which is ionized is less than 10 %, more typically less than 1%, of that sputtered from the target. [0034]
  • II. An Apparatus for Practicing the Invention
  • A process system in which the method of the present invention may be carried out is the Applied Materials, Inc. (Santa Clara, Calif.) Endura® Integrated Processing System. The system is shown and described in U.S. Pat. No. 5,186,718, the disclosure of which is hereby incorporated by reference. [0035]
  • The traditional sputtering process is well known in the art. The Gamma sputtering method is described in detail by S. M. Rossnagel and J. Hopwood in their paper titled “Thin, high atomic weight refractory film deposition for diffusion barrier, adhesion layer, and seed layer applications”, as referenced above. The IMP sputtering method is also described by S. M. Rossnagel and J. Hopwood in their paper “Metal ion deposition from ionized magnetron sputtering discharge, J. Vac. Sci. Technol. B, Vol. 12, No. 1 (January/February 1994). [0036]
  • III. The Structure of the Tantalum and Tantalum Nitride Films
  • We have been able to create a copper filled trench or via, which is completely filled, at a feature size of about 0.4μ and an aspect ratio of greater than 1:1 (up to about 3:1 presently). To facilitate the use of a copper fill, the trench or via (constructed in a silicon oxide surface layer) was lined with a reactive IMP sputtered TaN[0037] x barrier layer, followed by a Ta barrier/wetting layer, to create a bilayer over the oxide surface layer. The copper fill layer was applied using a sputtering technique in the manner described in applicants' co-pending application, Attorney Docket No. 1811, filed May 13, 1997, which is hereby incorporated by reference.
  • To ensure the overall dimensional stability of the structure, we investigated various factors which affect the residual film stress in a TaN[0038] x barrier layer and in a Ta layer (which can serve as a barrier layer, a wetting layer, or both, depending on the application).
  • One skilled in the art can division a combination of a number of different layers underlaying the copper fill material. Whatever the combination of layers, they provide a stack of layers; and tuning the stress of individual layers within the stack can provide a more stress balanced and dimensionally stable stack. Although the preferred embodiment described above is for the lining of trenches and vias, one skilled in the art will appreciate that the stress tuned TaN[0039] x and Ta films described herein have general application in semiconductor interconnect structures. The method of controlling and reducing the residual film stress in tantalum nitride and tantalum films can be used to advantage in any structure in which a layer of such a film is present. The concept of tuning the residual stress in a sputter-deposited film comprising at least one metal element has broad applicability.
  • IV. The Method of Tuning Residual Stress in Tantalum and Tantalum Nitride Films
  • The preferred embodiments described herein were produced in an Endura® Integrated Processing System available from Applied Materials of Santa Clara, Calif. The physical vapor deposition (sputtering in this case) process chamber is capable of processing an 8 inch (200 mm) diameter silicon wafer. The substrate was a silicon wafer having a silicon oxide surface coating with trenches in the surface of the silicon oxide. Sputtering was carried out using a tantalum target cathode having approximately a 35.3 cm (14 in.) diameter, and DC power was applied to this cathode over a range from about 1 kW to about 18 kW. The substrate was placed at a distance of about 25 cm (9.8 in.) from the tantalum target cathode in the case of gamma sputtering, and at a distance of about 14 cm (5.5 in.) from the cathode in the case of IMP sputtering. During IMP sputtering, an AC bias power ranging from about 0 W to about 400 W was applied to the substrate, to produce a substrate offset bias ranging from about 0 V to about −100 V. The substrate offset bias attracts ions from the plasma to the substrate. [0040]
  • EXAMPLE ONE
  • When Gamma-sputtered tantalum film was produced, the film was sputtered using conventional (traditional) magnetron sputtering, with rotating magnet-defined erosion paths (for better uniformity and cathode utilization). Two hundred (200) mm sample surfaces were sputter-deposited at a sample surface temperature of about 25° C., in argon, at pressures of about 1.5 mT or less. The cathode to sample or “throw” distance was typically about 25 cm. The DC power to the tantalum target was approximately 4 kW. No substrate offset bias was used. Under these conditions, the residual film stress of the tantalum film was about −1.5×10[0041] +10 dynes/cm2.
  • EXAMPLE TWO
  • When IMP-sputtered tantalum film was produced, a high density, inductively coupled RF plasma was generated in the region between the target cathode and the substrate by applying RF power to a coil (having from 1 to 3 turns) over a range from about 400 kHz to about 13.56 MHz (preferably about 2 MHz). Two hundred (200) mm sample surfaces were IMP sputter-deposited at a sample surface temperature of about 25° C., in argon, at pressures ranging from about 10 mT to about 60 mT. The distance from the cathode to the sample was typically about 14 cm. The DC power to the tantalum target was adjusted over a range from about 1 kW to about 8 kW (preferably about 1 kW to about 3 kW). The wattage to the RF power coil was adjusted over a range from about 1.0 kW to about 5 kW (preferably about 1.0 kW to about 3 kW). An AC bias power ranging from about 0 W to about 500 W was used. FIG. 1 shows a [0042] graph 100 of the residual film stress 101 of the tantalum film in Dynes/cm2, as a function of the RF power 108 to the ionization coil, as illustrated by the curve numbered 102; the pressure 110 in the sputtering chamber, as illustrated by the curve numbered 104; and the DC power 112 to the sputtering target (cathode), as illustrated by the curve numbered 106.
  • As indicated in [0043] graph 100, the residual stress in the deposited Ta film can be tuned over a wide range, for example (but not by way of limitation), from about 1.0×10+10 to about −2×10+10, and can be set at a low stress nominal value, for example, between about 6×10+10 and about −6×10+9, a range over which the residual stress can approach zero. At a residual stress of about −6×10+9, by way of example, the IMP sputtered film residual compressive stress is a factor of three lower than the residual compressive stress of a typical gamma-sputtered Ta film. The process variables which affect film residual stress can be optimized to produce the desired residual film stress in Ta films.
  • FIGS. 2A and 2B show the effect of an increase in the RF power to the IMP ionization coil, which is directly related to the amount of ion bombardment at the tantalum film surface. FIG. 2A, [0044] graph 200, shows the Ta residual film stress in curves 201 through 206, when the power to the ionization coil is 1 kW, as a function of process chamber argon pressure 207 and the DC power to the tantalum target 208. FIG. 2B, graph 220, shows the Ta residual film stress interior of ellipses 221 and 222, when the power to the ionization coil is 3 kW, as a function of process chamber argon pressure 227 and the DC power to the tantalum target 228.
  • These curves show that, with the other process values held constant, an increase in RF power to the ionization coil from 1 kW to 3 kW results in an increase in the film residual compressive stress. Even so, under all of the process conditions shown, the residual film stress for the IMP-sputtered tantalum is less than that of a Gamma-sputtered tantalum film. We have concluded, then, that there is an optimum amount of ion bombardment of a tantalum film surface to produce a Ta film having only minor residual stress (whether compressive or in tension). Process pressure appears to have the greatest effect of the variables tested. It is believed that an increase in the process pressure leads to an increase in ionization within the process chamber, which leads to increased ion bombardment of the depositing film surface. [0045]
  • EXAMPLE THREE
  • The effect of the increase in ion bombardment of a depositing film surface, which can be achieved by increasing the DC offset bias voltage of the substrate onto which the film is deposited, is illustrated in FIG. 3. [0046] Graph 300 shows the residual stress 311 in dynes/cm 2 310 as a function of the AC bias power 320 in Watts The corresponding substrate DC offset bias voltage ranges from about 0 V to about −150 V.
  • EXAMPLE FOUR
  • When tantalum nitride films are produced, the structure of the tantalum nitride depends on the amount of nitrogen in the tantalum nitride compound (film). FIGS. 4 and 5 show the chemical composition and resistivity of tantalum nitride films produced using Gamma sputtering and IMP sputtering techniques, respectively. The chemical composition (atomic nitrogen content) of the film is shown as a function of the nitrogen gas flow rate to the process chamber in which the TaN[0047] x film is produced.
  • FIG. 4, [0048] graph 400, shows the nitrogen content 410 of the Gamma-sputtered tantalum nitride film in atomic % 413, as a function of the nitrogen flow rate 416 in sccm to the process vessel. A two hundred (200) mm diameter sample surface was Gamma sputter-deposited at a sample surface temperature of about 25° C., in an argon/nitrogen atmosphere, at a pressure of about 1.5 mT, where the Argon gas feed was about 15 sccm and the nitrogen flow rate 416 was as shown on graph 400. The “throw” distance between the tantalum target and the sample surface was approximately 250 mm. The DC power to the tantalum target was about 4 kW.
  • In addition, [0049] graph 400 shows the resistivity 412 in μΩ-cm 414 of the tantalum nitride film as the nitrogen content 413 increases. The resistivity corresponds with the change in the tantalum nitride structure, as indicated on Graph 400, where 402 represents β-Ta; 404 represents bcc-Ta(N); 406 represents amorphous TaNx; and 408 represents nanocrystalline fcc-TaNx (x≈1).
  • FIG. 4 shows that when the atomic nitrogen content exceeds about 45% to about 50%, the resistivity of the TaN[0050] x film increases drastically (to above 1,000 μΩ-cm).
  • FIG. 6, [0051] graph 600, shows the residual film stress in dynes/cm 2 602 of a Gamma sputtered TaNx film, as a function of the nitrogen flow rate to the process chamber in sccm 604, and as a function of the substrate temperature at the time of film deposition, when the other process variables are held at the values described with reference to FIG. 4.
  • [0052] Curve 610 represents the TaNx film Gamma sputtered at a substrate temperature of about 25° C.; Curve 612 represents the TaNx film Gamma sputtered at a substrate temperature of about 250° C., and Curve 614 represents the TaNx film Gamma sputtered at a substrate temperature of about 450° C.
  • [0053] Line 606 constructed at a nitrogen flow rate 604 of about 16 scm, represents the atomic nitrogen content in excess of which the resistivity of the TaNx film increases drastically (as illustrated in FIG. 4 for a nitrogen flow rate of 16 sccm). Thus, the gamma-sputtered TaNx films having reduced residual compressive stress (in the direction of arrow 608) occur at nitrogen contents at which the resistivity of the film is unacceptably high (greater than about 1,000 μΩ-cm). Looking at the residual film stress of TaNx films having a resistivity lower than about 1,000 μΩ-cm, it is evident that residual film stress can be reduced by increasing the substrate temperature at the time of film deposition. This is in contrast with TaNx films having a resistivity higher than about 1,000 μΩ-cm, where the residual film stress increases when the substrate temperature is higher during film deposition. Considering this unexpected result, for Gamma sputtered films having a nitrogen content below about 45%-50 %, it is preferable to deposit the TaNx film at a substrate temperature of at least about 250° C., and more preferably at a substrate temperature of at least about 350°C.
  • EXAMPLE FIVE
  • FIG. 5 [0054] graph 500 shows the nitrogen content 510 of the reactive IMP-sputtered TaN film in atomic % 513, as a function of the nitrogen flow rate in sccm 516 to the process chamber. A two hundred (200) mm diameter sample (substrate) surface was reactive IMP sputter-deposited at a sample surface temperature of about 25° C., in an argon/nitrogen atmosphere, at a pressure of about 40 mT, where Argon gas feed was about 95 sccm (80 sccm to the process chamber feed and 15 sccm to the heat exchange surface) and the nitrogen flow rate 516 was as shown on graph 500. The DC power to the tantalum target was about 2 kW. The RF power to the IMP induction coil was about 1.5 kW. No offset bias of the substrate was used.
  • In addition, [0055] graph 500 shows the resistivity 512 in μΩ-cm 514 of the IMP sputtered TaNx film as the atomic nitrogen content 513 increases. The resistivity corresponds with the change in the tantalum nitride structure, as indicated on Graph 500, where 502 represents β-Ta; 504 represents bcc-Ta(N); 506 represents amorphous TaNx; and 508 represents nanocrystalline fcc-TaNx (x=I).
  • FIG. 5 also shows that when the atomic nitrogen content exceeds about 45%, the resistivity of the TaN[0056] x film increases drastically (to above 1,000 μΩ-cm).
  • FIG. 7, [0057] graph 700, shows the residual film stress in dynes/cm 2 702 of an IMP sputtered TaNx film, as a function of the nitrogen flow rate to the process chamber in sccm 704, for deposition on a substrate at a temperature of about 25° C., when the other process variables are held at the values described with reference to FIG. 5.
  • [0058] Line 706, constructed at a nitrogen flow rate 704 of about 14- 16 sccm, represents the atomic nitrogen content in excess of which the resistivity of the TaNx film increases drastically (as illustrated in FIG. 5). We discovered that for IMP sputtered TaNx films, in contrast with the gamma sputtered films, it is possible to produce a film having reduced residual stress at the lower nitrogen contents, where an acceptable resistivity can be obtained. Further, the IMP sputtered TaNx film residual stress appears to remain relatively unaffected by an increase in the nitrogen content over the nitrogen content range represented by the nitrogen flow rates illustrated in FIG. 7 (up to about 60 atomic % nitrogen, based on FIG. 5).
  • By depositing the tantalum nitride film using the IMP sputtering method which provides increased bombardment of the depositing film surface (over that obtained by the Gamma sputtering method), it is possible to produce a TaN[0059] x film having both an acceptable resistivity and reduced residual film stress. This is because the IMP sputtered TaNx film stress remains relatively unchanged with increasing nitrogen content (in comparison with gamma sputtered TaNx film stress which is strongly dependent on the nitrogen content of the film in the region where the film resistivity is acceptable).
  • The above described preferred embodiments are not intended to limit the scope of the present invention, as one skilled in the art can, in view of the present disclosure expand such embodiments to correspond with the subject matter of the invention claimed below. [0060]

Claims (39)

We claim:
1. A Ta film tuned to have a residual film stress ranging between about 1.0×10+10 and about −2×10+10 dynes/cm2.
2. The Ta film of claim 1, wherein said Ta film was sputter deposited.
3. The Ta film of claim 2, wherein said Ta film was IMP sputter deposited.
4. The Ta film of claim 1, wherein said film residual stress ranges between about 6×10+9 and about −6×10+9 dynes/cm2.
5. The Ta film of claim 1, wherein a crystalline structure of said tantalum film is bcc Ta.
6. The Ta film of claim 1, wherein a crystalline structure of said tantalum film is S Ta.
7. A TaNx film, where 0<x≦1.5, tuned to have a residual film stress ranging between about 1.0×10+10 and about −2×10+10 dynes/cm2.
8. The TaNx film of claim 7, wherein said TaNx film was sputter deposited.
9. The TaNx film of claim 8, wherein said TaNx film was reactive IMP sputter deposited.
10. The TaNx film of claim 7, wherein said film residual stress ranges between about 6×10+9 and about −6×10+9 dynes/cm2.
11. The TaNx film of claim 7, wherein said film resistivity is less than about 1,000 μΩ-cm and said film stress ranges between about 6×10+9 and about −6×10+9 dynes/cm2.
12. The TaNx film of claim 11, wherein said film comprises more than about 30 atomic % nitrogen.
13. The TaNx film of claim 12, wherein said film comprises and less than about 60% nitrogen.
14. A method of tuning the residual film stress of a Ta film, wherein said residual stress is tuned by controlling the amount of ion bombardment of the depositing film surface.
15. The method of claim 14, wherein said Ta film is deposited using a sputtering technique.
16. The method of claim 15, wherein said Ta film is deposited using IMP sputtering.
17. The method of claim 15, wherein said tantalum film comprises bcc Ta.
18. The method of claim 15, wherein a crystalline structure of said tantalum film is β Ta.
19. A method of tuning tile residual film stress of a Ta film by adjustment of a film deposition process variable selected from the group consisting of process chamber pressure, substrate DC offset bias voltage, power to a sputtering target, power to an ionization coil, substrate temperature, or a combination thereof.
20. The method of claim 19, wherein said residual film stress is tuned to range between about 1×10+10 and about −2×10+10 dynes/cm2.
21. A method of tuning the residual film stress of a Ta film subsequent to deposition, wherein said treatment is selected from the group consisting of ion bombardment, annealing, and combinations thereof.
22. The method of claim 21, wherein said residual film stress is tuned to range between about 1×10+10 and about −2×10+10 dynes/cm2.
23. The method of claim 21, wherein said method of tuning is ion bombardment.
24. The method of claim 21, wherein said method of tuning is annealing, and wherein said annealing is carried out at a temperature of at least about 25° C.
25. The method of claim 24, wherein said temperature is at least about 250° C.
26. The method of claim 25, wherein said temperature is at least about 350° C.
27. A method of tuning the residual film stress of a TaNx, film, wherein said residual stress is tuned by controlling the amount of ion bombardment of the depositing film surface and where 0<x≦1.5.
28. The method of claim 27, wherein said TaNx film is deposited using a sputtering technique.
29. The method of claim 28, wherein said TaN film is deposited using reactive IMP sputtering.
30. The method of claim 29, wherein said TaNx film comprises at least about 30 atomic % nitrogen.
31. The method of claim 30, wherein said nitrogen content is less than about 60 atomic % nitrogen.
32 A method of tuning the residual film stress of a TaNx film by adjustment of a film deposition process variable selected from the group consisting of process chamber pressure, substrate DC offset bias voltage, power to a sputtering target, power to an ionization coil, substrate temperature, or a combination thereof.
33. The method of claim 32, wherein said residual film stress is tuned to range between about 1×10+10 and about −2×10+10 dynes/cm2.
34. A method of tuning the residual film stress of a TaNx film subsequent to deposition, wherein said treatment is selected from the group consisting of ion bombardment, annealing, and combinations thereof.
35. The method of claim 34, wherein said residual film stress is tuned to range between about 1×10+10 and about −2×10+10 dynes/cm2.
36. The method of claim 34, wherein said method of tuning is ion bombardment.
37. The method of claim 34, wherein said method of tuning is annealing, and wherein said annealing is carried out at a temperature of at least about 25° C.
38. The method of claim 37, wherein said temperature is at least about 250° C.
39. The method of claim 38, wherein said temperature is at least about 350° C.
US10/060,827 1997-05-27 2002-01-29 Stress tunable tantalum and tantalum nitride films Abandoned US20020070375A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/060,827 US20020070375A1 (en) 1997-05-27 2002-01-29 Stress tunable tantalum and tantalum nitride films

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/863,451 US6139699A (en) 1997-05-27 1997-05-27 Sputtering methods for depositing stress tunable tantalum and tantalum nitride films
US61162400A 2000-07-07 2000-07-07
US10/060,827 US20020070375A1 (en) 1997-05-27 2002-01-29 Stress tunable tantalum and tantalum nitride films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US61162400A Continuation 1997-05-27 2000-07-07

Publications (1)

Publication Number Publication Date
US20020070375A1 true US20020070375A1 (en) 2002-06-13

Family

ID=25341126

Family Applications (3)

Application Number Title Priority Date Filing Date
US08/863,451 Expired - Lifetime US6139699A (en) 1997-05-27 1997-05-27 Sputtering methods for depositing stress tunable tantalum and tantalum nitride films
US09/423,470 Expired - Fee Related US6488823B1 (en) 1997-05-27 1998-05-27 Stress tunable tantalum and tantalum nitride films
US10/060,827 Abandoned US20020070375A1 (en) 1997-05-27 2002-01-29 Stress tunable tantalum and tantalum nitride films

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US08/863,451 Expired - Lifetime US6139699A (en) 1997-05-27 1997-05-27 Sputtering methods for depositing stress tunable tantalum and tantalum nitride films
US09/423,470 Expired - Fee Related US6488823B1 (en) 1997-05-27 1998-05-27 Stress tunable tantalum and tantalum nitride films

Country Status (5)

Country Link
US (3) US6139699A (en)
EP (1) EP0985058A2 (en)
JP (1) JP2002500704A (en)
KR (1) KR20010012742A (en)
WO (1) WO1998054377A2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040185182A1 (en) * 2002-07-31 2004-09-23 General Electric Company Method for protecting articles, and related compositions
US20050098427A1 (en) * 2003-11-11 2005-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. RF coil design for improved film uniformity of an ion metal plasma source
US6893116B2 (en) 2003-04-29 2005-05-17 Hewlett-Packard Development Company, L.P. Fluid ejection device with compressive alpha-tantalum layer
US6926390B2 (en) 2003-02-05 2005-08-09 Hewlett-Packard Development Company, L.P. Method of forming mixed-phase compressive tantalum thin films using nitrogen residual gas, thin films and fluid ejection devices including same
US6955835B2 (en) 2003-04-30 2005-10-18 Hewlett-Packard Development Company, L.P. Method for forming compressive alpha-tantalum on substrates and devices including the same
US20060024939A1 (en) * 2004-07-29 2006-02-02 Stephan Grunow Method of fabricating robust nucleation/seed layers for subsequent deposition/fill of metallization layers
US9455220B2 (en) 2014-05-31 2016-09-27 Freescale Semiconductor, Inc. Apparatus and method for placing stressors on interconnects within an integrated circuit device to manage electromigration failures
US9466569B2 (en) * 2014-11-12 2016-10-11 Freescale Semiconductor, Inc. Though-substrate vias (TSVs) and method therefor

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6911124B2 (en) * 1998-09-24 2005-06-28 Applied Materials, Inc. Method of depositing a TaN seed layer
US7253109B2 (en) 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
US6887353B1 (en) * 1997-12-19 2005-05-03 Applied Materials, Inc. Tailored barrier layer which provides improved copper interconnect electromigration resistance
JP3374901B2 (en) * 1998-02-27 2003-02-10 日本電気株式会社 Semiconductor device
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6288442B1 (en) * 1998-09-10 2001-09-11 Micron Technology, Inc. Integrated circuit with oxidation-resistant polymeric layer
TW520551B (en) 1998-09-24 2003-02-11 Applied Materials Inc Method for fabricating ultra-low resistivity tantalum films
EP1022352A3 (en) * 1998-12-28 2002-01-02 Infineon Technologies North America Corp. Method for forming metal interconnects with increased electromigration lifetime
WO2000048241A1 (en) * 1999-02-15 2000-08-17 Asahi Glass Company, Limited Integrated circuit device and its manufacturing method
US6451181B1 (en) * 1999-03-02 2002-09-17 Motorola, Inc. Method of forming a semiconductor device barrier layer
DE19922557B4 (en) 1999-05-17 2004-11-04 Infineon Technologies Ag Process for depositing a TaN / Ta two-layer diffusion barrier
US6720261B1 (en) * 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6328871B1 (en) * 1999-08-16 2001-12-11 Applied Materials, Inc. Barrier layer for electroplating processes
EP1094504A3 (en) * 1999-10-18 2001-08-22 Applied Materials, Inc. PVD-IMP tungsten and tungsten nitride as a liner, barrier, and/or seed layer
US6403465B1 (en) * 1999-12-28 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to improve copper barrier properties
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7211512B1 (en) 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US6251796B1 (en) * 2000-02-24 2001-06-26 Conexant Systems, Inc. Method for fabrication of ceramic tantalum nitride and improved structures based thereon
US6426282B1 (en) * 2000-05-04 2002-07-30 Applied Materials, Inc. Method of forming solder bumps on a semiconductor wafer
US6528180B1 (en) * 2000-05-23 2003-03-04 Applied Materials, Inc. Liner materials
WO2002007198A2 (en) * 2000-07-18 2002-01-24 Applied Materials, Inc. Deposition of low stress tantalum films
US6627050B2 (en) * 2000-07-28 2003-09-30 Applied Materials, Inc. Method and apparatus for depositing a tantalum-containing layer on a substrate
US6562715B1 (en) 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure
US20020142589A1 (en) * 2001-01-31 2002-10-03 Applied Materials, Inc. Method of obtaining low temperature alpha-ta thin films using wafer bias
US6521100B2 (en) * 2001-02-02 2003-02-18 Nokia Mobile Phones Ltd Method of producing a piezoelectric thin film and bulk acoustic wave resonator fabricated according to the method
US6638402B2 (en) * 2001-06-05 2003-10-28 Praxair S.T. Technology, Inc. Ring-type sputtering target
US20030008243A1 (en) * 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
AU2003205895A1 (en) * 2002-02-28 2003-09-09 Trikon Technologies Limited A method of depositing a barrier layer
US6709553B2 (en) 2002-05-09 2004-03-23 Applied Materials, Inc. Multiple-step sputter deposition
US6876082B2 (en) * 2002-08-08 2005-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Refractory metal nitride barrier layer with gradient nitrogen concentration
KR100543461B1 (en) * 2003-07-22 2006-01-20 삼성전자주식회사 Flash memory device having variable data output function and memory system including the same
EP1730072A2 (en) * 2004-03-24 2006-12-13 H.C. Starck Inc. Methods of forming alpha and beta tantalum films with controlled and new microstructures
US7445810B2 (en) * 2004-04-15 2008-11-04 Hewlett-Packard Development Company, L.P. Method of making a tantalum layer and apparatus using a tantalum layer
US7416789B2 (en) * 2004-11-01 2008-08-26 H.C. Starck Inc. Refractory metal substrate with improved thermal conductivity
US20070012557A1 (en) * 2005-07-13 2007-01-18 Applied Materials, Inc Low voltage sputtering for large area substrates
US8438729B2 (en) * 2006-03-09 2013-05-14 Canon Kabushiki Kaisha Method of producing liquid discharge head
US20080083611A1 (en) * 2006-10-06 2008-04-10 Tegal Corporation High-adhesive backside metallization
US7968146B2 (en) 2006-11-01 2011-06-28 The Trustees Of Princeton University Hybrid layers for use in coatings on electronic devices or other articles
US8833217B2 (en) * 2007-06-15 2014-09-16 The Bradbury Company, Inc. Methods and systems to drive rotary presses
JP2009182140A (en) * 2008-01-30 2009-08-13 Tokyo Electron Ltd Method of forming thin film, device for plasma deposition and storage medium
US8691057B2 (en) * 2008-03-25 2014-04-08 Oem Group Stress adjustment in reactive sputtering
US20090246385A1 (en) * 2008-03-25 2009-10-01 Tegal Corporation Control of crystal orientation and stress in sputter deposited thin films
KR101563763B1 (en) 2008-05-07 2015-10-27 더 트러스티즈 오브 프린스턴 유니버시티 Hybrid layers for use in coatings on electronic devices or other articles
US8482375B2 (en) * 2009-05-24 2013-07-09 Oem Group, Inc. Sputter deposition of cermet resistor films with low temperature coefficient of resistance
US9053929B1 (en) * 2010-05-19 2015-06-09 Corporation For National Research Initiatives Method and system for integrated MEMS and NEMS using deposited thin films having pre-determined stress states
US20140117509A1 (en) * 2012-10-26 2014-05-01 Infineon Technologies Ag Metal Deposition with Reduced Stress
JP2017054927A (en) * 2015-09-09 2017-03-16 セイコーエプソン株式会社 Method for manufacturing piezoelectric element
KR102140914B1 (en) * 2016-05-16 2020-08-04 가부시키가이샤 아루박 Forming method of internal stress control film
CN107513692B (en) * 2017-08-24 2019-10-11 北京北方华创微电子装备有限公司 A kind of film-forming method
WO2019162041A1 (en) * 2018-02-26 2019-08-29 Evatec Ag Stabilizing stress in a layer with respect to thermal loading
CN110218984B (en) * 2019-07-17 2022-11-25 北京北方华创微电子装备有限公司 Thin film deposition method
KR20230061642A (en) * 2021-10-28 2023-05-09 삼성전자주식회사 Semiconductor device and method for manufacturing the same

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3607384A (en) * 1968-07-11 1971-09-21 Western Electric Co Thin-film resistors having positive resistivity profiles
US3763026A (en) * 1969-12-22 1973-10-02 Gen Electric Method of making resistor thin films by reactive sputtering from a composite source
US4000055A (en) * 1972-01-14 1976-12-28 Western Electric Company, Inc. Method of depositing nitrogen-doped beta tantalum
DE2215151C3 (en) * 1972-03-28 1979-05-23 Siemens Ag, 1000 Berlin Und 8000 Muenchen Process for producing thin layers of tantalum
US4036708A (en) * 1976-05-13 1977-07-19 Bell Telephone Laboratories, Incorporated Technique for nucleating b.c.c. tantalum films on insulating substrates
JPS5856361A (en) * 1981-09-29 1983-04-04 Fujitsu Ltd Forming method for alpha-tantalum film by magnetron- sputtering device
US4683043A (en) * 1986-01-21 1987-07-28 Battelle Development Corporation Cubic boron nitride preparation
JP2742056B2 (en) * 1988-06-14 1998-04-22 富士通株式会社 X-ray mask
JPH0666287B2 (en) * 1988-07-25 1994-08-24 富士通株式会社 Method for manufacturing semiconductor device
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5236868A (en) * 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
JPH0819516B2 (en) * 1990-10-26 1996-02-28 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン Method and structure for forming thin film alpha Ta
KR950009939B1 (en) * 1990-11-30 1995-09-01 가부시끼가이샤 히다찌세이사꾸쇼 Thin film forming method and semiconductor device thereby
DE69122726T2 (en) * 1990-12-12 1997-03-13 Canon Kk Inkjet recording
JPH05308107A (en) * 1991-07-01 1993-11-19 Sumitomo Electric Ind Ltd Semiconductor device and its manufacture
JPH07153031A (en) * 1993-09-20 1995-06-16 Read Rite Corp Air-levitated thin-film magnetic head with wearproof end gap
US5464711A (en) * 1994-08-01 1995-11-07 Motorola Inc. Process for fabricating an X-ray absorbing mask
US5834374A (en) * 1994-09-30 1998-11-10 International Business Machines Corporation Method for controlling tensile and compressive stresses and mechanical problems in thin films on substrates
SE9502687D0 (en) * 1995-07-24 1995-07-24 Sandvik Ab CVD coated titanium based carbonitride cutting tool insert

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040185182A1 (en) * 2002-07-31 2004-09-23 General Electric Company Method for protecting articles, and related compositions
US6926390B2 (en) 2003-02-05 2005-08-09 Hewlett-Packard Development Company, L.P. Method of forming mixed-phase compressive tantalum thin films using nitrogen residual gas, thin films and fluid ejection devices including same
US7132132B2 (en) 2003-04-29 2006-11-07 Hewlett-Packard Development Company, L.P. Method of forming a fluid ejection device with a compressive alpha-tantalum layer
US6893116B2 (en) 2003-04-29 2005-05-17 Hewlett-Packard Development Company, L.P. Fluid ejection device with compressive alpha-tantalum layer
US20050175768A1 (en) * 2003-04-29 2005-08-11 Arjang Fartash Fluid ejection device with compressive alpha-tantalum layer
US20050250313A1 (en) * 2003-04-30 2005-11-10 Arjang Fartash Compressive alpha-tantalum thin film stack
US6955835B2 (en) 2003-04-30 2005-10-18 Hewlett-Packard Development Company, L.P. Method for forming compressive alpha-tantalum on substrates and devices including the same
US7081306B2 (en) 2003-04-30 2006-07-25 Hewlett-Packard Development Company, L.P. Compressive alpha-tantalum thin film stack
US20050098427A1 (en) * 2003-11-11 2005-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. RF coil design for improved film uniformity of an ion metal plasma source
US20060024939A1 (en) * 2004-07-29 2006-02-02 Stephan Grunow Method of fabricating robust nucleation/seed layers for subsequent deposition/fill of metallization layers
US7037837B2 (en) * 2004-07-29 2006-05-02 Texas Instruments Incorporated Method of fabricating robust nucleation/seed layers for subsequent deposition/fill of metallization layers
US9455220B2 (en) 2014-05-31 2016-09-27 Freescale Semiconductor, Inc. Apparatus and method for placing stressors on interconnects within an integrated circuit device to manage electromigration failures
US10014257B2 (en) 2014-05-31 2018-07-03 Nxp Usa, Inc. Apparatus and method for placing stressors within an integrated circuit device to manage electromigration failures
US9466569B2 (en) * 2014-11-12 2016-10-11 Freescale Semiconductor, Inc. Though-substrate vias (TSVs) and method therefor

Also Published As

Publication number Publication date
WO1998054377A3 (en) 1999-03-11
US6139699A (en) 2000-10-31
EP0985058A2 (en) 2000-03-15
JP2002500704A (en) 2002-01-08
US6488823B1 (en) 2002-12-03
KR20010012742A (en) 2001-02-26
WO1998054377A2 (en) 1998-12-03

Similar Documents

Publication Publication Date Title
US6139699A (en) Sputtering methods for depositing stress tunable tantalum and tantalum nitride films
US6149777A (en) Method of producing smooth titanium nitride films having low resistivity
US5882399A (en) Method of forming a barrier layer which enables a consistently highly oriented crystalline structure in a metallic interconnect
US7074714B2 (en) Method of depositing a metal seed layer on semiconductor substrates
US6793779B2 (en) Sputtering method for filling holes with copper
US6454919B1 (en) Physical vapor deposition apparatus with deposition and DC target power control
US6841044B1 (en) Chemically-enhanced physical vapor deposition
US6911124B2 (en) Method of depositing a TaN seed layer
US5738917A (en) Process for in-situ deposition of a Ti/TiN/Ti aluminum underlayer
US6420260B1 (en) Ti/Tinx underlayer which enables a highly &lt;111&gt; oriented aluminum interconnect
US6458255B2 (en) Ultra-low resistivity tantalum films and methods for their deposition
EP0776991A1 (en) Plasma annealing of thin films
US20020093101A1 (en) Method of metallization using a nickel-vanadium layer
D’Couto et al. In situ physical vapor deposition of ionized Ti and TiN thin films using hollow cathode magnetron plasma source
JP2004270035A (en) Method for forming tungsten or tungsten-containing thin film
WO1996017101A1 (en) A PROCESS FOR IN-SITU DEPOSITION OF A Ti/TiN BARRIER METAL STACK

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION