US20020109229A1 - Semiconductor device with improved metal interconnection and method for forming the metal interconnection - Google Patents

Semiconductor device with improved metal interconnection and method for forming the metal interconnection Download PDF

Info

Publication number
US20020109229A1
US20020109229A1 US10/121,710 US12171002A US2002109229A1 US 20020109229 A1 US20020109229 A1 US 20020109229A1 US 12171002 A US12171002 A US 12171002A US 2002109229 A1 US2002109229 A1 US 2002109229A1
Authority
US
United States
Prior art keywords
trench
layer
via hole
semiconductor device
insulating layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/121,710
Inventor
Jeong-sic Jeon
Jae-woong Kim
Sang-hee Kim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/121,710 priority Critical patent/US20020109229A1/en
Publication of US20020109229A1 publication Critical patent/US20020109229A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to a semiconductor device with metal interconnection and a method for forming metal interconnections of a semiconductor device, and more particularly, to a semiconductor device with double damascene metal interconnections and a method for forming the metal interconnections of a semiconductor device.
  • multi-level interconnection structure contributes to reducing the cell area and design rule of the semiconductor device, thereby increasing the integration density of the semiconductor device.
  • a double damascene technique has been used to form advanced interconnections.
  • a trench aligned with a gate electrode and a via hole through which a selected junction area is exposed are formed, and both the trench and the via hole are filled with a conductive material by the same process.
  • the double damascene technique is advantageous because it simplifies the entire metal interconnection process.
  • FIG. 2 is a plan view of the metal interconnection shown in FIG. 2.
  • a gate insulating layer 21 and a conductive layer for forming a gate electrode is deposited in succession on a semiconductor substrate 20 having a first conductive type.
  • a selected portion of the conductive layer is patterned into a gate electrode 23 .
  • Spacers 25 are formed on the sidewalls of the gate electrode 23 by a known technique.
  • Impurities having a second conductive type, which is an opposite type to that of the semiconductor substrate 20 are implanted into substrate 20 on both sides of the gate electrode 23 , thereby forming junction area 27 .
  • a first interlevel dielectric (ILD) film 29 is formed over the semiconductor substrate 20 having the gate electrode 23 and the junction area 27 , to a thickness of 8,000-20,000 ⁇ . Following this, the surface of the first ILD film 29 is polished a predetermined depth by chemical mechanical polishing. A selected portion of the first ILD film 29 is patterned to form a trench T aligned with the gate electrode 23 , and a via hole H through which the junction area 27 between adjacent gate electrodes is exposed. Here, a selected portion of the first IDL film 29 is removed by etching to form the trench T, such that the depth of the trench T is smaller than the depth of the via hole H.
  • ILD interlevel dielectric
  • an adhesive layer 31 is deposited along the surface of the first ILD film 29 and in the via hole H and the trench T, and a metal layer 32 is thereafter deposited on the structure to fill the via hole H and the trench T.
  • the metal layer 32 and the adhesive layer 31 are polished by chemical mechanical polishing until the surface of the first ILD film 29 is exposed.
  • first metal interconnections 34 a and 34 b having the metal layer 32 and the adhesive layer 31 embedded in the via hole H and the trench T are completed.
  • first metal interconnection 34 b formed in the trench T are aligned with the gate electrode 23 , a series of first metal interconnections 34 b are arranged in lines parallel to each other over the substrate 20 , separated by a predetermined distance from each other, as shown in FIG. 2.
  • a second ILD film 36 is deposited over the first ILD film 29 including the first metal interconnections 34 a and 34 b therein, and then the second ILD film 36 is partially etched such that the first metal interconnection 34 a filling the via hole H is exposed.
  • a second metal interconnection 38 is formed over the second ILD film 29 such that the second metal interconnection 38 contacts the first metal interconnection 34 a , which is exposed by etching.
  • the second metal interconnection 38 is formed to be perpendicular to the first metal interconnection 34 b filling the trench T, as shown in FIG. 2.
  • microscratches 39 occur in lines in a polishing direction.
  • the depth of the microscratches 39 vary depending on the force applied to the polishing pad and the particle size of the slurry aggregates and polishing residues. Usually, the depth of the microscratches 39 are in the range of 500-1500 ⁇ , but if serious, can be as deep as 2000 ⁇ .
  • first metal interconnections 34 a and 34 b portions of the adhesive layer 31 and the metal layer 32 remain caught in the unnecessary microscratches formed on the first ILD film 29 .
  • the remaining metal layer 32 serves as bridges between adjacent first metal interconnections 34 a and 34 b , as shown in FIGS. 3 and 4, thereby causing a short between the first metal interconnections 34 a and 34 b .
  • failure occurs during the manufacture of semiconductor devices, thereby degrading the electrical properties of semiconductor devices.
  • the present invention is therefore directed to a semiconductor device with improved metal interconnection, and a method of forming the metal interconnection, which substantially overcome one or more of the problems due to the limitations and disadvantages of the related art.
  • a semiconductor device with an improved metal interconnection structure including a substrate in which a plurality of conductive areas are defined, and an interlevel dielectric (ILD) film with a polished surface that covers the substrate and that has a via hole and a trench with a smaller depth than the via hole.
  • ILD interlevel dielectric
  • the semiconductor device also includes a metal interconnection formed in each of the via hole and the trench, and an anti-short insulating layer formed on the sidewalls of the metal interconnection formed in the trench.
  • the anti-short insulating layer may be further formed on the bottom of the trench filled with the metal interconnection, and on the surface of the ILD film adjacent to the trench.
  • the anti-short insulating layer may be formed of the same insulating layer as the ILD film, or an insulating layer having a polishing selectivity of 15:1 or greater with respect to the metal interconnection, such as a silicon nitride layer or a silicon oxynitride layer.
  • the metal interconnection may comprise a metal layer formed in the trench and in the via hole, and an adhesive layer interposed between the metal layer and the ILD film.
  • a semiconductor device with an improved metal interconnection structure including a substrate in which a plurality of conductive areas are defined, and an interlevel dielectric (ILD) film with a polished surface that covers the substrate and that has a via hole and a trench with a smaller depth than the via hole.
  • ILD interlevel dielectric
  • the semiconductor device also includes a metal interconnection formed in each of the via hole and the trench, and an insulating spacer formed on the sidewalls of the metal interconnection formed in the trench.
  • the semiconductor device may further include a polishing stop layer formed on the surface of the ILD film adjacent to the trench, on the insulating spacer in the trench, and on the bottom of the trench.
  • the polishing stop layer and the spacer may be formed of the same insulating layer.
  • the polishing stop layer and the spacer may be formed of the same insulating layer as the ILD film, or the spacer may be formed of an insulating layer having a polishing selectivity of 15:1 or greater with respect to the metal interconnection.
  • a method for forming a metal interconnection of a semiconductor device comprising preparing a semiconductor substrate in which a plurality of conductive areas are defined.
  • An interlevel dielectric (ILD) film with a polished surface is formed over the semiconductor substrate.
  • a portion of the ILD film, below which a conductive area selected from the plurality of the conductive areas is not located, is etched a predetermined depth to form a trench.
  • An anti-short insulating layer is formed on the ILD film and in the trench.
  • the anti-short insulating layer and the ILD film are etched to form a via hole through which the selected conductive area is exposed.
  • the trench and the via hole are filled with metal to form a metal interconnection.
  • a method for forming a metal interconnection of a semiconductor device comprising preparing a semiconductor substrate in which a plurality of conductive areas are defined.
  • An interlevel dielectric (ILD) film with a polished surface is formed over the semiconductor substrate.
  • a portion of the ILD film, below which a conductive area selected from the plurality of the conductive areas is not located, is etched by a predetermined depth to form a trench.
  • An anti-short insulating layer is formed on the ILD film and in the trench.
  • the anti-short insulating layer is etched back such that the ILD film is exposed while the anti-short insulating layer remains as spacers only on both sidewalls of the trench.
  • a portion of the ILD film is etched to form a via hole through which the selected conductive area is exposed.
  • the trench and the via hole are filled with metal to form a metal interconnection.
  • Forming the metal interconnection may comprise forming an adhesive layer along the ILD film and in the trench and the via hole; forming a metal layer over the adhesive layer such that the trench and the via hole are completely filled; and polishing the metal layer and the adhesive layer until the surface of the metal ILD film is exposed.
  • the method may further include forming a polishing stop layer over the ILD film and the spacers.
  • the polishing stop layer may be formed of the same insulating layer as the anti-short insulating layer.
  • the anti-short insulating layer and the polishing stop layer can be formed of the same material layer as the ILD film, or an insulating layer having a polishing selectivity of 15:1 or greater with respect to the metal interconnection.
  • FIG. 1 is a sectional view illustrating a structure of metal interconnections of a semiconductor device formed using a conventional double damascene technique
  • FIG. 2 is a plan view of the metal interconnections of the semiconductor device shown in FIG. 1;
  • FIG. 3 is a sectional view taken along line III-III′ of FIG. 2;
  • FIG. 4 is a sectional view taken along line IV-IV′ of FIG. 2;
  • FIGS. 5A through 5D are sectional views illustrating successive stages in forming metal interconnections of a semiconductor device according to a preferred embodiment of the present invention.
  • FIG. 6 is a plan view illustrating the metal interconnections of a semiconductor device formed according to the first embodiment of the present invention as illustrated in FIGS. 5 A- 5 D;
  • FIG. 7 is a sectional view taken along line VII-VII′ of FIG. 6;
  • FIG. 8 is a sectional view taken along line VIII-VIII′ of FIG. 6;
  • FIG. 9 is a sectional view illustrating the metal interconnections of a semiconductor device formed according to a second embodiment of the present invention.
  • FIG. 10 is a sectional view illustrating the metal interconnections of a semiconductor device formed according to a third embodiment of the present invention.
  • FIGS. 5A through 5D A preferred embodiment of a method for forming metal interconnections of a semiconductor device will be described with reference to FIGS. 5A through 5D.
  • a gate insulating layer 102 and a conductive layer (not shown) for forming a gate electrode are deposited in succession over a semiconductor substrate 100 having a first conductive type.
  • the conductive layer is patterned into a gate electrode 104 .
  • Insulating spacers 106 are formed on both sides of the gate electrode 104 by a known technique.
  • a plurality of gate electrodes 104 are arranged in lines, separated by an equal distance from each other.
  • the gate electrode 104 may be formed of a doped polysilicon layer, a transition metal silicide layer, a transition metal layer, or a doped polysilicon/transition metal silicide layer.
  • a capping insulating layer may be formed on the gate electrode 104 for self-contact alignment. Impurities having a second conductive type, which is an opposite type to that of the semiconductor substrate 100 , are implanted into substrate 100 at both sides of the gate electrode 104 , thereby forming a junction area 108 .
  • a first interlevel dielectric (ILD) film 110 is deposited over the semiconductor substrate 100 including the gate electrode 104 and the junction area 108 , to a thickness of 8,000-20,000 ⁇ .
  • the first ILD film 110 may be formed as a single insulating layer, a multi-level insulating layer, or a multi-level insulating layer including a planarization layer.
  • the surface of the first ILD film 110 is polished a predetermined depth using a chemical mechanical polishing technique. During the chemical mechanical polishing, microscratches (not shown) may occur on the surface of the first ILD film 110 .
  • a photoresist pattern (not shown) is formed on the polished first ILD film, such that a portion of the first ILD film 110 , which is aligned with the gate electrode 104 , is exposed.
  • the exposed portion of the first ILD film 110 is etched a predetermined depth using the photoresist pattern as a mask, thereby resulting in a trench t in the first ILD film 110 .
  • An anti-short insulating layer 112 is deposited along the first ILD film 110 with the trench t.
  • the anti-short insulating layer 112 is deposited to have a predetermined thickness, for example, a thickness of 200-1,000 ⁇ , wherein microscratches in the first ILD film 110 are completely covered by the anti-short insulating layer 112 . Although microscratches may be as deep as 500-1,000 ⁇ , the microscratches have very narrow width. Thus, the microscratches are fully covered with the anti-short insulating layer 112 having a thickness of 200-1,000 ⁇ .
  • the anti-short insulating layer 112 filling the microscratches serves to prevent a short between adjacent metal interconnections which will be formed subsequently.
  • the anti-short insulating layer 112 may be formed of the same material as that used to form the first ILD film 110 .
  • the anti-short insulating layer 112 may be formed of an insulating layer having a polishing selectivity of 15:1 or greater with respect to metal interconnections.
  • An insulating layer with this polishing selectivity may be a silicon nitride (SiN) layer or a silicon oxynitride (SiON) layer.
  • a photoresist pattern (not shown) is formed on the anti-short insulating layer 112 by a known photolithography process such that a portion of the anti-short insulating layer 112 , which is aligned with the junction area 108 between adjacent gate electrodes 104 , is exposed.
  • the anti-short insulating layer 112 and the first ILD film 110 are etched using the photoresist pattern, thereby forming a via hole h through which the junction area 108 between adjacent gate electrodes 104 is exposed.
  • an adhesive layer 116 is formed on the anti-short insulating layer 112 , and on the exposed first ILD film 110 and the junction area 108 within via hole h, as shown in FIG. 5B.
  • the adhesive layer 116 may be formed of a titanium (Ti) layer or a composite layer of Ti and titanium nitride (TiN) layers.
  • a first metal layer 118 is deposited over the adhesive layer 116 .
  • the first metal layer 118 may be formed of tungsten (W) or copper (Cu) having fluidity enough to fill the trench t and the via hole h, to have a thickness at which the trench t and the via hole h are completely filled.
  • first metal interconnections 120 a and 120 b having the metal layer 118 and the adhesive layer 116 embedded in the via hole h and the trench t are completed.
  • reference numeral 120 a denotes a first metal interconnection filling the via hole h
  • reference numeral 120 b denotes a first metal interconnection filling the trench t.
  • a second ILD film 122 is deposited over the first metal interconnections 120 a and 120 b , and the anti-short insulating layer 112 , and the second ILD film 122 is etched until a portion of the first metal interconnection 120 a filling the via hole h is exposed. Then, a second metal interconnection 124 is deposited over the second ILD film 122 and to be in contact with the exposed first metal interconnection 120 a.
  • FIG. 6 is a plan view showing the metal interconnections of the semiconductor device manufactured by the above-mention method.
  • a plurality of first metal interconnections 120 b each filling a trench t are arranged in lines separated by an equal distance from each other, and a plurality of second metal interconnections 124 are arranged to be perpendicular to the first metal interconnections 120 b .
  • microscratches 200 occur in the first ILD film 110 in lines parallel to the second metal interconnections 124 for example, shorts between adjacent first metal interconnections 120 a and 120 b can be prevented by the anti-short insulating layer 112 .
  • microscratch 200 occurs in the first ILD film 110 during a polishing process, due to the presence of the anti-short insulating layer 112 covering microscratch 200 , a short between adjacent first metal interconnections 120 a and 120 b can be prevented.
  • FIG. 9 Another embodiment of a semiconductor device having metal interconnections according to this invention is shown in FIG. 9.
  • the successive steps up to the formation of the trench t in the first ILD film 110 are the same as those in the first embodiment, and thus only processes following the formation of the trench t will be described with respect to this embodiment.
  • the same reference elements as those of the first embodiment as described with respect to FIGS. 5 - 8 are represented by the same reference numerals in this embodiment.
  • an anti-short insulating layer 112 (see FIG. 5) is deposited on the first ILD film 110 and in the trench t.
  • the anti-short insulating layer may be formed of the same material used in the first embodiment, i.e., the same insulating layer used to form the first ILD film 110 , or an insulating layer, for example, a silicon nitride layer or a silicon oxynitride layer, having a polishing selectivity of 15:1 or greater with respect to metal interconnections to be subsequently formed.
  • the anti-short insulating layer is etched back such that the surface of the first ILD film 110 is exposed and the anti-short insulating layer remains as spacers on the sidewalls of the trench t. Even when the surface of the first ILD film 110 is scratched, microscratches (not shown) can be covered with the anti-short insulating layer, so that the surface of the first ILD film 110 can be planarized.
  • reference numeral 112 a denotes a trench-spacer, which is the anti-short insulating layer remaining as a spacer on the sidewalls of the trench t.
  • a photoresist pattern (not shown) is formed on the first ILD film 110 .
  • the first ILD film 110 is etched using the photoresist pattern as a mask, thereby forming a via hole h through which the junction area 108 is exposed.
  • the adhesive layer 116 and the first metal layer 118 are deposited in succession on the surface of the first ILD film 110 , on the trench-spacer 112 a and on the exposed surface of the junction area 108 within via hole h , and are then subjected to chemical mechanical polishing until the surface of the first ILD film 110 is exposed.
  • first metal interconnections 120 a and 120 b having the first metal layer 118 and the adhesive layer 116 embedded in the via hole h and the trench t are completed.
  • the second ILD film 122 is formed over the first ILD film 110 and the first metal interconnections 120 a and 120 b , and patterned such that a portion of the first metal interconnection 120 a filling the via hole h is exposed.
  • a second metal interconnection 124 is formed over the second ILD film 120 a and to be in contact with the exposed first metal interconnection 120 a.
  • the trench-spacer 112 a is formed on the sidewalls of the trench t filled with the first metal interconnection 120 b , thereby isolating the first metal interconnection 120 b and the remaining metal in the microscratches from each other. At this time, the first metal interconnections 120 a and 120 b are also isolated from each other.
  • the anti-short insulating layer 112 is etched back to form the trench-spacer 112 a , microscratches present over the first ILD film 110 can be further covered with byproducts from the etching, thereby preventing any metal layer from adhering to the micro scratches.
  • FIG. 10 Still another embodiment of a semiconductor device having metal interconnections according to the present invention is shown in FIG. 10.
  • the successive steps up to the formation of the trench-spacer 112 a are the same as those in the second embodiment, and thus only processes following the formation of the trench-spacer 112 a will be described.
  • the same reference elements as those of the first and second embodiments are represented by the same reference numerals in this embodiment.
  • a polishing stop layer 114 is deposited along the first ILD film 110 and on the trench-spacer 112 a in the trench t, to a thickness of 200-500 ⁇ .
  • the polishing stop layer 114 serves as a polishing stop point in forming metal interconnections by polishing.
  • the polishing stop layer 114 may be formed of the same material used to form the first ILD film 110 , or of an insulating layer such as a silicon nitride layer or a silicon oxynitride layer having a polishing selectivity of 15:1 or greater with respect to metal interconnections to be subsequently formed.
  • the first metal interconnections 120 a and 120 b , the second ILD film 122 , and the second metal interconnection 124 are formed by the same processes as described with respect to the first and second embodiments.
  • polishing stop layer 114 is formed on the trench-spacer 112 a and the first ILD film 110 using the same material used to form the first ILD film 110 , or using an insulating layer having a polishing selectivity of 15:1 or greater with respect to the first metal interconnections 120 a and 120 b , polishing for the first metal interconnections 120 a and 120 b can be easily performed, resulting in the first ILD film 110 having a planarized surface.
  • the first metal interconnections 120 a and 120 b can be insulated from the remaining metal layer by the trench-spacer 112 a and the polishing stop layer 114 , thereby preventing a short between adjacent metal interconnections.
  • an anti-short insulating layer is deposited on the ILD film including the microscratches, before the formation of metal interconnections.
  • the microscratches are completely covered by the anti-short insulating layer, and thus the occurrence of shorts between adjacent metal connections can be prevented.

Abstract

A semiconductor device having a metal interconnection structure, and a method of forming a corresponding semiconductor device having a metal interconnection. The semiconductor device includes an interlevel dielectric (ILD) film deposited over a semiconductor substrate. The semiconductor substrate includes gate electrodes thereon separated from each other by an equal distance, and includes junction areas located between the gate electrodes, and is subjected to polishing. A portion of the ILD film aligned with a gate electrode is etched to a depth to form a trench. An anti-short insulating layer is deposited on the ILD film and in the trench. The anti-short insulating layer and the ILD film are etched to form a via hole so as to expose a junction area. The trench and the via hole are filled with metal, thereby resulting in a completed metal interconnection.

Description

  • The present application claims priority under 35 U.S.C. §119 to Korean Application No. 2000-65258 filed on Nov. 3, 2000, which is hereby incorporated by reference in its entirety for all purposes. [0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • The present invention relates to a semiconductor device with metal interconnection and a method for forming metal interconnections of a semiconductor device, and more particularly, to a semiconductor device with double damascene metal interconnections and a method for forming the metal interconnections of a semiconductor device. [0003]
  • 2. Description of the Related Art [0004]
  • With the increase in integration density of semiconductor devices, conductive layers for a semiconductor device have been deposited on a wafer with a multi-level structure. The multi-level interconnection structure contributes to reducing the cell area and design rule of the semiconductor device, thereby increasing the integration density of the semiconductor device. For multi-level interconnections having smaller size, it would be desirable to conduct extensive research and development into advanced metal interconnection structures and alternative materials used to form interconnections. [0005]
  • A double damascene technique has been used to form advanced interconnections. According to the double damascene technique, a trench aligned with a gate electrode and a via hole through which a selected junction area is exposed are formed, and both the trench and the via hole are filled with a conductive material by the same process. Thus, the double damascene technique is advantageous because it simplifies the entire metal interconnection process. [0006]
  • A structure of metal interconnection of a semiconductor device manufactured using a conventional double damascene technique is shown in FIG. 1. FIG. 2 is a plan view of the metal interconnection shown in FIG. 2. Referring to FIGS. 1 and 2, a [0007] gate insulating layer 21 and a conductive layer for forming a gate electrode is deposited in succession on a semiconductor substrate 20 having a first conductive type. A selected portion of the conductive layer is patterned into a gate electrode 23. Spacers 25 are formed on the sidewalls of the gate electrode 23 by a known technique. Impurities having a second conductive type, which is an opposite type to that of the semiconductor substrate 20, are implanted into substrate 20 on both sides of the gate electrode 23, thereby forming junction area 27.
  • A first interlevel dielectric (ILD) [0008] film 29 is formed over the semiconductor substrate 20 having the gate electrode 23 and the junction area 27, to a thickness of 8,000-20,000 Å. Following this, the surface of the first ILD film 29 is polished a predetermined depth by chemical mechanical polishing. A selected portion of the first ILD film 29 is patterned to form a trench T aligned with the gate electrode 23, and a via hole H through which the junction area 27 between adjacent gate electrodes is exposed. Here, a selected portion of the first IDL film 29 is removed by etching to form the trench T, such that the depth of the trench T is smaller than the depth of the via hole H.
  • Following this, an [0009] adhesive layer 31 is deposited along the surface of the first ILD film 29 and in the via hole H and the trench T, and a metal layer 32 is thereafter deposited on the structure to fill the via hole H and the trench T. The metal layer 32 and the adhesive layer 31 are polished by chemical mechanical polishing until the surface of the first ILD film 29 is exposed. As a result, first metal interconnections 34 a and 34 b having the metal layer 32 and the adhesive layer 31 embedded in the via hole H and the trench T are completed. In this case, since the first metal interconnection 34 b formed in the trench T are aligned with the gate electrode 23, a series of first metal interconnections 34 b are arranged in lines parallel to each other over the substrate 20, separated by a predetermined distance from each other, as shown in FIG. 2.
  • Next, a second ILD [0010] film 36 is deposited over the first ILD film 29 including the first metal interconnections 34 a and 34 b therein, and then the second ILD film 36 is partially etched such that the first metal interconnection 34 a filling the via hole H is exposed. A second metal interconnection 38 is formed over the second ILD film 29 such that the second metal interconnection 38 contacts the first metal interconnection 34 a, which is exposed by etching. The second metal interconnection 38 is formed to be perpendicular to the first metal interconnection 34 b filling the trench T, as shown in FIG. 2.
  • However, there are problems in forming metal interconnections by the conventional double damascene technique. Chemical mechanical polishing causes aggregations of slurry that is applied as an abrasive, and polishing residues, (not shown) to remain on the polished surface of a layer. As a result, when physical force is applied through a polishing pad to polish the first ILD [0011] film 29 for example, the surface of the first ILD film 29 is scratched due to the presence of such slurry aggregations and polishing residues. Scratches on the surface of the first ILD film 29, which are caused by the slurry aggregations and polishing residues, are referred to as “microscratches”. As shown in FIG. 2, microscratches 39 occur in lines in a polishing direction. The depth of the microscratches 39 vary depending on the force applied to the polishing pad and the particle size of the slurry aggregates and polishing residues. Usually, the depth of the microscratches 39 are in the range of 500-1500 Å, but if serious, can be as deep as 2000 Å.
  • During formation of the [0012] first metal interconnections 34 a and 34 b, portions of the adhesive layer 31 and the metal layer 32 remain caught in the unnecessary microscratches formed on the first ILD film 29. As shown in FIG. 2, since the microscratches 39 occur in lines along a polishing direction, the remaining metal layer 32 serves as bridges between adjacent first metal interconnections 34 a and 34 b, as shown in FIGS. 3 and 4, thereby causing a short between the first metal interconnections 34 a and 34 b. As a result, failure occurs during the manufacture of semiconductor devices, thereby degrading the electrical properties of semiconductor devices.
  • SUMMARY OF THE INVENTION
  • The present invention is therefore directed to a semiconductor device with improved metal interconnection, and a method of forming the metal interconnection, which substantially overcome one or more of the problems due to the limitations and disadvantages of the related art. [0013]
  • To solve the above problems, it is a first object of the present invention to provide a semiconductor device with improved metal interconnections, which does not include shorts between adjacent metal interconnections. [0014]
  • It is a second object of the present invention to provide a method for forming metal interconnections of a semiconductor device, which is capable of preventing the occurrence of shorts between the metal interconnections formed using a double damascene technique. [0015]
  • The first and other objects of the present invention are achieved by a semiconductor device with an improved metal interconnection structure, including a substrate in which a plurality of conductive areas are defined, and an interlevel dielectric (ILD) film with a polished surface that covers the substrate and that has a via hole and a trench with a smaller depth than the via hole. Here, a selected conductive area is exposed through the via hole. The semiconductor device also includes a metal interconnection formed in each of the via hole and the trench, and an anti-short insulating layer formed on the sidewalls of the metal interconnection formed in the trench. [0016]
  • The anti-short insulating layer may be further formed on the bottom of the trench filled with the metal interconnection, and on the surface of the ILD film adjacent to the trench. The anti-short insulating layer may be formed of the same insulating layer as the ILD film, or an insulating layer having a polishing selectivity of 15:1 or greater with respect to the metal interconnection, such as a silicon nitride layer or a silicon oxynitride layer. Also, the metal interconnection may comprise a metal layer formed in the trench and in the via hole, and an adhesive layer interposed between the metal layer and the ILD film. [0017]
  • In another embodiment, there is provided a semiconductor device with an improved metal interconnection structure, including a substrate in which a plurality of conductive areas are defined, and an interlevel dielectric (ILD) film with a polished surface that covers the substrate and that has a via hole and a trench with a smaller depth than the via hole. Here, a selected conductive area is exposed through the via hole. The semiconductor device also includes a metal interconnection formed in each of the via hole and the trench, and an insulating spacer formed on the sidewalls of the metal interconnection formed in the trench. [0018]
  • The semiconductor device may further include a polishing stop layer formed on the surface of the ILD film adjacent to the trench, on the insulating spacer in the trench, and on the bottom of the trench. The polishing stop layer and the spacer may be formed of the same insulating layer. Alternatively, the polishing stop layer and the spacer may be formed of the same insulating layer as the ILD film, or the spacer may be formed of an insulating layer having a polishing selectivity of 15:1 or greater with respect to the metal interconnection. [0019]
  • To achieve the second and other objects of the present invention, there is provided a method for forming a metal interconnection of a semiconductor device, the method comprising preparing a semiconductor substrate in which a plurality of conductive areas are defined. An interlevel dielectric (ILD) film with a polished surface is formed over the semiconductor substrate. Next, a portion of the ILD film, below which a conductive area selected from the plurality of the conductive areas is not located, is etched a predetermined depth to form a trench. An anti-short insulating layer is formed on the ILD film and in the trench. Then, the anti-short insulating layer and the ILD film are etched to form a via hole through which the selected conductive area is exposed. Lastly, the trench and the via hole are filled with metal to form a metal interconnection. [0020]
  • In another embodiment, there is provided a method for forming a metal interconnection of a semiconductor device, the method comprising preparing a semiconductor substrate in which a plurality of conductive areas are defined. An interlevel dielectric (ILD) film with a polished surface is formed over the semiconductor substrate. Then, a portion of the ILD film, below which a conductive area selected from the plurality of the conductive areas is not located, is etched by a predetermined depth to form a trench. An anti-short insulating layer is formed on the ILD film and in the trench. Following this, the anti-short insulating layer is etched back such that the ILD film is exposed while the anti-short insulating layer remains as spacers only on both sidewalls of the trench. A portion of the ILD film is etched to form a via hole through which the selected conductive area is exposed. Lastly, the trench and the via hole are filled with metal to form a metal interconnection. [0021]
  • Forming the metal interconnection may comprise forming an adhesive layer along the ILD film and in the trench and the via hole; forming a metal layer over the adhesive layer such that the trench and the via hole are completely filled; and polishing the metal layer and the adhesive layer until the surface of the metal ILD film is exposed. [0022]
  • After the formation of the spacers and before the formation of the via hole, the method may further include forming a polishing stop layer over the ILD film and the spacers. In this way, the polishing stop layer may be formed of the same insulating layer as the anti-short insulating layer. For example, the anti-short insulating layer and the polishing stop layer can be formed of the same material layer as the ILD film, or an insulating layer having a polishing selectivity of 15:1 or greater with respect to the metal interconnection. [0023]
  • Further scope of applicability of the present invention will become apparent from the detailed description given hereinafter. However, it should be understood that the detailed description and specific examples, while indicating preferred embodiments of the invention, are given by way of illustration only, since various changes and modifications within the spirit and scope of the invention will become apparent to those skilled in the art from this detailed description.[0024]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention will become more fully understood from the detailed description given hereinbelow and the accompanying drawings which are given by way of illustration only, and thus are not limitative of the present invention, and wherein: [0025]
  • FIG. 1 is a sectional view illustrating a structure of metal interconnections of a semiconductor device formed using a conventional double damascene technique; [0026]
  • FIG. 2 is a plan view of the metal interconnections of the semiconductor device shown in FIG. 1; [0027]
  • FIG. 3 is a sectional view taken along line III-III′ of FIG. 2; [0028]
  • FIG. 4 is a sectional view taken along line IV-IV′ of FIG. 2; [0029]
  • FIGS. 5A through 5D are sectional views illustrating successive stages in forming metal interconnections of a semiconductor device according to a preferred embodiment of the present invention; [0030]
  • FIG. 6 is a plan view illustrating the metal interconnections of a semiconductor device formed according to the first embodiment of the present invention as illustrated in FIGS. [0031] 5A-5D;
  • FIG. 7 is a sectional view taken along line VII-VII′ of FIG. 6; [0032]
  • FIG. 8 is a sectional view taken along line VIII-VIII′ of FIG. 6; [0033]
  • FIG. 9 is a sectional view illustrating the metal interconnections of a semiconductor device formed according to a second embodiment of the present invention; and [0034]
  • FIG. 10 is a sectional view illustrating the metal interconnections of a semiconductor device formed according to a third embodiment of the present invention.[0035]
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention will now be described more fully with reference to the accompanying drawings, in which preferred embodiments of the invention are shown. This invention may, however, be embodied in many different forms and should not be construed as being limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the concept of the invention to those skilled in the art. In the drawings, the thickness of layers and regions are exaggerated for clarity. It is also noted that like reference numerals may be used to designate identical or corresponding parts throughout the drawings. It will also be understood that when a layer is referred to as being “on” another layer or substrate, it can be directly on the other layer or substrate, or intervening layers may also be present. [0036]
  • A preferred embodiment of a method for forming metal interconnections of a semiconductor device will be described with reference to FIGS. 5A through 5D. [0037]
  • Referring to FIG. 5A, a [0038] gate insulating layer 102 and a conductive layer (not shown) for forming a gate electrode are deposited in succession over a semiconductor substrate 100 having a first conductive type. The conductive layer is patterned into a gate electrode 104. Insulating spacers 106 are formed on both sides of the gate electrode 104 by a known technique. A plurality of gate electrodes 104 are arranged in lines, separated by an equal distance from each other. The gate electrode 104 may be formed of a doped polysilicon layer, a transition metal silicide layer, a transition metal layer, or a doped polysilicon/transition metal silicide layer. A capping insulating layer (not shown) may be formed on the gate electrode 104 for self-contact alignment. Impurities having a second conductive type, which is an opposite type to that of the semiconductor substrate 100, are implanted into substrate 100 at both sides of the gate electrode 104, thereby forming a junction area 108.
  • Following this, a first interlevel dielectric (ILD) [0039] film 110 is deposited over the semiconductor substrate 100 including the gate electrode 104 and the junction area 108, to a thickness of 8,000-20,000 Å. The first ILD film 110 may be formed as a single insulating layer, a multi-level insulating layer, or a multi-level insulating layer including a planarization layer. In order to planarize the first ILD film 110, the surface of the first ILD film 110 is polished a predetermined depth using a chemical mechanical polishing technique. During the chemical mechanical polishing, microscratches (not shown) may occur on the surface of the first ILD film 110. After the chemical mechanical polishing is completed, a photoresist pattern (not shown) is formed on the polished first ILD film, such that a portion of the first ILD film 110, which is aligned with the gate electrode 104, is exposed. The exposed portion of the first ILD film 110 is etched a predetermined depth using the photoresist pattern as a mask, thereby resulting in a trench t in the first ILD film 110. An anti-short insulating layer 112 is deposited along the first ILD film 110 with the trench t. The anti-short insulating layer 112 is deposited to have a predetermined thickness, for example, a thickness of 200-1,000 Å, wherein microscratches in the first ILD film 110 are completely covered by the anti-short insulating layer 112. Although microscratches may be as deep as 500-1,000 Å, the microscratches have very narrow width. Thus, the microscratches are fully covered with the anti-short insulating layer 112 having a thickness of 200-1,000 Å. The anti-short insulating layer 112 filling the microscratches serves to prevent a short between adjacent metal interconnections which will be formed subsequently. The anti-short insulating layer 112 may be formed of the same material as that used to form the first ILD film 110. Alternatively, the anti-short insulating layer 112 may be formed of an insulating layer having a polishing selectivity of 15:1 or greater with respect to metal interconnections. An insulating layer with this polishing selectivity may be a silicon nitride (SiN) layer or a silicon oxynitride (SiON) layer.
  • A photoresist pattern (not shown) is formed on the anti-short [0040] insulating layer 112 by a known photolithography process such that a portion of the anti-short insulating layer 112, which is aligned with the junction area 108 between adjacent gate electrodes 104, is exposed. Following this, the anti-short insulating layer 112 and the first ILD film 110 are etched using the photoresist pattern, thereby forming a via hole h through which the junction area 108 between adjacent gate electrodes 104 is exposed. Next, an adhesive layer 116 is formed on the anti-short insulating layer 112, and on the exposed first ILD film 110 and the junction area 108 within via hole h, as shown in FIG. 5B. As well known, the adhesive layer 116 may be formed of a titanium (Ti) layer or a composite layer of Ti and titanium nitride (TiN) layers. A first metal layer 118 is deposited over the adhesive layer 116. The first metal layer 118 may be formed of tungsten (W) or copper (Cu) having fluidity enough to fill the trench t and the via hole h, to have a thickness at which the trench t and the via hole h are completely filled.
  • Referring to FIG. 5C, the [0041] first metal layer 118 is subjected to chemical mechanical polishing until the anti-short insulating layer 112 is exposed. As a result, first metal interconnections 120 a and 120 b having the metal layer 118 and the adhesive layer 116 embedded in the via hole h and the trench t are completed. In FIG. 5C, reference numeral 120 a denotes a first metal interconnection filling the via hole h, and reference numeral 120 b denotes a first metal interconnection filling the trench t.
  • As shown in FIG. 5D, a [0042] second ILD film 122 is deposited over the first metal interconnections 120 a and 120 b, and the anti-short insulating layer 112, and the second ILD film 122 is etched until a portion of the first metal interconnection 120 a filling the via hole h is exposed. Then, a second metal interconnection 124 is deposited over the second ILD film 122 and to be in contact with the exposed first metal interconnection 120 a.
  • FIG. 6 is a plan view showing the metal interconnections of the semiconductor device manufactured by the above-mention method. Referring to FIG. 6, a plurality of [0043] first metal interconnections 120 b each filling a trench t are arranged in lines separated by an equal distance from each other, and a plurality of second metal interconnections 124 are arranged to be perpendicular to the first metal interconnections 120 b. Although microscratches 200 occur in the first ILD film 110 in lines parallel to the second metal interconnections 124 for example, shorts between adjacent first metal interconnections 120 a and 120 b can be prevented by the anti-short insulating layer 112.
  • In particular, as shown in FIGS. 7 and 8, although [0044] microscratch 200 occurs in the first ILD film 110 during a polishing process, due to the presence of the anti-short insulating layer 112 covering microscratch 200, a short between adjacent first metal interconnections 120 a and 120 b can be prevented.
  • Another embodiment of a semiconductor device having metal interconnections according to this invention is shown in FIG. 9. In this embodiment, the successive steps up to the formation of the trench t in the [0045] first ILD film 110 are the same as those in the first embodiment, and thus only processes following the formation of the trench t will be described with respect to this embodiment. The same reference elements as those of the first embodiment as described with respect to FIGS. 5-8 are represented by the same reference numerals in this embodiment.
  • Referring to FIG. 9, after the trench t is formed in the [0046] first ILD film 110, an anti-short insulating layer 112 (see FIG. 5) is deposited on the first ILD film 110 and in the trench t. Here, the anti-short insulating layer may be formed of the same material used in the first embodiment, i.e., the same insulating layer used to form the first ILD film 110, or an insulating layer, for example, a silicon nitride layer or a silicon oxynitride layer, having a polishing selectivity of 15:1 or greater with respect to metal interconnections to be subsequently formed. The anti-short insulating layer is etched back such that the surface of the first ILD film 110 is exposed and the anti-short insulating layer remains as spacers on the sidewalls of the trench t. Even when the surface of the first ILD film 110 is scratched, microscratches (not shown) can be covered with the anti-short insulating layer, so that the surface of the first ILD film 110 can be planarized. In FIG. 9, reference numeral 112 a denotes a trench-spacer, which is the anti-short insulating layer remaining as a spacer on the sidewalls of the trench t.
  • Following this, in order to expose the [0047] junction area 108 between adjacent gate electrodes 104, a photoresist pattern (not shown) is formed on the first ILD film 110. The first ILD film 110 is etched using the photoresist pattern as a mask, thereby forming a via hole h through which the junction area 108 is exposed.
  • Following this, like in the first embodiment, the [0048] adhesive layer 116 and the first metal layer 118 are deposited in succession on the surface of the first ILD film 110, on the trench-spacer 112 a and on the exposed surface of the junction area 108 within via hole h, and are then subjected to chemical mechanical polishing until the surface of the first ILD film 110 is exposed. As a result, first metal interconnections 120 a and 120 b having the first metal layer 118 and the adhesive layer 116 embedded in the via hole h and the trench t are completed. Next, the second ILD film 122 is formed over the first ILD film 110 and the first metal interconnections 120 a and 120 b, and patterned such that a portion of the first metal interconnection 120 a filling the via hole h is exposed. A second metal interconnection 124 is formed over the second ILD film 120 a and to be in contact with the exposed first metal interconnection 120 a.
  • In the present embodiment, the trench-[0049] spacer 112 a is formed on the sidewalls of the trench t filled with the first metal interconnection 120 b, thereby isolating the first metal interconnection 120 b and the remaining metal in the microscratches from each other. At this time, the first metal interconnections 120 a and 120 b are also isolated from each other. In addition, when the anti-short insulating layer 112 is etched back to form the trench-spacer 112 a, microscratches present over the first ILD film 110 can be further covered with byproducts from the etching, thereby preventing any metal layer from adhering to the micro scratches.
  • Still another embodiment of a semiconductor device having metal interconnections according to the present invention is shown in FIG. 10. In this embodiment, the successive steps up to the formation of the trench-[0050] spacer 112 a, which is an anti-short insulating layer formed on the sidewalls of the trench t, are the same as those in the second embodiment, and thus only processes following the formation of the trench-spacer 112 a will be described. The same reference elements as those of the first and second embodiments are represented by the same reference numerals in this embodiment.
  • Referring to FIG. 10, after the trench-[0051] spacer 112 a is formed on the sidewalls of the trench t, a polishing stop layer 114 is deposited along the first ILD film 110 and on the trench-spacer 112 a in the trench t, to a thickness of 200-500 Å. The polishing stop layer 114 serves as a polishing stop point in forming metal interconnections by polishing. The polishing stop layer 114 may be formed of the same material used to form the first ILD film 110, or of an insulating layer such as a silicon nitride layer or a silicon oxynitride layer having a polishing selectivity of 15:1 or greater with respect to metal interconnections to be subsequently formed. Following this, the first metal interconnections 120 a and 120 b, the second ILD film 122, and the second metal interconnection 124 are formed by the same processes as described with respect to the first and second embodiments.
  • In this embodiment, since the polishing [0052] stop layer 114 is formed on the trench-spacer 112 a and the first ILD film 110 using the same material used to form the first ILD film 110, or using an insulating layer having a polishing selectivity of 15:1 or greater with respect to the first metal interconnections 120 a and 120 b, polishing for the first metal interconnections 120 a and 120 b can be easily performed, resulting in the first ILD film 110 having a planarized surface. In addition, even when a metal layer remains in microscratches distributed over the first ILD film 110, the first metal interconnections 120 a and 120 b can be insulated from the remaining metal layer by the trench-spacer 112 a and the polishing stop layer 114, thereby preventing a short between adjacent metal interconnections.
  • As previously mentioned, according to the present invention, in order to prevent the occurrence of shorts between adjacent metal interconnections formed using a double damascene technique, due to microscratches distributed over the ILD film, an anti-short insulating layer is deposited on the ILD film including the microscratches, before the formation of metal interconnections. The microscratches are completely covered by the anti-short insulating layer, and thus the occurrence of shorts between adjacent metal connections can be prevented. [0053]
  • The invention being thus described, it will be obvious that the same may be varied in many ways. Such variations are not to be regarded as a departure from the spirit and scope of the invention, and all such modifications as would be obvious to one skilled in the art are intended to be included within the scope of the following claims. [0054]

Claims (26)

What is claimed is:
1. A semiconductor device comprising:
a substrate including a plurality of conductive areas therein;
an interlevel dielectric (ILD) film having a polished surface, the ILD film covering the substrate and having a via hole through which one of the plurality of the conductive areas is exposed and having a trench with a smaller depth than the via hole;
an anti-short insulating layer formed on sidewalls of the trench; and
a metal interconnection formed in the via hole and on the anti-short insulating layer in the trench.
2. The semiconductor device of claim 1, wherein the anti-short insulating layer is also formed on a bottom of the trench.
3. The semiconductor device of claim 1, wherein the anti-short insulating layer is also formed on a surface of the ILD film adjacent to the trench.
4. The semiconductor device of claim 1, wherein the anti-short insulating layer is a same material as the ILD film.
5. The semiconductor device of claim 1, wherein the anti-short insulating layer has a polishing selectivity of 15:1 or greater with respect to the metal interconnection.
6. The semiconductor device of claim 5, wherein the anti-short insulating layer is a silicon nitride layer or a silicon oxynitride layer.
7. The semiconductor device of claim 1, wherein the metal interconnection comprises:
an adhesive layer formed in the trench and in the via hole; and
a metal layer formed on the adhesive layer in the trench and in the via hole.
8. A semiconductor device comprising:
a substrate including a plurality of conductive areas therein;
an interlevel dielectric (ILD) film having a polished surface, the ILD film covering the substrate and having a via hole through which one of the plurality of the conductive areas is exposed and having a trench with a smaller depth than the via hole;
an insulating spacer formed on sidewalls of the trench; and
a metal interconnection formed in the via hole and on the insulating spacer in the trench.
9. The semiconductor device of claim 8, further comprising a polishing stop layer formed on the surface of the ILD film adjacent to the trench, on the insulating spacer in the trench, and on a bottom of the trench.
10. The semiconductor device of claim 9, wherein the polishing stop layer and the insulating spacer are a same insulating material.
11. The semiconductor device of claim 10, wherein the polishing stop layer and the insulating spacer are a same insulating material as the ILD film.
12. The semiconductor device of claim 10, wherein the insulating spacer is an insulating material having a polishing selectivity of 15:1 or greater with respect to the metal interconnection.
13. The semiconductor device of claim 12, wherein the insulating spacer is a silicon nitride layer or a silicon oxynitride layer.
14. The semiconductor device of claim 9, wherein the metal interconnection comprises:
an adhesive layer formed in the trench and on the polishing stop layer in the via hole; and
a metal layer formed on the adhesive layer in the trench and in the via hole.
15. A method of forming of a semiconductor device, comprising:
preparing a semiconductor substrate including a plurality of conductive areas therein;
forming an interlevel dielectric (ILD) film having a polished surface, on the semiconductor substrate;
etching a portion of the ILD film a depth to form a trench, wherein a selected one of the plurality of conductive areas is not located under the portion of the ILD film;
forming an anti-short insulating layer on the ILD film and in the trench;
etching the anti-short insulating layer and the ILD film to form a via hole through which the selected conductive area is exposed; and
forming a metal interconnection in the trench and the via hole.
16. The method of claim 15, wherein the anti-short insulating layer is a same insulating material as the ILD film.
17. The method of claim 15, wherein the anti-short insulating layer is an insulating material having a polishing selectivity of 15:1 or greater with respect to the metal interconnection.
18. The method of claim 17, wherein the anti-short insulating layer is a silicon nitride layer or a silicon oxynitride layer.
19. The method of claim 15, wherein said forming a metal interconnection comprises:
forming an adhesive layer in the via hole and on the anti-short insulating layer;
forming a metal layer on the adhesive so as to completely fill the trench and the via hole; and
polishing the metal layer and the adhesive layer until a surface of the anti-short insulating layer is exposed.
20. A method of forming a semiconductor device, comprising:
preparing a semiconductor substrate including a plurality of conductive areas therein;
forming an interlevel dielectric (ILD) film having a polished surface, on the semiconductor substrate;
etching a portion of the ILD film a depth to form a trench, wherein a selected one of the plurality of conductive areas is not located under the portion of the ILD film;
forming an anti-short insulating layer on the ILD film and in the trench;
etching the anti-short insulating layer to expose the ILD film and so that the anti-short insulating layer remains as a spacer only on sidewalls of the trench;
etching the ILD film to form a via hole through which the selected conductive area is exposed; and
forming a metal interconnection in the trench and the via hole.
21. The method of claim 20, wherein said forming a metal interconnection comprises:
forming an adhesive layer in the via hole, on the ILD film and on the sidewalls of the trench;
forming a metal layer on the adhesive layer so as to completely fill the trench and the via hole; and
polishing the metal layer and the adhesive layer until a surface of the ILD film is exposed.
22. The method of claim 21, wherein after said etching to form the spacer and before said etching to form the via hole, further comprising forming a polishing stop layer on the ILD film and the spacer.
23. The method of claim 22, wherein the polishing stop layer is a same insulating material as the anti-short insulating layer.
24. The method of claim 23, wherein the anti-short insulating layer and the polishing stop layer are a same insulating material as the ILD film.
25. The method of claim 23, wherein the anti-short insulating layer and the polishing stop layer are an insulating material having a polishing selectivity of 15:1 or greater with respect to the metal interconnection.
26. The method of claim 25, wherein the anti-short insulating layer and the polishing stop layer are a silicon nitride layer or a silicon oxynitride layer.
US10/121,710 2000-11-03 2002-04-15 Semiconductor device with improved metal interconnection and method for forming the metal interconnection Abandoned US20020109229A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/121,710 US20020109229A1 (en) 2000-11-03 2002-04-15 Semiconductor device with improved metal interconnection and method for forming the metal interconnection

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR1020000065258A KR100351058B1 (en) 2000-11-03 2000-11-03 A metal wiring line in a semiconductor device and method for manufacturing the same
KR2000-65258 2000-11-03
US09/862,521 US6404055B1 (en) 2000-11-03 2001-05-23 Semiconductor device with improved metal interconnection and method for forming the metal interconnection
US10/121,710 US20020109229A1 (en) 2000-11-03 2002-04-15 Semiconductor device with improved metal interconnection and method for forming the metal interconnection

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/862,521 Division US6404055B1 (en) 2000-11-03 2001-05-23 Semiconductor device with improved metal interconnection and method for forming the metal interconnection

Publications (1)

Publication Number Publication Date
US20020109229A1 true US20020109229A1 (en) 2002-08-15

Family

ID=19697166

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/862,521 Expired - Lifetime US6404055B1 (en) 2000-11-03 2001-05-23 Semiconductor device with improved metal interconnection and method for forming the metal interconnection
US10/121,710 Abandoned US20020109229A1 (en) 2000-11-03 2002-04-15 Semiconductor device with improved metal interconnection and method for forming the metal interconnection

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/862,521 Expired - Lifetime US6404055B1 (en) 2000-11-03 2001-05-23 Semiconductor device with improved metal interconnection and method for forming the metal interconnection

Country Status (2)

Country Link
US (2) US6404055B1 (en)
KR (1) KR100351058B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040065960A1 (en) * 2002-10-03 2004-04-08 International Business Machines Corporation Electronic package with filled blinds vias

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW503522B (en) * 2001-09-04 2002-09-21 Nanya Plastics Corp Method for preventing short circuit between metal conduction wires
KR20050070794A (en) * 2003-12-31 2005-07-07 동부아남반도체 주식회사 Method for fabricating metal interconnect of semiconductor device
US20100224960A1 (en) * 2009-03-04 2010-09-09 Kevin John Fischer Embedded capacitor device and methods of fabrication
KR101697573B1 (en) * 2010-11-29 2017-01-19 삼성전자 주식회사 Semiconductor device, fabricating method thereof, and semiconductor package comprising the semiconductor device
KR101923120B1 (en) * 2012-03-21 2018-11-28 삼성전자 주식회사 Semiconductor device and method for fabricating the same

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5604156A (en) * 1994-11-30 1997-02-18 Samsung Electronics Co., Ltd. Wire forming method for semiconductor device
US5672095A (en) * 1995-09-29 1997-09-30 Intel Corporation Elimination of pad conditioning in a chemical mechanical polishing process
US6156648A (en) * 1999-03-10 2000-12-05 United Microelectronics Corp. Method for fabricating dual damascene
US6329234B1 (en) * 2000-07-24 2001-12-11 Taiwan Semiconductor Manufactuirng Company Copper process compatible CMOS metal-insulator-metal capacitor structure and its process flow
US6380082B2 (en) * 1998-12-16 2002-04-30 United Microelectronics Corp. Method of fabricating Cu interconnects with reduced Cu contamination
US6426249B1 (en) * 2000-03-16 2002-07-30 International Business Machines Corporation Buried metal dual damascene plate capacitor

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4889832A (en) * 1987-12-23 1989-12-26 Texas Instruments Incorporated Method of fabricating an integrated circuit with metal interconnecting layers above and below active circuitry
US5534462A (en) 1995-02-24 1996-07-09 Motorola, Inc. Method for forming a plug and semiconductor device having the same
US6156651A (en) * 1996-12-13 2000-12-05 Texas Instruments Incorporated Metallization method for porous dielectrics
US6080655A (en) 1997-08-21 2000-06-27 Micron Technology, Inc. Method for fabricating conductive components in microelectronic devices and substrate structures thereof
US6249055B1 (en) * 1998-02-03 2001-06-19 Advanced Micro Devices, Inc. Self-encapsulated copper metallization
US6080669A (en) * 1999-01-05 2000-06-27 Advanced Micro Devices, Inc. Semiconductor interconnect interface processing by high pressure deposition
US6235633B1 (en) * 1999-04-12 2001-05-22 Taiwan Semiconductor Manufacturing Company Method for making tungsten metal plugs in a polymer low-K intermetal dielectric layer using an improved two-step chemical/mechanical polishing process
US6080656A (en) * 1999-09-01 2000-06-27 Taiwan Semiconductor Manufacturing Company Method for forming a self-aligned copper structure with improved planarity

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5604156A (en) * 1994-11-30 1997-02-18 Samsung Electronics Co., Ltd. Wire forming method for semiconductor device
US5672095A (en) * 1995-09-29 1997-09-30 Intel Corporation Elimination of pad conditioning in a chemical mechanical polishing process
US6380082B2 (en) * 1998-12-16 2002-04-30 United Microelectronics Corp. Method of fabricating Cu interconnects with reduced Cu contamination
US6156648A (en) * 1999-03-10 2000-12-05 United Microelectronics Corp. Method for fabricating dual damascene
US6426249B1 (en) * 2000-03-16 2002-07-30 International Business Machines Corporation Buried metal dual damascene plate capacitor
US6329234B1 (en) * 2000-07-24 2001-12-11 Taiwan Semiconductor Manufactuirng Company Copper process compatible CMOS metal-insulator-metal capacitor structure and its process flow

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040065960A1 (en) * 2002-10-03 2004-04-08 International Business Machines Corporation Electronic package with filled blinds vias
US7084509B2 (en) * 2002-10-03 2006-08-01 International Business Machines Corporation Electronic package with filled blinds vias

Also Published As

Publication number Publication date
KR100351058B1 (en) 2002-09-05
US20020053738A1 (en) 2002-05-09
US6404055B1 (en) 2002-06-11
KR20020034752A (en) 2002-05-09

Similar Documents

Publication Publication Date Title
US6140238A (en) Self-aligned copper interconnect structure and method of manufacturing same
US5534462A (en) Method for forming a plug and semiconductor device having the same
US7067419B2 (en) Mask layer and dual damascene interconnect structure in a semiconductor device
US6380087B1 (en) CMP process utilizing dummy plugs in damascene process
US6251790B1 (en) Method for fabricating contacts in a semiconductor device
US20070210454A1 (en) Structure of Metal Interconnect and Fabrication Method Thereof
US6576550B1 (en) ‘Via first’ dual damascene process for copper metallization
US6030896A (en) Self-aligned copper interconnect architecture with enhanced copper diffusion barrier
JP2003179136A (en) Mask layer and interconnection structure for manufacturing dual damascene semiconductor
KR100350111B1 (en) Wiring of Semiconductor Device and Method for Manufacturing Thereof
US6472308B1 (en) Borderless vias on bottom metal
US6404055B1 (en) Semiconductor device with improved metal interconnection and method for forming the metal interconnection
US20020192937A1 (en) Method for manufacturing semiconductor devices having copper interconnect and low-K dielectric layer
US6451698B1 (en) System and method for preventing electrochemical erosion by depositing a protective film
KR20100005393A (en) Semiconductor have an anti pad-peel off structure and method for manufacturing the same
KR20010098743A (en) A method of manufacturing a semiconductor device and a semiconductor device
US6218291B1 (en) Method for forming contact plugs and simultaneously planarizing a substrate surface in integrated circuits
US7271091B2 (en) Method for forming metal pattern to reduce contact resistivity with interconnection contact
KR100356798B1 (en) Method of manufacturing semiconductor device
US6977216B2 (en) Method for forming metal wire in semiconductor device
KR100403329B1 (en) A method for forming a bit line of a semiconductor device
US20050170632A1 (en) Methods of manufacturing multi-level metal lines in semiconductor devices
US20030003712A1 (en) Methods for fabricating a semiconductor device
US6017662A (en) Method of reducing laser mark peeling
KR100835779B1 (en) Method of manufacturing a semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION