US20040011386A1 - Composition and method for removing photoresist and/or resist residue using supercritical fluids - Google Patents

Composition and method for removing photoresist and/or resist residue using supercritical fluids Download PDF

Info

Publication number
US20040011386A1
US20040011386A1 US10/197,384 US19738402A US2004011386A1 US 20040011386 A1 US20040011386 A1 US 20040011386A1 US 19738402 A US19738402 A US 19738402A US 2004011386 A1 US2004011386 A1 US 2004011386A1
Authority
US
United States
Prior art keywords
substrate
composition
organic solvent
photoresist
solvent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/197,384
Inventor
Akshey Seghal
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SCO Global Technologies Inc
Original Assignee
SCP Global Technologies
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SCP Global Technologies filed Critical SCP Global Technologies
Priority to US10/197,384 priority Critical patent/US20040011386A1/en
Assigned to SCP GLOBAL TECHNOLOGIES, INC. reassignment SCP GLOBAL TECHNOLOGIES, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SEGHAL, AKSHEY
Priority to US10/620,895 priority patent/US20040050406A1/en
Priority to PCT/US2003/022310 priority patent/WO2004008249A2/en
Priority to AU2003253961A priority patent/AU2003253961A1/en
Assigned to COMERICA BANK, SUCCESSOR BY MERGER TO COMERICA BANK-CALIFORNIA reassignment COMERICA BANK, SUCCESSOR BY MERGER TO COMERICA BANK-CALIFORNIA SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SCP GLOBAL TECHNOLOGIES, INC.
Publication of US20040011386A1 publication Critical patent/US20040011386A1/en
Assigned to SCP GLOBAL TECHNOLOGIES, INC. reassignment SCP GLOBAL TECHNOLOGIES, INC. REASSIGNMENT AND RELEASE OF SECURITY INTEREST Assignors: COMERICA BANK, SUCCESSOR BY MERGER TO COMERICA BANK-CALIFORNIA
Assigned to BHC INTERIM FUNDING II, L.P. reassignment BHC INTERIM FUNDING II, L.P. SECURITY AGREEMENT Assignors: AKRION SCP ACQUISITION CORP.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids

Definitions

  • the present invention relates to supercritical fluids and, in particularly, to compositions and methods using supercritical fluids to remove photoresist and/or resist residues and associated materials from semiconductor substrates.
  • organic photoresist material may be applied to a semiconductor substrate as a precursor to formation of features on the substrate using photolithography techniques.
  • additional coatings for example an anti-reflective coating known in the industry as BARC [Back Antireflective Coating], are also applied to the substrate to enhance the lithography process.
  • BARC Back Antireflective Coating
  • a common technique for photoresist removal involves placing the substrate in an asher and burning the resist and associated coatings using a gaseous plasma. While the high temperature in the plasma process chamber oxidizes the photoresist and removes it, the plasma etch process leaves post-ash residues—undesirable byproducts from the reaction of the plasma gases, reactant species and the photoresist. These by-products are generally referred to as “sidewall polymer,” “via veil,” “goat horns,” etc. and cannot be completely removed by the etch process. Thus, the substrate must be subsequently placed in a wet cleaning tool to remove byproducts of the plasma etch process, and then rinsed and dried.
  • the plasma etch procedure for resist removal is less desirable for substrates having low dielectric constant (or “low-k”) films as insulating layers.
  • These insulating layers such as SiO 2 with carbon, are porous and are thus more likely to absorb etch gases which can later out-gas and attack metal contacts formed into the substrate (e.g., dual damascene copper).
  • Another currently used photoresist removal process includes exposing the substrate to a liquid photoresist stripper containing at least one polar solvent. At times, however, the byproducts of the stripping process and the stripping solution itself may be left behind in fine features formed in the substrate. Therefore, additional steps of rinsing out the stripper and stripper residues and drying the wafer must follow the wet stripping process.
  • FIG. 1 shows the conditions needed to achieve supercritical conditions for carbon dioxide.
  • Carbon dioxide has a critical temperature of 31° C. and a critical pressure of 72.8 atm.
  • a substance that is in the supercritical state is known in the art as a “supercritical fluid.”
  • Supercritical fluids are desirable in the context of integrated circuit fabrication for a variety of reasons.
  • supercritical fluids have very low surface tension, which enables them to achieve better effective contact with surfaces and better penetration into high aspect vias and boundary layer films than substances in the liquid state.
  • the low viscosity of supercritical fluids allows for relative fast mass transfer.
  • resist and/or resist residue removal and drying of the wafer in one step is possible by using supercritical fluids in integrated circuit fabrication, providing a distinct advantage over prior art methods requiring follow-on cleaning and/or drying steps.
  • This not only speeds up the wafer processing but also results in a decreased consumption of solvents and/or water used in cleaning, rinsing and drying. While this decreases the chemical usage and disposal costs, corrosion of the IC structure/stack is also reduced because of the small amounts of co-solvent used in a controlled manner compared to the wafer being immersed in a large bath for an extended period of time and then subjected to further rinsing to remove the solvent.
  • scCO 2 Supercritical CO 2
  • scCO 2 is a supercritical substance suitable for integrated circuit fabrication because its critical pressure and temperature are relatively easy to achieve, and thus do not require high equipment and operating costs. It is non-toxic and non-flammable, it is inert to inorganic materials found on wafers, and it is not an ozone layer depleting chemical. High purity grades of CO 2 can be readily obtained and are inexpensive.
  • compositions and methods which overcome these problems and which allow for successful removal of photoresist and/or resist residue using scCO 2 .
  • a method of removing photoresist and/or resist residue from a substrate includes exposing the substrate to a supercritical fluid in combination with a co-solvent mixture comprising an organic solvent and an oxidizer.
  • the supercritical fluid is supercritical carbon dioxide and the co-solvent mixture includes 1,2-Butylene Carbonate, Dimethyl Sulfoxide and Hydrogen Peroxide.
  • supercritical carbon dioxide in combination with a second co-solvent mixture may be subsequently applied to the substrate to rinse and dry the substrate.
  • the second co-solvent mixture includes isopropyl alcohol.
  • FIG. 1 is a phase diagram illustrating the supercritical phase of carbon dioxide.
  • FIG. 2 is a simplified schematic representation of a pressure chamber of a type which may be used in connection with the composition and method described herein.
  • compositions and methods for removing photoresist, and/or residues remaining after photoresist removal, from substrates using supercritical fluids are compositions and methods for removing photoresist, and/or residues remaining after photoresist removal, from substrates using supercritical fluids. It is readily apparent to one skilled in the art that while the present invention is described in terms of removing photoresist and/or the resist residue, it is equally applicable to removing the photoresist and the residue, or removing the photoresist only, or to removing the residue only. For simplicity, the term “stripping” may also be used to describe photoresist removal, and “cleaning” may be used to describe removal of resist residue.
  • a composition for removing photoresist and/or resist residue includes a supercritical fluid such as supercritical CO 2 in combination with one or more co-solvents and a method includes exposing one or more substrates to the supercritical CO 2 and co-solvent(s).
  • the supercritical fluid carries the co-solvent(s) into contact with the substrate and into high aspect vias, allowing the co-solvent(s) to strip the photoresist/residue.
  • a subsequent processing step may be carried out in which a supercritical fluid carries a second co-solvent into contact with a substrate and its high-aspect vias, removing the first co-solvent and any by-products and rinsing and drying the substrate.
  • compositions and methods described herein may be used without pressure cycling the system during the photoresist/residue removal process and/or using amine-free, non-toxic co-solvent mixtures.
  • photoresist and/or photoresist residue are removed from a substrate in which features are etched into a low dielectric constant material.
  • a preferred supercritical fluid used in the composition/method is supercritical CO 2 , although it should be appreciated that other components in supercritical form may be used alone or in combination with each other or with supercritical CO 2 .
  • Such components may include, but are not limited to supercritical forms of the following: Ar, He, CH 4 , C 2 H 6 , n-C 3 H 8 , C 2 H 4 , CHF 3 , N 2 , N 2 O, and the like.
  • the term “supercritical component” may be used to describe the supercritical substance before it has been brought to its supercritical state.
  • Supercritical CO 2 is preferred because it is easily and cheaply available in high purity grades and because its supercritical conditions are achieved at moderate temperatures and pressures.
  • the zero dipole moment of CO 2 ensures that it is a poor solvent for polar substances until substantially higher operating pressures (more than 4 times its critical pressure) are used.
  • the solvating ability of the scCO 2 alone is so high that it will begin dissolving parts of the semiconductor device structure along with the resist and/or resist residue and loses its selective cleaning ability.
  • a co-solvent mixture for resist stripping and/or resist residue removal cleaning is accomplished using a co-solvent mixture.
  • This co-solvent mixture can be tailored to selectively attack only the resist and/or resist residue without damaging the sub-micron semiconductor device structures.
  • the role of scCO 2 is to act as a pressurizing medium so that the surface tension of the co-solvent mixture is decreased such that it can penetrate the high aspect vias in sub-micron semiconductor device structures. This leads to complete wetting of all surfaces by the scCO 2 and a small amount of the co-solvent mixture to accomplish complete, uniform cleaning.
  • the increased pressure of the scCO 2 system also increases the reaction kinetics of the cosolvent mixture attack thereby accomplishing cleaning in a shorter time.
  • the co-solvent mixture preferably includes one or more organic solvent(s) for stripping the photoresist, and an oxidizer for attacking the photoresist and dissolving the cross-linked bonds in the photoresist.
  • the oxidizer causes the co-solvent mixture to dissolve the photoresist and/or resist residue layer by layer rather than by undercutting it (as would occur with the co-solvent(s) alone).
  • the supercritical fluid carries the cosolvent mixture into contact with the substrate and into high aspect vias, allowing the polar co-solvent(s) to strip the photoresist and allowing the oxidizer (if used) to attack the cross-linked bonds of the photoresist.
  • the co-solvent(s) and oxidizers may be added to the supercritical component either before it is brought to its supercritical state, or after it has been brought to its supercritical state.
  • the organic solvent may be polar or non-polar, may be protic or aprotic, maybe cyclic, branched or straight chained, and may contain one or more functional groups.
  • the organic solvent(s) could be from a wide variety of representative classes such as:
  • Alcohols Diacetone Alcohol, Benzyl Alcohol and Furfuyrl Alcohol
  • Carbonates Including alkylene carbonates such as Ethylene, Propylene or 1,2-Butylene Carbonate and mixtures thereof.
  • Dialkyl carbonates of the formula R—CO 3 —R′ where R and R′ may or may not be the same group can also be used. Examples of dialkyl carbonates are dimethyl carbonate and diethyl carbonate. The dialkyl carbonates may be used singly or as mixtures of dimethyl- and diethyl-carbonates. Mixtures of alkylene and dialkyl carbonates may be also be used).
  • Chlorinated Hydrocarbons Perchloroethylene, Trichloroethylene, 1,1,1 Trichloroethane
  • Ester solvents (Dibasic Ester Mix or DBE available commercially from DuPont, N-Amyl Acetate)
  • Glycols Ethylene, Propylene and Butylene Glycol, Methyl Propanediol and Triethylene Glycol
  • Glycol Ethers Diethylene Glycol Butyl Ether, Dipropylene Glycol Methyl Ether, Propylene Glycol Methyl Ether, Propylene Glycol n-Butyl Ether and Dipropylene Glycol n-Butyl ether
  • Ketones (Acetyl Acetone, Methyl Ethyl Ketone and Methyl Isoamyl Ketone)
  • Lactams (piperidones such as N-Methyl Piperidone, N-Ethyl Piperidone, Dimethyl Piperidone, Diethyl Piperidone, Dimethoxy Piperidone, Diethoxy Piperidone and cyclohexyl analogues of these piperidones such as N-Methyl-2-Pyrrolidone, N-Ethyl-2-Pyrrolidone, N-(2-Hydroxyethyl)-2-Pyrrolidone, N-2(Cyclohexyl)-2-Pyrrolidone)
  • piperidones such as N-Methyl-2-Pyrrolidone, N-Ethyl-2-Pyrrolidone, N-(2-Hydroxyethyl)-2-Pyrrolidone, N-2(Cyclohexyl)-2-Pyrrolidone
  • the oxidizer is preferably selected from the group of: hydrogen peroxide (H 2 O 2 ), benzoyl peroxide, urea peroxide, nitrogen trifluoride, ozone, oxygen, halogens, sulfur dioxide, and sulfur trioxide.
  • Hydrogen peroxide having a concentration of 10-80%, and most preferably 10-50%, is particularly suitable for the process.
  • Mixtures of peroxides and carbonates (alkylene or dialkyl) have been found to make a stable, single phase solution. Marquis et al. in U.S. Pat. Nos. 6,040,284 and 6,239,090 describe a number of single-phase solutions that are formed by mixing peroxides and carbonates in different ratios that are stable in composition.
  • the co-solvent mixture may be blended with additional buffering agents (see Example 8), corrosion inhibitors, chelating agents, surfactants and the like or may directly be used to effect photoresist and/or photoresist residue removal in an scCO 2 system.
  • a first alternative embodiment adds an aqueous fluoride to the preferred first co-solvent 1 mixture.
  • the supercritical CO 2 , the solvent, the oxidizer and the aqueous fluoride remove the photoresist and/or resist residue generated in an etching or ashing step.
  • the aqueous fluoride is selected from the group of fluoride bases and fluoride acids. More preferably, the aqueous fluoride is selected from the group consisting of aqueous ammonium fluoride (NH 4 F) and aqueous hydrofluoric acid (HF).
  • Exposure of a substrate to the first co-solvent mixture may be followed by a subsequent process step in which a supercritical fluid carries a second co-solvent into contact with the substrate and into high aspect vias.
  • the second co-solvent removes the co-solvent and any by-products, and rinses and dries the substrate.
  • the second co-solvent is selected from the group of monohydroxy alcohols such as Methanol, Ethanol, Propanol and Benzyl Alcohol, isomers of these alcohols and mixtures thereof.
  • different mixtures of alcohol and water may also be used.
  • the mixture of alcohol and water may use a single alcohol or blends of multiple alcohols added to water in different ratios.
  • the first co-solvent mixture (hereinafter the “co-solvent 1 mixture”) includes 1,2-Butylene Carbonate, Dimethyl Sulfoxide, and hydrogen peroxide
  • the second co-solvent mixture (hereinafter “co-solvent 2 mixture”) includes isopropyl alcohol.
  • inclusion of carbonates in the mixture helps to maintain the stability of the co-solvent 1 mixture.
  • Preferred carbonates are 1,2-Butylene Carbonate and Propylene Carbonate.
  • FIG. 2 Systems for carrying out the described process may be configured in a variety of ways.
  • One such system is schematically shown in FIG. 2.
  • the system includes a pressure chamber 10 capable of withstanding temperatures and pressures at or above the critical temperature and pressure of the supercritical substance to be used in the process.
  • the pressure chamber 10 functions as the process chamber in which the substrate(s) are cleaned.
  • a supply of co-solvent 1 mixture is housed in first reservoir 12
  • co-solvent 2 mixture is housed in a second reservoir 14
  • a co-solvent pump 15 is positioned to pump co-solvent from first and/or second reservoirs 12 , 14 into a holding container 16 , which is preferably heated by a heating tape 18 .
  • the temperature of the co-solvent in the holding container is measured by an internal RTD (resistive thermal device) probe 20 .
  • Carbon dioxide (or another substance which serves as the supercritical component in the process) is stored in reservoir 8 .
  • a pump 22 is provided for pumping the CO 2 into the system, through a heater 24 , and into the pressure chamber 10 .
  • the pressure chamber 10 includes a drain valve 30 that allows fluid to be exhausted from the chamber, and a pressure relief valve (not shown) that allows pressure within the chamber to be reduced.
  • Valve 30 is fluidly coupled to a separator 32 that is vented to the atmosphere.
  • the separator allows the co-solvents to be separated from the supercritical CO 2 for potential re-use using a separation process such as, for example, fractional distillation.
  • the pressure chamber 10 also includes a heating system and appropriate temperature sensors and controllers (not shown) that function to prevent “over temperature” conditions.
  • One or more system controllers (not shown) having software programmed for the desired operations preferably control operation of the systems valves, pumps etc.
  • co-solvent mixture is pumped into a holding container 16 and heated to a predetermined temperature by heating tape 18 .
  • a substrate 26 having photoresist and/or resist residue material that is to be removed is placed in pressure chamber 10 and the chamber is sealed.
  • the CO 2 is pumped from reservoir 8 through heater 24 (so as to heat the CO 2 to a predetermined temperature) and is into pressure chamber 10 .
  • the system software closes a valve 28 and prevents the flow of additional CO 2 into the system.
  • the chamber is preferably pressurized at the operating pressure. This operating pressure is preferably much greater than the critical pressure for CO 2 (1070 psi) and is typically on the order of 1800 psi.
  • the co-solvent 1 chemistry in the holding container 16 When the co-solvent 1 chemistry in the holding container 16 has reached the predetermined temperature, it is introduced into the process chamber 10 where it contacts the substrate. After the substrate has been exposed to the co-solvent 1 mixture for the desired amount of time, the co-solvent 1 mixture may be rinsed from the substrate surface by using pure supercritical fluid directed onto the substrate. This is accomplished by opening a valve 30 that connects the process chamber 10 to a separator 32 . The separator is vented to atmosphere by opening valve 30 to subject the fluid inside the pressure chamber 10 to a pressure differential, causing the fluid to flow from the pressure chamber into the separator 32 . Valve 28 is simultaneously opened by the software routine to let fresh scCO 2 into the system such that the pressure inside the process chamber 10 is maintained.
  • co-solvent 2 is also introduced into the process chamber 10 via the holding container 16 from the co-solvent 2 reservoir 12 .
  • Alternate cycles of (1) rinsing the process chamber 10 and substrate 26 in pure scCO 2 and (2) exposing the substrate to co-solvent 2 may be repeated to dry the wafer.
  • valve 30 is open to drain all the fluid contents of the process chamber 10 into the separator and valve 28 is open to let fresh scCO 2 into the system to maintain the system pressure.
  • valve 28 is closed and valve 30 is kept open to depressurize the chamber. After depressurization, a cleaned and dry photoresist and/or resist residue free substrate, 26 , is removed from the process chamber 10 .
  • the pressure chamber is not de-pressurized between application of the co-solvent 1 mixture and application of the co-solvent 2 mixture. This allows the entire process to be performed as a single step, without pressure-cycling the system.
  • the substrate is supported within the pressure chamber in a manner that allows the front and/or front and back surfaces of the substrate to be exposed to fluids within the chamber.
  • the pressure chamber may be configured to support a single substrate or multiple substrates.
  • composition and methods described herein are highly beneficial in that they can achieve thorough stripping of photoresist materials (including I-Line, BARC, DUV, 193 nm) and their photoresist residue (also called “post-ash residue”) created in a plasma chamber.
  • the substrates treated using the disclosed compositions and methods may have various features which include (but are not limited to) aluminum metal lines, high dielectric (“high k”) gate materials such as platinum, high aspect vias, and/or features etched into copper/low k dielectric substrate materials.
  • high k high dielectric
  • integrated circuit device may be used herein to describe integrated circuit devices in various stages of completion.
  • semiconductor substrates are primarily discussed herein, the composition and method may also be used for other types of substrates, such as liquid crystal displays.
  • the near zero surface tension of the supercritical fluid and reduced surface tension of the co-solvent mix allow penetration of the supercritical fluid and/or the cosolvent into high aspect ratio structures that are commonly found in integrated circuits. Without complete co-solvent penetration, residue removal from the bottom and the sidewalls of high aspect ratio structures is not possible.
  • This process has been shown to work for removing blanket photoresist films that may have been hardbaked (e.g. to drive off the solvent and improve the adhesion of the photoresist material to the substrate surface and/or the barrier layer). Some of the hardbaked photoresist may be further cross-linked under high intensity UV lamps to achieve 100% cross-linking of the photoresist. A 100% cross-linked photoresist structure improves the intended performance of the photoresist but makes the photoresist very difficult to remove.
  • compositions and methods are suitable for use on substrates (including the photoresist covering part of the substrates) that were implanted with Group III or Group V elements of the periodic table. This process is called doping and is intended to create surface layers, over certain select areas of the wafer, that have different conductivity from the bulk silicon substrate.
  • the photoresist has a hard outer crust covering a jelly like core. The hard crust dissolves at a much slower rate than the underlying photoresist and therefore, implanted photoresists are considered some of the most challenging resists to remove.
  • implant levels greater than 1 ⁇ 10 14 atoms/cm 2 are removed by a two-step process requiring plasma ashing in an O 2 plasma followed by removal of residues created in the plasma process in a stripping bath.
  • scCO 2 cleaning one can remove very high implant levels photoresist (5 ⁇ 10 15 atoms/cm 2 ) and come out with a dry, photoresist free wafer surface in a single step that is less harsh on the environment and the substrate itself than the multi-step processes currently used in the industry.
  • a substrate having a hard baked I-line photoresist that was DUV stabilized using UV lamps to achieve 100% cross-linking was placed in the process chamber.
  • a co-solvent 1 composition of 40% (by weight) 1,2-Butylene Carbonate, 30% Dimethyl Sulfoxide, and 30% of 30% hydrogen peroxide was mixed at a temperature of 55° C.
  • the 1,2-Butylene Carbonate was selected for its high solvency and the fact that it makes a single-phase solution with hydrogen peroxide.
  • Propylene Carbonate may be substituted for the 1,2-Butylene Carbonate (and vise versa) in this and the following examples.
  • the hydrogen peroxide was selected for its ability to attack the cross-linked bonds of the photoresist, and the dimethyl sulfoxide was selected for its ability to carry out photoresist stripping. This mixture was made to flow into the process chamber and onto-the substrate at a rate of 8 g/min for-approximately 90 seconds.
  • Supercritical carbon dioxide was caused to flow into the chamber with the co-solvent 1 at a flow rate of 72 g/min to have a total fluid flow rate into the process chamber at 80 g/min.
  • the temperature and pressure within the chamber were 110° C. and 165 bar, respectively.
  • the flow of carbon dioxide into the chamber was suspended, and the flow rate of the co-solvent 1 was increased to 80 g/min for approximately 20 seconds.
  • a second co-solvent consisting of isopropyl alcohol, at room temperature, was made to flow onto the substrate surface at a rate of 40 g/min, together with supercritical carbon dioxide which was also flowing into the chamber at 40 g/min, for a total fluid flow into the chamber of 80 g/min. This flow continued for approximately 90 seconds, after which the flow of the second co-solvent was terminated. Flow of supercritical carbon dioxide continued for an additional two minutes, after which the substrate was removed from the chamber. The substrate was found to be completely free of photoresist, and the substrate and the chamber were thoroughly dried.
  • the co-solvent mix is unchanged but is introduced into the process chamber in higher amounts at the start of the run and the complete process is run without any static dwell in the process chamber.
  • a substrate having a hard baked I-line photoresist that was DUV stabilized using UV lamps to achieve 100% cross-linking was placed in the process chamber.
  • Supercritical carbon dioxide was caused to flow into the chamber with the co-solvent 1 at a flow rate of 60 g/min to have a total fluid flow rate into the process chamber at 80 g/min. Subsequently the co-solvent 1 flow rate was decreased to 2.4 g/min and the supercritical carbon dioxide flow rate increased to 77.6 g/min. for the next 3 minutes and 30 seconds.
  • the operating temperature and pressure within the chamber were 110° C. and 165 bar, respectively.
  • a second co-solvent consisting of isopropyl alcohol, at room temperature, was made to flow onto the substrate surface at a rate of 40 g/min, together with supercritical carbon dioxide which was also flowing into the chamber at 40 g/min. for a total fluid flow into the chamber of 80 g/min. This flow continued for approximately 90 seconds, after which the flow of the second co-solvent was terminated. Flow of supercritical carbon dioxide continued for an additional two minutes, after which the substrate was removed from the chamber. The substrate was found to be completely free of photoresist, and the substrate and the chamber were thoroughly dried.
  • the third example is similar to Example 2, but differs in that a different cosolvent 1 composition was used.
  • a substrate having a hard baked I-line photoresist that was DUV stabilized using UV lamps to achieve 100% cross-linking was placed in the process chamber.
  • a co-solvent 1 composition of 40% (by weight) 1,2-Butylene Carbonate, 40% Benzyl Alcohol, and 20% of 30% hydrogen peroxide was mixed at a temperature of 50° C. This mixture was made to flow into the process chamber and onto the substrate at a rate of 20 g/min for approximately 45 seconds. Supercritical carbon dioxide was caused to flow into the chamber with the co-solvent 1 at a flow rate of 60. g/min to have a total fluid flow rate into the process chamber at 80 g/min.
  • the co-solvent 1 flow rate was decreased to 2.4 g/min and the supercritical carbon dioxide flow rate-increased to 77.6 g/min. for the next 3 minutes and 15 seconds.
  • the operating temperature and pressure within the chamber were 110° C. and 165 bar, respectively.
  • a second co-solvent consisting of isopropyl alcohol, at room temperature, was made to flow onto the substrate surface at a rate of 40 g/min, together with supercritical carbon dioxide which was also flowing into the chamber at 40 g/min for a total fluid flow into the chamber of 80 g/min. This flow continued for approximately 90 seconds, after which the flow of the second co-solvent was terminated. Flow of supercritical carbon dioxide continued for an additional two minutes, after which the substrate was removed from the chamber. The substrate was found to be completely free of photoresist, and the substrate and the chamber were thoroughly dried.
  • the fourth example utilized the same co-solvent 1 composition as used in Example 2, but the composition was used on a substrate having different characteristics.
  • the blanket photoresist layer removed was a 6000 ⁇ thick DUV 5 photoresist layer on top of a polysilicon layer which covers a silicon dioxide layer on top of the silicon wafer substrate.
  • the photoresist was subjected to a high dose implant of boron at 10 keV to a dosage level of 3 ⁇ 10 15 atoms/cm 2 .
  • a co-solvent 1 composition of 40% (by weight) 1,2-Butylene Carbonate, 30% Dimethyl Sulfoxide, and 30% of 30% hydrogen peroxide was mixed at a temperature of 50° C.
  • This mixture was made to flow into the process chamber and onto the substrate at a rate of 8 g/min for 4 minutes.
  • the co-solvent 1 mixture was carried into the process chamber by supercritical carbon dioxide at a flow rate of 72 g/min to have a total fluid flow rate into the process chamber at 80 g/min.
  • the operating temperature and pressure within the chamber were 110° C. and 165 bar, respectively.
  • Example 4 Although the drying step was not performed, the result is expected to be the same as was achieved in Examples 1-3.
  • the primary modification to Example 4 as compared with Example 2 was that the ion implant process created a level of organic contamination that traditionally has been more difficult to remove by liquid chemicals only.
  • the fifth example utilized the same co-solvent 1 composition as used in Example 2, but the composition was used on a substrate having different characteristics.
  • the blanket photoresist layer removed was a 6000 ⁇ thick DUV 5 photoresist layer on top of a polysilicon layer which covers a silicon dioxide layer on top of the silicon wafer substrate.
  • the photoresist was subjected to a high dose implant of arsenic at 20 keV to a dosage level of 2 ⁇ 10 15 atoms/cm 2 .
  • a co-solvent 1 composition of 40% (by weight) 1,2-Butylene Carbonate, 30% Dimethyl Sulfoxide, and 30% of 30% hydrogen peroxide was mixed at a temperature of 50° C.
  • This mixture was made to flow into the process chamber and onto the substrate at a rate of 8 g/min for 5 minutes.
  • the co-solvent 1 mixture was carried into the process chamber by supercritical carbon dioxide at a flow rate of 72 g/min to have a total fluid flow rate into the process chamber at 80 g/min.
  • the operating temperature and pressure within the chamber were 110° C. and 165 bar, respectively.
  • Example 5 Although the drying step was not performed, the result is expected to be the same as was achieved in Examples 1-3.
  • the primary modification to Example 5 as compared with Example 2 was the presence of a level of organic contamination that traditionally has been more difficult to remove by liquid chemicals only.
  • the sixth example utilized the same co-solvent 1 composition as used in Example 2, but the composition was used on a substrate having different characteristics.
  • the blanket photoresist layer removed was a 6000 ⁇ thick DUV 5 photoresist layer on top of a polysilicon layer which covers a silicon dioxide layer on top of the silicon wafer substrate.
  • the photoresist was subjected to a high dose implant of arsenic at 10 keV to a dosage level of 3 ⁇ 10 15 atoms/cm 2 .
  • a co-solvent 1 composition of 40% (by weight) 1,2-Butylene Carbonate, 30% Dimethyl Sulfoxide, and 30% of 30% hydrogen peroxide was mixed at a temperature of 50° C.
  • This mixture was made to flow into the process chamber and onto the substrate at a rate of 8 g/min for 6 minutes.
  • the co-solvent I mixture was carried into the process chamber by supercritical carbon dioxide at a flow rate of 72 g/min to have a total fluid flow rate into the process chamber at 80 g/min.
  • the operating temperature and pressure within the chamber were 110° C. and 165 bar, respectively.
  • Example 6 Although the drying step was not performed, the result is expected to be the same as was achieved in Examples 1-3.
  • the primary modification to Example 6 as compared with Example 2 was the presence of a level of organic contamination that traditionally has been more difficult to remove by liquid chemicals only.
  • the seventh example utilized the same co-solvent 1 composition as used in Example 2, but the composition was used on a substrate having different characteristics.
  • the blanket photoresist layer removed was a 6000 ⁇ thick DUV 5 photoresist layer on top of a polysilicon layer which covers a silicon dioxide layer on top of the silicon wafer substrate.
  • the photoresist was subjected to a high dose implant of arsenic at 5 keV to a dosage level of 5 ⁇ 10 15 atoms/cm 2 .
  • a co-solvent 1 composition of 40% (by weight) 1,2-Butylene Carbonate, 30% Dimethyl Sulfoxide, and 30% of 30% hydrogen peroxide was mixed at a temperature of 50° C.
  • This mixture was made to flow into the process chamber and onto the substrate at a rate of 8 g/min for 6 minutes.
  • the co-solvent 1 mixture was carried into the process chamber by supercritical carbon dioxide at a flow rate of 72 g/min to have a total fluid flow rate into the process chamber at 80 g/min.
  • the operating temperature and pressure within the chamber were 110° C. and 165 bar, respectively.
  • Example 7 Although the drying step was not performed, the result is expected to be the same as was achieved in Examples 1-3.
  • the primary modification to Example 7 as compared with Example 2 was the presence of a level of organic contamination that traditionally has been more difficult to remove by liquid chemicals only.
  • the substrate used in the eighth example included a via structure which contained a low k dielectric layer. Prior to the experiment, photoresist was removed using an asher, leaving post-ash residues in the via structure.
  • the specific chemistry employed was the following: 39.93% (by weight) 1,2-Butylene Carbonate, 39.93% Dimethyl Sulfoxide, and 29.94% of 30% hydrogen peroxide and 0.2% of 40% ammonium fluoride. This mixture was made to flow into the process chamber and onto the substrate at a rate of 8 g/min for 5 minutes.
  • the co-solvent 1 mixture was carried into the process chamber by supercritical carbon dioxide at a flow rate of 72 g/min to have a total fluid flow rate into the process chamber at 80 g/min.
  • the operating temperature and pressure within the chamber were 43° C. and 165 bar, respectively.
  • Example 8 Although the drying step was not performed, the result is expected to be the same as was achieved in Examples 1-3.
  • the primary modification to Example 8 as compared with Example 2 was the type of organic contamination (post ash residue) that had to be removed.

Abstract

A method of removing photoresist and/or resist residue from a substrate includes exposing the substrate to a supercritical fluid in combination with a co-solvent mixture comprising an organic solvent and an oxidizer. In one embodiment, the supercritical fluid is supercritical carbon dioxide and the co-solvent mixture includes 1,2-Butylene Carbonate, Dimethyl Sulfoxide and hydrogen peroxide. If desired, supercritical carbon dioxide in combination with a second co-solvent mixture may be subsequently applied to the substrate to rinse and dry the substrate. In one embodiment, the second co-solvent mixture includes isopropyl alcohol.

Description

    FIELD OF THE INVENTION
  • The present invention relates to supercritical fluids and, in particularly, to compositions and methods using supercritical fluids to remove photoresist and/or resist residues and associated materials from semiconductor substrates. [0001]
  • BACKGROUND OF THE DISCLOSURE
  • During the process of fabricating semiconductor integrated circuits, organic photoresist material may be applied to a semiconductor substrate as a precursor to formation of features on the substrate using photolithography techniques. Often additional coatings, for example an anti-reflective coating known in the industry as BARC [Back Antireflective Coating], are also applied to the substrate to enhance the lithography process. [0002]
  • Once lithography is completed, the resist, BARC and other coatings used for the lithography steps must be removed from the substrate. A common technique for photoresist removal involves placing the substrate in an asher and burning the resist and associated coatings using a gaseous plasma. While the high temperature in the plasma process chamber oxidizes the photoresist and removes it, the plasma etch process leaves post-ash residues—undesirable byproducts from the reaction of the plasma gases, reactant species and the photoresist. These by-products are generally referred to as “sidewall polymer,” “via veil,” “goat horns,” etc. and cannot be completely removed by the etch process. Thus, the substrate must be subsequently placed in a wet cleaning tool to remove byproducts of the plasma etch process, and then rinsed and dried. [0003]
  • Moreover, the plasma etch procedure for resist removal is less desirable for substrates having low dielectric constant (or “low-k”) films as insulating layers. These insulating layers, such as SiO[0004] 2 with carbon, are porous and are thus more likely to absorb etch gases which can later out-gas and attack metal contacts formed into the substrate (e.g., dual damascene copper).
  • Another currently used photoresist removal process includes exposing the substrate to a liquid photoresist stripper containing at least one polar solvent. At times, however, the byproducts of the stripping process and the stripping solution itself may be left behind in fine features formed in the substrate. Therefore, additional steps of rinsing out the stripper and stripper residues and drying the wafer must follow the wet stripping process. [0005]
  • In either method, at least two steps are needed for photoresist and resist residue removal and separate steps are needed to rinse and dry the wafer. It is highly desirable to expedite and thereby reduce the cost of the resist removal process by eliminating the need for follow-on cleaning and/or drying steps. It would be desirable to carry out the resist and/or resist residue removal and drying of the wafer in one step at low temperature. [0006]
  • Removing resist and/or resist residue, and drying of the wafer in one step at low temperature is possible using the compositions and methods disclosed herein for supercritical processing. Supercritical conditions are created by a combination of pressure and temperature of the environment above which a substance enters its supercritical phase. In a supercritical state, the substance has properties both of a liquid and a gas, i.e., the liquid and gaseous states of matter exist together as a single phase. FIG. 1 shows the conditions needed to achieve supercritical conditions for carbon dioxide. Carbon dioxide has a critical temperature of 31° C. and a critical pressure of 72.8 atm. Thus, when CO[0007] 2 is subjected to temperature and pressure above these critical conditions, it is in the supercritical state. A substance that is in the supercritical state is known in the art as a “supercritical fluid.”
  • Supercritical fluids are desirable in the context of integrated circuit fabrication for a variety of reasons. For example, supercritical fluids have very low surface tension, which enables them to achieve better effective contact with surfaces and better penetration into high aspect vias and boundary layer films than substances in the liquid state. The low viscosity of supercritical fluids allows for relative fast mass transfer. [0008]
  • The industry trend is towards shrinking semiconductor device structure geometries and other structure geometries into the submicron range such as below 0.25 micron. Nevertheless, the industry lacks a first-rate method of removing photoresist and/or resist residue from high aspect ratio openings such as submicron grooves, narrow crevices etc. without damaging the structure being produced. Supercritical fluids are suitable for this purpose because they can readily penetrate these high aspect ratio openings and effectively remove resist and/or resist residues from them. In addition, the supercritical fluid and/or co-solvent composition can be exactly tailored to selectively attack only the resist and/or residue without attacking the semiconductor device structures. Moreover, it has been found that using supercritical fluids for resist/residue removal can eliminate process steps thereby increasing yield at a lower cost. [0009]
  • More specifically, resist and/or resist residue removal and drying of the wafer in one step is possible by using supercritical fluids in integrated circuit fabrication, providing a distinct advantage over prior art methods requiring follow-on cleaning and/or drying steps. This not only speeds up the wafer processing but also results in a decreased consumption of solvents and/or water used in cleaning, rinsing and drying. While this decreases the chemical usage and disposal costs, corrosion of the IC structure/stack is also reduced because of the small amounts of co-solvent used in a controlled manner compared to the wafer being immersed in a large bath for an extended period of time and then subjected to further rinsing to remove the solvent. These environmental benefits make supercritical cleaning of semiconductor wafer substrates a desirable “green” process. [0010]
  • Supercritical CO[0011] 2 (“scCO2”) is a supercritical substance suitable for integrated circuit fabrication because its critical pressure and temperature are relatively easy to achieve, and thus do not require high equipment and operating costs. It is non-toxic and non-flammable, it is inert to inorganic materials found on wafers, and it is not an ozone layer depleting chemical. High purity grades of CO2 can be readily obtained and are inexpensive.
  • Until now, however, the use of scCO[0012] 2 in photoresist removal processes has not been successfully achieved. This lack of success is due to the fact that scCO2 itself is a very poor solvent for polar residues such as resist and/or resist residues found on wafer surfaces. Therefore, polar solvents (which are necessary for the photoresist removal process) have limited solubility in scCO2. Moreover, the polar solvents and the scCO2 have vast differences in their densities, a condition which prevents the substances from mixing evenly to a degree that would allow uniform resist removal once the mixture was placed in contact with a substrate. Nevertheless, some attempts have been made to perform processes using supercritical fluids, including scCO2, to remove photoresist and resist residues. Many of these processes are not cost effective for commercial use in that they require extended processing durations overly high energy costs, or use of prohibitively large quantities of process chemicals. Others expose substrates to temperatures and/or pressures and/or chemical environments that can degrade the electrical performance of the integrated circuits manufactured using the substrates. Others may even result in damage to the process equipment, such as amine stress corrosion cracking of the pressure vessel which can occur when amines are used in the presence of supercritical CO2. Still others are simply ineffective at removing photoresist and/or resist residue.
  • As set forth in detail below, the present inventor has developed compositions and methods which overcome these problems and which allow for successful removal of photoresist and/or resist residue using scCO[0013] 2.
  • SUMMARY
  • A method of removing photoresist and/or resist residue from a substrate includes exposing the substrate to a supercritical fluid in combination with a co-solvent mixture comprising an organic solvent and an oxidizer. In one embodiment, the supercritical fluid is supercritical carbon dioxide and the co-solvent mixture includes 1,2-Butylene Carbonate, Dimethyl Sulfoxide and Hydrogen Peroxide. If desired, supercritical carbon dioxide in combination with a second co-solvent mixture may be subsequently applied to the substrate to rinse and dry the substrate. In one embodiment, the second co-solvent mixture includes isopropyl alcohol.[0014]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a phase diagram illustrating the supercritical phase of carbon dioxide. [0015]
  • FIG. 2 is a simplified schematic representation of a pressure chamber of a type which may be used in connection with the composition and method described herein. [0016]
  • DETAILED DESCRIPTION
  • Disclosed herein are compositions and methods for removing photoresist, and/or residues remaining after photoresist removal, from substrates using supercritical fluids. It is readily apparent to one skilled in the art that while the present invention is described in terms of removing photoresist and/or the resist residue, it is equally applicable to removing the photoresist and the residue, or removing the photoresist only, or to removing the residue only. For simplicity, the term “stripping” may also be used to describe photoresist removal, and “cleaning” may be used to describe removal of resist residue. [0017]
  • In one embodiment, a composition for removing photoresist and/or resist residue includes a supercritical fluid such as supercritical CO[0018] 2 in combination with one or more co-solvents and a method includes exposing one or more substrates to the supercritical CO2 and co-solvent(s). The supercritical fluid carries the co-solvent(s) into contact with the substrate and into high aspect vias, allowing the co-solvent(s) to strip the photoresist/residue. In another embodiment, a subsequent processing step may be carried out in which a supercritical fluid carries a second co-solvent into contact with a substrate and its high-aspect vias, removing the first co-solvent and any by-products and rinsing and drying the substrate.
  • If desired, the compositions and methods described herein may be used without pressure cycling the system during the photoresist/residue removal process and/or using amine-free, non-toxic co-solvent mixtures. In one embodiment, photoresist and/or photoresist residue are removed from a substrate in which features are etched into a low dielectric constant material. [0019]
  • A preferred supercritical fluid used in the composition/method is supercritical CO[0020] 2, although it should be appreciated that other components in supercritical form may be used alone or in combination with each other or with supercritical CO2. Such components may include, but are not limited to supercritical forms of the following: Ar, He, CH4, C2H6, n-C3H8, C2H4, CHF3, N2, N2O, and the like. Throughout this discussion, the term “supercritical component” may be used to describe the supercritical substance before it has been brought to its supercritical state.
  • Supercritical CO[0021] 2 is preferred because it is easily and cheaply available in high purity grades and because its supercritical conditions are achieved at moderate temperatures and pressures. In addition, the zero dipole moment of CO2 ensures that it is a poor solvent for polar substances until substantially higher operating pressures (more than 4 times its critical pressure) are used. At those high pressures, the solvating ability of the scCO2 alone is so high that it will begin dissolving parts of the semiconductor device structure along with the resist and/or resist residue and loses its selective cleaning ability.
  • In the disclosed methods and compositions for resist stripping and/or resist residue removal cleaning is accomplished using a co-solvent mixture. This co-solvent mixture can be tailored to selectively attack only the resist and/or resist residue without damaging the sub-micron semiconductor device structures. The role of scCO[0022] 2 is to act as a pressurizing medium so that the surface tension of the co-solvent mixture is decreased such that it can penetrate the high aspect vias in sub-micron semiconductor device structures. This leads to complete wetting of all surfaces by the scCO2 and a small amount of the co-solvent mixture to accomplish complete, uniform cleaning. The increased pressure of the scCO2 system also increases the reaction kinetics of the cosolvent mixture attack thereby accomplishing cleaning in a shorter time.
  • The co-solvent mixture preferably includes one or more organic solvent(s) for stripping the photoresist, and an oxidizer for attacking the photoresist and dissolving the cross-linked bonds in the photoresist. The oxidizer causes the co-solvent mixture to dissolve the photoresist and/or resist residue layer by layer rather than by undercutting it (as would occur with the co-solvent(s) alone). The supercritical fluid carries the cosolvent mixture into contact with the substrate and into high aspect vias, allowing the polar co-solvent(s) to strip the photoresist and allowing the oxidizer (if used) to attack the cross-linked bonds of the photoresist. The co-solvent(s) and oxidizers may be added to the supercritical component either before it is brought to its supercritical state, or after it has been brought to its supercritical state. [0023]
  • The organic solvent may be polar or non-polar, may be protic or aprotic, maybe cyclic, branched or straight chained, and may contain one or more functional groups. The organic solvent(s) could be from a wide variety of representative classes such as: [0024]
  • Alcohols (Diacetone Alcohol, Benzyl Alcohol and Furfuyrl Alcohol), [0025]
  • Amides (Formamide, Dimethyl Formamide, Acetamide and Dimethyl Acetamide) [0026]
  • Carbonates (Including alkylene carbonates such as Ethylene, Propylene or 1,2-Butylene Carbonate and mixtures thereof. Dialkyl carbonates of the formula R—CO[0027] 3—R′ where R and R′ may or may not be the same group can also be used. Examples of dialkyl carbonates are dimethyl carbonate and diethyl carbonate. The dialkyl carbonates may be used singly or as mixtures of dimethyl- and diethyl-carbonates. Mixtures of alkylene and dialkyl carbonates may be also be used).
  • Chlorinated Hydrocarbons (Perchloroethylene, Trichloroethylene, 1,1,1 Trichloroethane) [0028]
  • Ester solvents (Dibasic Ester Mix or DBE available commercially from DuPont, N-Amyl Acetate) [0029]
  • Glycols (Ethylene, Propylene and Butylene Glycol, Methyl Propanediol and Triethylene Glycol) [0030]
  • Glycol Ethers (Diethylene Glycol Butyl Ether, Dipropylene Glycol Methyl Ether, Propylene Glycol Methyl Ether, Propylene Glycol n-Butyl Ether and Dipropylene Glycol n-Butyl ether) [0031]
  • Ketones (Acetyl Acetone, Methyl Ethyl Ketone and Methyl Isoamyl Ketone) [0032]
  • Lactams (piperidones such as N-Methyl Piperidone, N-Ethyl Piperidone, Dimethyl Piperidone, Diethyl Piperidone, Dimethoxy Piperidone, Diethoxy Piperidone and cyclohexyl analogues of these piperidones such as N-Methyl-2-Pyrrolidone, N-Ethyl-2-Pyrrolidone, N-(2-Hydroxyethyl)-2-Pyrrolidone, N-2(Cyclohexyl)-2-Pyrrolidone) [0033]
  • Sulfur based solvents (Dimethyl Sulfoxide). [0034]
  • The oxidizer is preferably selected from the group of: hydrogen peroxide (H[0035] 2O2), benzoyl peroxide, urea peroxide, nitrogen trifluoride, ozone, oxygen, halogens, sulfur dioxide, and sulfur trioxide. Hydrogen peroxide having a concentration of 10-80%, and most preferably 10-50%, is particularly suitable for the process. Mixtures of peroxides and carbonates (alkylene or dialkyl) have been found to make a stable, single phase solution. Marquis et al. in U.S. Pat. Nos. 6,040,284 and 6,239,090 describe a number of single-phase solutions that are formed by mixing peroxides and carbonates in different ratios that are stable in composition. In addition, these solutions are non-flammable, of low volatility and free of carcinogenic chemicals. Normally concentrated solutions of hydrogen peroxide and water are handled carefully as the peroxide is a strong oxidizer and could pose a hazard. However, mixing hydrogen peroxide and carbonate causes the concentration of hydrogen peroxide to decrease (in the overall mix) thereby decreasing the hazardous nature of the final composition and no special precautions need to be taken to handle the composition.
  • The stability of the peroxide in the peroxide, water and carbonate mixture, at room and at temperatures up to 50° C., for long periods of time deserves special attention. This is in direct contrast with the usual peroxide solutions used in the semiconductor (and other) industries where peroxide concentration in aqueous solutions decreases with time, the peroxide decomposition being accelerated with increasing temperatures. A single-phase solution is maintained when one or more organic solvents are added to the peroxide, water and carbonate mixture, at room and at temperatures up to 50° C., for long periods of time. This ensures that the oxidative power of the co-solvent mix is retained for a long time and the efficacy of the mix to attack and dissolve cross-linked photoresists does not diminish with time. This is contrast to other photoresist stripper compositions in which the stripper is not stable in composition and requires additional steps of mixing the components just prior to use. In that case there is a finite shelf and/or bath life of the stripper and additional costs are involved in the disposal of unused stripper mix. [0036]
  • The co-solvent mixture may be blended with additional buffering agents (see Example 8), corrosion inhibitors, chelating agents, surfactants and the like or may directly be used to effect photoresist and/or photoresist residue removal in an scCO[0037] 2 system.
  • For example, a first alternative embodiment adds an aqueous fluoride to the preferred [0038] first co-solvent 1 mixture. In the first alternative embodiment, the supercritical CO2, the solvent, the oxidizer and the aqueous fluoride remove the photoresist and/or resist residue generated in an etching or ashing step. Preferably, the aqueous fluoride is selected from the group of fluoride bases and fluoride acids. More preferably, the aqueous fluoride is selected from the group consisting of aqueous ammonium fluoride (NH4F) and aqueous hydrofluoric acid (HF).
  • Exposure of a substrate to the first co-solvent mixture may be followed by a subsequent process step in which a supercritical fluid carries a second co-solvent into contact with the substrate and into high aspect vias. In this subsequent step, the second co-solvent removes the co-solvent and any by-products, and rinses and dries the substrate. Preferably, the second co-solvent is selected from the group of monohydroxy alcohols such as Methanol, Ethanol, Propanol and Benzyl Alcohol, isomers of these alcohols and mixtures thereof. Alternatively, different mixtures of alcohol and water may also be used. The mixture of alcohol and water may use a single alcohol or blends of multiple alcohols added to water in different ratios. [0039]
  • In one preferred embodiment, the first co-solvent mixture (hereinafter the “[0040] co-solvent 1 mixture”) includes 1,2-Butylene Carbonate, Dimethyl Sulfoxide, and hydrogen peroxide, and the second co-solvent mixture (hereinafter “co-solvent 2 mixture”) includes isopropyl alcohol. As discussed, inclusion of carbonates in the mixture helps to maintain the stability of the co-solvent 1 mixture. Preferred carbonates are 1,2-Butylene Carbonate and Propylene Carbonate.
  • Systems for carrying out the described process may be configured in a variety of ways. One such system is schematically shown in FIG. 2. The system includes a [0041] pressure chamber 10 capable of withstanding temperatures and pressures at or above the critical temperature and pressure of the supercritical substance to be used in the process. The pressure chamber 10 functions as the process chamber in which the substrate(s) are cleaned.
  • A supply of [0042] co-solvent 1 mixture is housed in first reservoir 12, and co-solvent 2 mixture is housed in a second reservoir 14. A co-solvent pump 15 is positioned to pump co-solvent from first and/or second reservoirs 12,14 into a holding container 16, which is preferably heated by a heating tape 18. The temperature of the co-solvent in the holding container is measured by an internal RTD (resistive thermal device) probe 20. Carbon dioxide (or another substance which serves as the supercritical component in the process) is stored in reservoir 8. A pump 22 is provided for pumping the CO2 into the system, through a heater 24, and into the pressure chamber 10. The pressure chamber 10 includes a drain valve 30 that allows fluid to be exhausted from the chamber, and a pressure relief valve (not shown) that allows pressure within the chamber to be reduced. Valve 30 is fluidly coupled to a separator 32 that is vented to the atmosphere. The separator allows the co-solvents to be separated from the supercritical CO2 for potential re-use using a separation process such as, for example, fractional distillation. The pressure chamber 10 also includes a heating system and appropriate temperature sensors and controllers (not shown) that function to prevent “over temperature” conditions. One or more system controllers (not shown) having software programmed for the desired operations preferably control operation of the systems valves, pumps etc.
  • During use, co-solvent mixture is pumped into a holding [0043] container 16 and heated to a predetermined temperature by heating tape 18. A substrate 26, having photoresist and/or resist residue material that is to be removed is placed in pressure chamber 10 and the chamber is sealed. Next, the CO2 is pumped from reservoir 8 through heater 24 (so as to heat the CO2 to a predetermined temperature) and is into pressure chamber 10. When the desired chamber pressure is achieved, the system software closes a valve 28 and prevents the flow of additional CO2 into the system. From this time on, the chamber is preferably pressurized at the operating pressure. This operating pressure is preferably much greater than the critical pressure for CO2 (1070 psi) and is typically on the order of 1800 psi.
  • When the co-solvent [0044] 1 chemistry in the holding container 16 has reached the predetermined temperature, it is introduced into the process chamber 10 where it contacts the substrate. After the substrate has been exposed to the co-solvent 1 mixture for the desired amount of time, the co-solvent 1 mixture may be rinsed from the substrate surface by using pure supercritical fluid directed onto the substrate. This is accomplished by opening a valve 30 that connects the process chamber 10 to a separator 32. The separator is vented to atmosphere by opening valve 30 to subject the fluid inside the pressure chamber 10 to a pressure differential, causing the fluid to flow from the pressure chamber into the separator 32. Valve 28 is simultaneously opened by the software routine to let fresh scCO2 into the system such that the pressure inside the process chamber 10 is maintained.
  • After rinsing the [0045] process chamber 10 and substrate 26 in fresh scCO2 (for a duration of, for example, 15 seconds), co-solvent 2 is also introduced into the process chamber 10 via the holding container 16 from the co-solvent 2 reservoir 12. Alternate cycles of (1) rinsing the process chamber 10 and substrate 26 in pure scCO2 and (2) exposing the substrate to co-solvent 2 may be repeated to dry the wafer. During the entire duration of this rinsing phase, valve 30 is open to drain all the fluid contents of the process chamber 10 into the separator and valve 28 is open to let fresh scCO2 into the system to maintain the system pressure. After the desired number of rinsing cycles of scCO2 and co-solvent 2, valve 28 is closed and valve 30 is kept open to depressurize the chamber. After depressurization, a cleaned and dry photoresist and/or resist residue free substrate, 26, is removed from the process chamber 10.
  • Preferably, the pressure chamber is not de-pressurized between application of the co-solvent [0046] 1 mixture and application of the co-solvent 2 mixture. This allows the entire process to be performed as a single step, without pressure-cycling the system.
  • The substrate is supported within the pressure chamber in a manner that allows the front and/or front and back surfaces of the substrate to be exposed to fluids within the chamber. The pressure chamber may be configured to support a single substrate or multiple substrates. [0047]
  • The composition and methods described herein are highly beneficial in that they can achieve thorough stripping of photoresist materials (including I-Line, BARC, DUV, 193 nm) and their photoresist residue (also called “post-ash residue”) created in a plasma chamber. The substrates treated using the disclosed compositions and methods may have various features which include (but are not limited to) aluminum metal lines, high dielectric (“high k”) gate materials such as platinum, high aspect vias, and/or features etched into copper/low k dielectric substrate materials. It should be noted that the term “integrated circuit device” may be used herein to describe integrated circuit devices in various stages of completion. Moreover, although semiconductor substrates are primarily discussed herein, the composition and method may also be used for other types of substrates, such as liquid crystal displays. [0048]
  • The near zero surface tension of the supercritical fluid and reduced surface tension of the co-solvent mix allow penetration of the supercritical fluid and/or the cosolvent into high aspect ratio structures that are commonly found in integrated circuits. Without complete co-solvent penetration, residue removal from the bottom and the sidewalls of high aspect ratio structures is not possible. This process has been shown to work for removing blanket photoresist films that may have been hardbaked (e.g. to drive off the solvent and improve the adhesion of the photoresist material to the substrate surface and/or the barrier layer). Some of the hardbaked photoresist may be further cross-linked under high intensity UV lamps to achieve 100% cross-linking of the photoresist. A 100% cross-linked photoresist structure improves the intended performance of the photoresist but makes the photoresist very difficult to remove. [0049]
  • In addition, the disclosed compositions and methods are suitable for use on substrates (including the photoresist covering part of the substrates) that were implanted with Group III or Group V elements of the periodic table. This process is called doping and is intended to create surface layers, over certain select areas of the wafer, that have different conductivity from the bulk silicon substrate. Following the ion implantation step(s), the photoresist has a hard outer crust covering a jelly like core. The hard crust dissolves at a much slower rate than the underlying photoresist and therefore, implanted photoresists are considered some of the most challenging resists to remove. Typically, in the prior art, implant levels greater than 1×10[0050] 14 atoms/cm2 are removed by a two-step process requiring plasma ashing in an O2 plasma followed by removal of residues created in the plasma process in a stripping bath. Using the disclosed compositions/methods of scCO2 cleaning, one can remove very high implant levels photoresist (5×1015 atoms/cm2) and come out with a dry, photoresist free wafer surface in a single step that is less harsh on the environment and the substrate itself than the multi-step processes currently used in the industry.
  • Following are examples that illustrate certain embodiments of practicing the present invention. It should be understood that these are intended as examples only, and are not intended to limit the scope of the claims. The examples were carried out using a test bed apparatus that differed from the apparatus of FIG. 2. Although a preferred apparatus would perform the disclosed method on an entire substrate or substrates, in each example the test bed apparatus performed each the described methods on a single-die cut from a substrate. For this reason, it should be noted that the quantities of substances used and the exposure times given will differ for when one or more complete substrates are being treated. [0051]
  • EXAMPLE 1
  • In a first example, a substrate having a hard baked I-line photoresist that was DUV stabilized using UV lamps to achieve 100% cross-linking was placed in the process chamber. A co-solvent [0052] 1 composition of 40% (by weight) 1,2-Butylene Carbonate, 30% Dimethyl Sulfoxide, and 30% of 30% hydrogen peroxide was mixed at a temperature of 55° C.
  • The 1,2-Butylene Carbonate was selected for its high solvency and the fact that it makes a single-phase solution with hydrogen peroxide. Propylene Carbonate may be substituted for the 1,2-Butylene Carbonate (and vise versa) in this and the following examples. The hydrogen peroxide was selected for its ability to attack the cross-linked bonds of the photoresist, and the dimethyl sulfoxide was selected for its ability to carry out photoresist stripping. This mixture was made to flow into the process chamber and onto-the substrate at a rate of 8 g/min for-approximately 90 seconds. Supercritical carbon dioxide was caused to flow into the chamber with the co-solvent [0053] 1 at a flow rate of 72 g/min to have a total fluid flow rate into the process chamber at 80 g/min. The temperature and pressure within the chamber were 110° C. and 165 bar, respectively. After 90 seconds, the flow of carbon dioxide into the chamber was suspended, and the flow rate of the co-solvent 1 was increased to 80 g/min for approximately 20 seconds.
  • Next, flow of [0054] co-solvent 1 was terminated and the back-pressure regulator was turned off, leaving the substrate in a static dwell of co-solvent and supercritical carbon dioxide at 165 bar and 110° C. to affect photoresist stripping. Although fluids may alternatively be made to flow through the chamber during the exposure period, a static dwell is preferable in that is minimizes chemical usage. The substrate was then allowed to dwell in the chamber for approximately 4 minutes and 40 seconds. After the dwell time, the back-pressure regulator was turned on, and supercritical carbon dioxide was allowed to flow onto the substrate to flush the first-co-solvent from the substrate for a period of 30 seconds.
  • Next, a second co-solvent consisting of isopropyl alcohol, at room temperature, was made to flow onto the substrate surface at a rate of 40 g/min, together with supercritical carbon dioxide which was also flowing into the chamber at 40 g/min, for a total fluid flow into the chamber of 80 g/min. This flow continued for approximately 90 seconds, after which the flow of the second co-solvent was terminated. Flow of supercritical carbon dioxide continued for an additional two minutes, after which the substrate was removed from the chamber. The substrate was found to be completely free of photoresist, and the substrate and the chamber were thoroughly dried. [0055]
  • EXAMPLE 2
  • In the second example, the co-solvent mix is unchanged but is introduced into the process chamber in higher amounts at the start of the run and the complete process is run without any static dwell in the process chamber. A substrate having a hard baked I-line photoresist that was DUV stabilized using UV lamps to achieve 100% cross-linking was placed in the process chamber. A co-solvent I composition of 40% (by weight) 1,2-Butylene Carbonate, 30% Dimethyl Sulfoxide, and 30% of 30% hydrogen peroxide was mixed at a temperature of 50° C. This mixture was made to flow into the process chamber and onto the substrate at a rate of 20 g/min for approximately 30 seconds. Supercritical carbon dioxide was caused to flow into the chamber with the co-solvent [0056] 1 at a flow rate of 60 g/min to have a total fluid flow rate into the process chamber at 80 g/min. Subsequently the co-solvent 1 flow rate was decreased to 2.4 g/min and the supercritical carbon dioxide flow rate increased to 77.6 g/min. for the next 3 minutes and 30 seconds. The operating temperature and pressure within the chamber were 110° C. and 165 bar, respectively.
  • Next, flow of [0057] co-solvent 1 was terminated and supercritical carbon dioxide, at a flow rate of 80 g/min., was allowed to flow onto the substrate to flush the first-co-solvent from the substrate for a period of 30 seconds.
  • Next, a second co-solvent consisting of isopropyl alcohol, at room temperature, was made to flow onto the substrate surface at a rate of 40 g/min, together with supercritical carbon dioxide which was also flowing into the chamber at 40 g/min. for a total fluid flow into the chamber of 80 g/min. This flow continued for approximately 90 seconds, after which the flow of the second co-solvent was terminated. Flow of supercritical carbon dioxide continued for an additional two minutes, after which the substrate was removed from the chamber. The substrate was found to be completely free of photoresist, and the substrate and the chamber were thoroughly dried. [0058]
  • EXAMPLE 3
  • The third example is similar to Example 2, but differs in that a [0059] different cosolvent 1 composition was used. A substrate having a hard baked I-line photoresist that was DUV stabilized using UV lamps to achieve 100% cross-linking was placed in the process chamber. A co-solvent 1 composition of 40% (by weight) 1,2-Butylene Carbonate, 40% Benzyl Alcohol, and 20% of 30% hydrogen peroxide was mixed at a temperature of 50° C. This mixture was made to flow into the process chamber and onto the substrate at a rate of 20 g/min for approximately 45 seconds. Supercritical carbon dioxide was caused to flow into the chamber with the co-solvent 1 at a flow rate of 60. g/min to have a total fluid flow rate into the process chamber at 80 g/min. Subsequently the co-solvent 1 flow rate was decreased to 2.4 g/min and the supercritical carbon dioxide flow rate-increased to 77.6 g/min. for the next 3 minutes and 15 seconds. The operating temperature and pressure within the chamber were 110° C. and 165 bar, respectively.
  • Next, flow of [0060] co-solvent 1 was terminated and supercritical carbon dioxide, at a flow rate of 80 g/min., was allowed to flow onto the substrate to flush the first-co-solvent from the substrate for a period of 30 seconds.
  • Next, a second co-solvent consisting of isopropyl alcohol, at room temperature, was made to flow onto the substrate surface at a rate of 40 g/min, together with supercritical carbon dioxide which was also flowing into the chamber at 40 g/min for a total fluid flow into the chamber of 80 g/min. This flow continued for approximately 90 seconds, after which the flow of the second co-solvent was terminated. Flow of supercritical carbon dioxide continued for an additional two minutes, after which the substrate was removed from the chamber. The substrate was found to be completely free of photoresist, and the substrate and the chamber were thoroughly dried. [0061]
  • EXAMPLE 4
  • The fourth example utilized the [0062] same co-solvent 1 composition as used in Example 2, but the composition was used on a substrate having different characteristics. In this example, the blanket photoresist layer removed was a 6000 Å thick DUV 5 photoresist layer on top of a polysilicon layer which covers a silicon dioxide layer on top of the silicon wafer substrate. The photoresist was subjected to a high dose implant of boron at 10 keV to a dosage level of 3×1015 atoms/cm2. A co-solvent 1 composition of 40% (by weight) 1,2-Butylene Carbonate, 30% Dimethyl Sulfoxide, and 30% of 30% hydrogen peroxide was mixed at a temperature of 50° C. This mixture was made to flow into the process chamber and onto the substrate at a rate of 8 g/min for 4 minutes. The co-solvent 1 mixture was carried into the process chamber by supercritical carbon dioxide at a flow rate of 72 g/min to have a total fluid flow rate into the process chamber at 80 g/min. The operating temperature and pressure within the chamber were 110° C. and 165 bar, respectively.
  • A 4-minute exposure of the photoresist film to the [0063] co-solvent 1 mixture was found to have completely dissolved the photoresist by visual observation (no edge exclusion was visible) and verified by ellipsometry.
  • Although the drying step was not performed, the result is expected to be the same as was achieved in Examples 1-3. The primary modification to Example 4 as compared with Example 2 was that the ion implant process created a level of organic contamination that traditionally has been more difficult to remove by liquid chemicals only. [0064]
  • EXAMPLE 5
  • The fifth example utilized the [0065] same co-solvent 1 composition as used in Example 2, but the composition was used on a substrate having different characteristics. The blanket photoresist layer removed was a 6000 Å thick DUV 5 photoresist layer on top of a polysilicon layer which covers a silicon dioxide layer on top of the silicon wafer substrate. The photoresist was subjected to a high dose implant of arsenic at 20 keV to a dosage level of 2×1015 atoms/cm2. A co-solvent 1 composition of 40% (by weight) 1,2-Butylene Carbonate, 30% Dimethyl Sulfoxide, and 30% of 30% hydrogen peroxide was mixed at a temperature of 50° C. This mixture was made to flow into the process chamber and onto the substrate at a rate of 8 g/min for 5 minutes. The co-solvent 1 mixture was carried into the process chamber by supercritical carbon dioxide at a flow rate of 72 g/min to have a total fluid flow rate into the process chamber at 80 g/min. The operating temperature and pressure within the chamber were 110° C. and 165 bar, respectively.
  • A 5-minute exposure of the photoresist film to the [0066] co-solvent 1 mixture was found to have completely dissolved the photoresist by visual observation (no edge exclusion was visible) and verified by ellipsometry.
  • Although the drying step was not performed, the result is expected to be the same as was achieved in Examples 1-3. The primary modification to Example 5 as compared with Example 2 was the presence of a level of organic contamination that traditionally has been more difficult to remove by liquid chemicals only. [0067]
  • EXAMPLE 6
  • The sixth example utilized the [0068] same co-solvent 1 composition as used in Example 2, but the composition was used on a substrate having different characteristics. The blanket photoresist layer removed was a 6000 Å thick DUV 5 photoresist layer on top of a polysilicon layer which covers a silicon dioxide layer on top of the silicon wafer substrate. The photoresist was subjected to a high dose implant of arsenic at 10 keV to a dosage level of 3×1015 atoms/cm2. A co-solvent 1 composition of 40% (by weight) 1,2-Butylene Carbonate, 30% Dimethyl Sulfoxide, and 30% of 30% hydrogen peroxide was mixed at a temperature of 50° C. This mixture was made to flow into the process chamber and onto the substrate at a rate of 8 g/min for 6 minutes. The co-solvent I mixture was carried into the process chamber by supercritical carbon dioxide at a flow rate of 72 g/min to have a total fluid flow rate into the process chamber at 80 g/min. The operating temperature and pressure within the chamber were 110° C. and 165 bar, respectively.
  • A 6-minute exposure of the photoresist film to the [0069] co-solvent 1 mixture was found to have completely dissolved the photoresist by visual observation (no edge exclusion was visible) and verified by ellipsometry.
  • Although the drying step was not performed, the result is expected to be the same as was achieved in Examples 1-3. The primary modification to Example 6 as compared with Example 2 was the presence of a level of organic contamination that traditionally has been more difficult to remove by liquid chemicals only. [0070]
  • EXAMPLE 7
  • The seventh example utilized the [0071] same co-solvent 1 composition as used in Example 2, but the composition was used on a substrate having different characteristics. The blanket photoresist layer removed was a 6000 Å thick DUV 5 photoresist layer on top of a polysilicon layer which covers a silicon dioxide layer on top of the silicon wafer substrate. The photoresist was subjected to a high dose implant of arsenic at 5 keV to a dosage level of 5×1015 atoms/cm2. A co-solvent 1 composition of 40% (by weight) 1,2-Butylene Carbonate, 30% Dimethyl Sulfoxide, and 30% of 30% hydrogen peroxide was mixed at a temperature of 50° C. This mixture was made to flow into the process chamber and onto the substrate at a rate of 8 g/min for 6 minutes. The co-solvent 1 mixture was carried into the process chamber by supercritical carbon dioxide at a flow rate of 72 g/min to have a total fluid flow rate into the process chamber at 80 g/min. The operating temperature and pressure within the chamber were 110° C. and 165 bar, respectively.
  • A 6-minute exposure of the photoresist film to the [0072] co-solvent 1 mixture was found to have completely dissolved the photoresist by visual observation (no edge exclusion was visible) and verified by ellipsometry.
  • Although the drying step was not performed, the result is expected to be the same as was achieved in Examples 1-3. The primary modification to Example 7 as compared with Example 2 was the presence of a level of organic contamination that traditionally has been more difficult to remove by liquid chemicals only. [0073]
  • EXAMPLE 8
  • The substrate used in the eighth example included a via structure which contained a low k dielectric layer. Prior to the experiment, photoresist was removed using an asher, leaving post-ash residues in the via structure. The specific chemistry employed was the following: 39.93% (by weight) 1,2-Butylene Carbonate, 39.93% Dimethyl Sulfoxide, and 29.94% of 30% hydrogen peroxide and 0.2% of 40% ammonium fluoride. This mixture was made to flow into the process chamber and onto the substrate at a rate of 8 g/min for 5 minutes. The [0074] co-solvent 1 mixture was carried into the process chamber by supercritical carbon dioxide at a flow rate of 72 g/min to have a total fluid flow rate into the process chamber at 80 g/min. The operating temperature and pressure within the chamber were 43° C. and 165 bar, respectively.
  • A 5-minute exposure of the post ash residues to the [0075] co-solvent 1 mixture was found to have completely dissolved and removed the post ash by SEM analysis. SEM photo of various die locations showed that complete residue removal was achieved with no attack of the semiconductor structure geometries.
  • Although the drying step was not performed, the result is expected to be the same as was achieved in Examples 1-3. The primary modification to Example 8 as compared with Example 2 was the type of organic contamination (post ash residue) that had to be removed. [0076]
  • All patents, patent applications, and publications disclosed herein are incorporated by reference in their entirety, as if individually incorporated. The foregoing detailed description and examples have been given for clarity of understanding only. No unnecessary limitations are to be understood therefrom. The invention is not limited to the exact details shown and described, for variations obvious to one skilled in the art will be included within the invention defined by the claims. [0077]

Claims (53)

What is claimed is:
1. A method of removing photoresist and/or resist residue from a substrate, comprising the steps of:
(a) providing a substrate having photoresist material formed thereon;
(b) exposing the substrate to a supercritical fluid in combination with a co-solvent mixture comprising an organic solvent and an oxidizer.
2. The method of claim 1 wherein the supercritical fluid is supercritical carbon dioxide.
3. The method of claim 1 wherein the co-solvent mixture include an aqueous fluoride.
4. The method of claim 3 wherein the aqueous fluoride is selected from the group consisting of ammonium fluoride and hydrofluoric acid.
5. The method of claim 1 in which the organic solvent is selected from the group consisting of 1,2-Butylene Carbonate, Benzyl Alcohol, Ethylene and Propylene Carbonate and mixtures thereof, Dimethyl Sulfoxide, N-Methyl Pyrrolidone, Dimethyl Acetamide, Dimethyl Formamide, Propylene Glycol and Propylene Glycol n-Butyl Ether.
6. The method of claim 5, wherein the organic solvent includes 1,2-Butylene Carbonate.
7. The method of claim 5, wherein the organic solvent includes Dimethyl Sulfoxide.
8. The method of claim 5, wherein the organic solvent includes Benzyl Alcohol.
9. The method of claim 5, wherein the organic solvent includes Propylene Carbonate, 1,2-Butylene Carbonate and Dimethyl Sulfoxide.
10. The method of claim 5, wherein the organic solvent includes Propylene Carbonate.
11. The method of claim 5, wherein the organic solvent includes Propylene Carbonate and Dimethyl Sulfoxide.
12. The method of claim 5, wherein the organic solvent includes Propylene Carbonate and Benzyl Alcohol.
13. The method of claim 5, wherein the organic solvent includes 1,2-Butylene Carbonate and Dimethyl Sulfoxide.
14. The method of claim 5, wherein the organic solvent includes 1,2-Butylene Carbonate and Benzyl Alcohol.
15. The method of claim 1 wherein the oxidizer is selected from the group consisting of hydrogen peroxide, benzoyl peroxide, urea peroxide and mixtures thereof.
16. The method of claim 15 wherein the oxidizer is 10 to 80% hydrogen peroxide.
17. The method of claim 1 wherein the exposing step causes stripping of photoresist material from the substrate.
18. The method of claim 1 wherein the exposing step cleans resist residue from the substrate.
19. The method of claim 17, wherein the co-solvent mixture is a first co-solvent mixture and wherein the method further includes the step of, after step (b), exposing the substrate to a second mixture comprising a supercritical fluid in combination with isopropyl alcohol.
20. The method of claim 19 wherein the second co-solvent mixture includes supercritical fluid in combination with isopropyl alcohol and water.
21. The method of claim 19 wherein the step of exposing the substrate to the second co-solvent mixture removes the first co-solvent mixture from the substrate and dries the substrate.
22. The method of claim 1 wherein the substrate includes I-line photoresist and wherein the method is for removing the I-line photoresist.
23. The method of claim 1 wherein the substrate is a substrate previously exposed to ion implantation.
24. The method of claim 1 wherein the substrate includes aluminum lines formed thereon.
25. The method of claim 1 wherein the substrate includes at least one integrated circuit device including low-dielectric constant materials.
26. The method of claim 1 wherein the substrate includes at least one integrated circuit device having high dielectric constant gate materials.
27. The method of claim 1 wherein the substrate includes back anti-reflective coating and wherein the method removes the back anti-reflective coating from the substrate.
28. The method of claim 1 wherein the substrate includes deep UV photoresist and wherein the method removes the DUV photoresist from the substrate.
29. The method of claim 1 wherein the substrate includes post-ash residues, and wherein the method includes removing the post-ash residues from the substrate.
30. A composition for removing photoresist and/or resist residues from a substrate, the composition comprising:
a supercritical fluid in combination with a co-solvent mixture comprising an organic solvent and an oxidizer.
31. The composition of claim 30 wherein the supercritical fluid is supercritical carbon dioxide.
32. The composition of claim 30 wherein the co-solvent mixture include an aqueous fluoride.
33. The composition of claim 32 wherein the aqueous fluoride is selected from the group consisting of ammonium fluoride and hydrofluoric acid.
34. The composition of claim 30 in which the organic solvent is selected from the group consisting of 1,2-Butylene Carbonate, Benzyl Alcohol, Ethylene and Propylene Carbonate and mixtures thereof, Dimethyl Sulfoxide, N-Methyl Pyrrolidone, Dimethyl Acetamide, Dimethyl Formamide, Propylene Glycol and Propylene Glycol n-Butyl Ether.
35. The composition of claim 34, wherein the organic solvent includes 1,2-Butylene Carbonate.
36. The composition of claim 34, wherein the organic solvent includes Dimethyl Sulfoxide.
37. The composition of claim 34, wherein the organic solvent includes Benzyl Alcohol.
38. The composition of claim 34, wherein the organic solvent includes Propylene Carbonate.
39. The composition of claim 34, wherein the organic solvent includes Propylene Carbonate and Dimethyl Sulfoxide.
40. The composition of claim 34 wherein the organic solvent includes Propylene Carbonate and Benzyl Alcohol.
41. The composition of claim 34, wherein the organic solvent includes 1,2-Butylene Carbonate and Dimethyl Sulfoxide.
42. The composition of claim 34, wherein the organic solvent includes 1,2-Butylene Carbonate and Benzyl Alcohol.
43. The composition of claim 34, wherein the organic solvent includes Propylene Carbonate, 1,2-Butylene Carbonate and Dimethyl Sulfoxide.
44. The composition of claim 30 wherein the oxidizer is selected from the group consisting of hydrogen peroxide, benzoyl peroxide, urea peroxide and mixtures thereof.
45. The composition of claim 44 wherein the oxidizer is 10 to 80% hydrogen peroxide.
46. A composition for removing photoresist and/or resist residue from a substrate, the comprising including supercritical carbon dioxide, 1,2-Butylene Carbonate, Dimethyl Sulfoxide and hydrogen peroxide.
47. The composition of claim 46, further including ammonium fluoride.
48. A composition for removing photoresist and/or resist residuel from a substrate, the comprising including supercritical carbon dioxide, Benzyl Alcohol, 1,2-Butylene Carbonate and hydrogen peroxide.
49. The composition of claim 48, further including ammonium fluoride.
50. A composition for removing photoresist and/or resist residue from a substrate, the comprising including supercritical carbon dioxide, Propylene Carbonate, Dimethyl Sulfoxide and hydrogen peroxide.
51. The composition of claim 50, further including ammonium fluoride.
52. A composition for removing photoresist and/or resist residue from a substrate, the comprising including supercritical carbon dioxide, Propylene Carbonate, Benzyl Alcohol and hydrogen peroxide.
53. The composition of claim 52, further including ammonium fluoride.
US10/197,384 2002-07-17 2002-07-17 Composition and method for removing photoresist and/or resist residue using supercritical fluids Abandoned US20040011386A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US10/197,384 US20040011386A1 (en) 2002-07-17 2002-07-17 Composition and method for removing photoresist and/or resist residue using supercritical fluids
US10/620,895 US20040050406A1 (en) 2002-07-17 2003-07-16 Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
PCT/US2003/022310 WO2004008249A2 (en) 2002-07-17 2003-07-17 Compositions and method for removing photoresist and/or resist residue
AU2003253961A AU2003253961A1 (en) 2002-07-17 2003-07-17 Compositions and method for removing photoresist and/or resist residue

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/197,384 US20040011386A1 (en) 2002-07-17 2002-07-17 Composition and method for removing photoresist and/or resist residue using supercritical fluids

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/620,895 Continuation-In-Part US20040050406A1 (en) 2002-07-17 2003-07-16 Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical

Publications (1)

Publication Number Publication Date
US20040011386A1 true US20040011386A1 (en) 2004-01-22

Family

ID=30442935

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/197,384 Abandoned US20040011386A1 (en) 2002-07-17 2002-07-17 Composition and method for removing photoresist and/or resist residue using supercritical fluids

Country Status (1)

Country Link
US (1) US20040011386A1 (en)

Cited By (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030131386A1 (en) * 1999-03-23 2003-07-10 Raymond Samaha Stress-induced polynucleotides
US20040079388A1 (en) * 2002-10-23 2004-04-29 Ramachandrarao Vijayakumar S. Removing fluorine-based plasma etch residues
US20040103922A1 (en) * 2001-12-03 2004-06-03 Yoichi Inoue Method of high pressure treatment
US20040134515A1 (en) * 1999-10-29 2004-07-15 Castrucci Paul P. Apparatus and method for semiconductor wafer cleaning
US20040142564A1 (en) * 1998-09-28 2004-07-22 Mullee William H. Removal of CMP and post-CMP residue from semiconductors using supercritical carbon dioxide process
US20040177867A1 (en) * 2002-12-16 2004-09-16 Supercritical Systems, Inc. Tetra-organic ammonium fluoride and HF in supercritical fluid for photoresist and residue removal
US20040226588A1 (en) * 2003-05-15 2004-11-18 Kabushiki Kaisha Kobe Seiko Sho(Kobe Steel, Ltd.) Cleaning apparatus
WO2005093032A1 (en) * 2004-03-01 2005-10-06 Mallinckrodt Baker, Inc. Nanoelectronic and microelectronic cleaning compositions
US20050227187A1 (en) * 2002-03-04 2005-10-13 Supercritical Systems Inc. Ionic fluid in supercritical fluid for semiconductor processing
US20050227482A1 (en) * 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers
US20060003592A1 (en) * 2004-06-30 2006-01-05 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US20060019850A1 (en) * 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US20060040840A1 (en) * 2002-10-31 2006-02-23 Korzenski Michael B Supercritical carbon dioxide/chemical formulation for removal of photoresists
US20060068583A1 (en) * 2004-09-29 2006-03-30 Tokyo Electron Limited A method for supercritical carbon dioxide processing of fluoro-carbon films
US20060073998A1 (en) * 2002-10-31 2006-04-06 Korzenski Michael B Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US20060102204A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method for removing a residue from a substrate using supercritical carbon dioxide processing
US20060102208A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited System for removing a residue from a substrate using supercritical carbon dioxide processing
US20060185694A1 (en) * 2005-02-23 2006-08-24 Richard Brown Rinsing step in supercritical processing
US20060213820A1 (en) * 2005-03-23 2006-09-28 Bertram Ronald T Removal of contaminants from a fluid
US20060215729A1 (en) * 2005-03-28 2006-09-28 Wuester Christopher D Process flow thermocouple
US20060223899A1 (en) * 2005-03-30 2006-10-05 Hillman Joseph T Removal of porogens and porogen residues using supercritical CO2
US20060219268A1 (en) * 2005-03-30 2006-10-05 Gunilla Jacobson Neutralization of systemic poisoning in wafer processing
US20060226117A1 (en) * 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20060225769A1 (en) * 2005-03-30 2006-10-12 Gentaro Goshi Isothermal control of a process chamber
US20060254615A1 (en) * 2005-05-13 2006-11-16 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
WO2006125369A1 (en) * 2005-05-13 2006-11-30 Anji Microelectronics (Shanghai) Co., Ltd. Composition for removing photoresist layer and method for using it
US20070000519A1 (en) * 2005-06-30 2007-01-04 Gunilla Jacobson Removal of residues for low-k dielectric materials in wafer processing
US20070012337A1 (en) * 2005-07-15 2007-01-18 Tokyo Electron Limited In-line metrology for supercritical fluid processing
US20070077757A1 (en) * 2005-10-05 2007-04-05 Shim Cheon M Method of forming metal wiring in semiconductor device
US20070151582A1 (en) * 2005-12-09 2007-07-05 Industrial Technology Research Institute Supercritical fluid washing method and system
US20080138972A1 (en) * 2006-11-16 2008-06-12 Samsung Electronics Co., Ltd. Method of removing photoresist and method of manufacturing a semiconductor device
US20080138273A1 (en) * 2006-12-11 2008-06-12 Yi Jiang Wall flow reactor for hydrogen production
US20090029274A1 (en) * 2007-07-25 2009-01-29 3M Innovative Properties Company Method for removing contamination with fluorinated compositions
US20090151757A1 (en) * 2007-12-14 2009-06-18 Mui David S L Apparatus for particle removal by single-phase and two-phase media
US20090253268A1 (en) * 2008-04-03 2009-10-08 Honeywell International, Inc. Post-contact opening etchants for post-contact etch cleans and methods for fabricating the same
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
DE112006001768B4 (en) * 2005-07-01 2012-02-16 Globalfoundries Inc. Use of a supercritical fluid to dry the writing and to clean the lenses in an immersion lithography
CN102856162A (en) * 2011-06-30 2013-01-02 细美事有限公司 Apparatus for treating substrate and method for discharging supercritical fluid
US8497233B2 (en) 2009-02-25 2013-07-30 Avantor Performance Materials, Inc. Stripping compositions for cleaning ion implanted photoresist from semiconductor device wafers
US20150258465A1 (en) * 2014-03-13 2015-09-17 Tokyo Electron Limited Separation and regeneration apparatus and substrate processing apparatus
CN111162001A (en) * 2018-11-08 2020-05-15 三星电子株式会社 Method for cleaning semiconductor chip

Citations (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4944837A (en) * 1988-02-29 1990-07-31 Masaru Nishikawa Method of processing an article in a supercritical atmosphere
US5013366A (en) * 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5068040A (en) * 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US5313965A (en) * 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
US5337446A (en) * 1992-10-27 1994-08-16 Autoclave Engineers, Inc. Apparatus for applying ultrasonic energy in precision cleaning
US5355901A (en) * 1992-10-27 1994-10-18 Autoclave Engineers, Ltd. Apparatus for supercritical cleaning
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5401322A (en) * 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5456759A (en) * 1992-08-10 1995-10-10 Hughes Aircraft Company Method using megasonic energy in liquefied gases
US5522938A (en) * 1994-08-08 1996-06-04 Texas Instruments Incorporated Particle removal in supercritical liquids using single frequency acoustic waves
US5629277A (en) * 1995-10-04 1997-05-13 William L. Brown Paint removing composition
US5665527A (en) * 1995-02-17 1997-09-09 International Business Machines Corporation Process for generating negative tone resist images utilizing carbon dioxide critical fluid
US5721204A (en) * 1996-02-29 1998-02-24 Gage Products Company Paint stripping composition
US5783082A (en) * 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US5868856A (en) * 1996-07-25 1999-02-09 Texas Instruments Incorporated Method for removing inorganic contamination by chemical derivitization and extraction
US5868862A (en) * 1996-08-01 1999-02-09 Texas Instruments Incorporated Method of removing inorganic contamination by chemical alteration and extraction in a supercritical fluid media
US5873948A (en) * 1994-06-07 1999-02-23 Lg Semicon Co., Ltd. Method for removing etch residue material
US5908510A (en) * 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US5980648A (en) * 1991-02-19 1999-11-09 Union Industrie Comprimierter Gase Gmbh Nfg. Kg Cleaning of workpieces having organic residues
US6024801A (en) * 1995-05-31 2000-02-15 Texas Instruments Incorporated Method of cleaning and treating a semiconductor device including a micromechanical device
US6033993A (en) * 1997-09-23 2000-03-07 Olin Microelectronic Chemicals, Inc. Process for removing residues from a semiconductor substrate
US6040284A (en) * 1997-05-23 2000-03-21 Huntsman Petrochemical Corporation Paint coatings remover comprising propylene carbonate and hydrogen peroxide
US6085762A (en) * 1998-03-30 2000-07-11 The Regents Of The University Of California Apparatus and method for providing pulsed fluids
US6149828A (en) * 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6239090B1 (en) * 1997-05-23 2001-05-29 Huntsman Petrochemical Corporation Thickened paint and coating remover
US6242165B1 (en) * 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
US6286231B1 (en) * 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US20020014257A1 (en) * 1999-08-05 2002-02-07 Mohan Chandra Supercritical fluid cleaning process for precision surfaces
US6420327B1 (en) * 2000-08-09 2002-07-16 Huntsman Petrochemical Corporation Carbonate-based coating removers
US20020128164A1 (en) * 2000-11-30 2002-09-12 Tosoh Corporation Resist stripper
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US6508887B1 (en) * 1999-11-26 2003-01-21 Samsung Electronics Co., Ltd. Resist removing composition and resist removing method using the same

Patent Citations (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4944837A (en) * 1988-02-29 1990-07-31 Masaru Nishikawa Method of processing an article in a supercritical atmosphere
US5013366A (en) * 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5068040A (en) * 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US5980648A (en) * 1991-02-19 1999-11-09 Union Industrie Comprimierter Gase Gmbh Nfg. Kg Cleaning of workpieces having organic residues
US5313965A (en) * 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
US5401322A (en) * 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5456759A (en) * 1992-08-10 1995-10-10 Hughes Aircraft Company Method using megasonic energy in liquefied gases
US5337446A (en) * 1992-10-27 1994-08-16 Autoclave Engineers, Inc. Apparatus for applying ultrasonic energy in precision cleaning
US5355901A (en) * 1992-10-27 1994-10-18 Autoclave Engineers, Ltd. Apparatus for supercritical cleaning
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5873948A (en) * 1994-06-07 1999-02-23 Lg Semicon Co., Ltd. Method for removing etch residue material
US5522938A (en) * 1994-08-08 1996-06-04 Texas Instruments Incorporated Particle removal in supercritical liquids using single frequency acoustic waves
US5665527A (en) * 1995-02-17 1997-09-09 International Business Machines Corporation Process for generating negative tone resist images utilizing carbon dioxide critical fluid
US6024801A (en) * 1995-05-31 2000-02-15 Texas Instruments Incorporated Method of cleaning and treating a semiconductor device including a micromechanical device
US5629277A (en) * 1995-10-04 1997-05-13 William L. Brown Paint removing composition
US5944996A (en) * 1995-11-03 1999-08-31 The University Of North Carolina At Chapel Hill Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US5783082A (en) * 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US5721204A (en) * 1996-02-29 1998-02-24 Gage Products Company Paint stripping composition
US5868856A (en) * 1996-07-25 1999-02-09 Texas Instruments Incorporated Method for removing inorganic contamination by chemical derivitization and extraction
US5868862A (en) * 1996-08-01 1999-02-09 Texas Instruments Incorporated Method of removing inorganic contamination by chemical alteration and extraction in a supercritical fluid media
US5908510A (en) * 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US5976264A (en) * 1996-10-16 1999-11-02 International Business Machines Corporation Removal of fluorine or chlorine residue by liquid CO2
US6149828A (en) * 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6239090B1 (en) * 1997-05-23 2001-05-29 Huntsman Petrochemical Corporation Thickened paint and coating remover
US6040284A (en) * 1997-05-23 2000-03-21 Huntsman Petrochemical Corporation Paint coatings remover comprising propylene carbonate and hydrogen peroxide
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US6509141B2 (en) * 1997-05-27 2003-01-21 Tokyo Electron Limited Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6033993A (en) * 1997-09-23 2000-03-07 Olin Microelectronic Chemicals, Inc. Process for removing residues from a semiconductor substrate
US6085762A (en) * 1998-03-30 2000-07-11 The Regents Of The University Of California Apparatus and method for providing pulsed fluids
US6242165B1 (en) * 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
US20020014257A1 (en) * 1999-08-05 2002-02-07 Mohan Chandra Supercritical fluid cleaning process for precision surfaces
US6508887B1 (en) * 1999-11-26 2003-01-21 Samsung Electronics Co., Ltd. Resist removing composition and resist removing method using the same
US20020026729A1 (en) * 2000-01-12 2002-03-07 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US6286231B1 (en) * 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US6420327B1 (en) * 2000-08-09 2002-07-16 Huntsman Petrochemical Corporation Carbonate-based coating removers
US20020128164A1 (en) * 2000-11-30 2002-09-12 Tosoh Corporation Resist stripper

Cited By (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040142564A1 (en) * 1998-09-28 2004-07-22 Mullee William H. Removal of CMP and post-CMP residue from semiconductors using supercritical carbon dioxide process
US20030131386A1 (en) * 1999-03-23 2003-07-10 Raymond Samaha Stress-induced polynucleotides
US6858089B2 (en) * 1999-10-29 2005-02-22 Paul P. Castrucci Apparatus and method for semiconductor wafer cleaning
US20040134515A1 (en) * 1999-10-29 2004-07-15 Castrucci Paul P. Apparatus and method for semiconductor wafer cleaning
US6962161B2 (en) * 2001-12-03 2005-11-08 Kobe Steel, Ltd. Method of high pressure treatment
US20040103922A1 (en) * 2001-12-03 2004-06-03 Yoichi Inoue Method of high pressure treatment
US20050227187A1 (en) * 2002-03-04 2005-10-13 Supercritical Systems Inc. Ionic fluid in supercritical fluid for semiconductor processing
US20040079388A1 (en) * 2002-10-23 2004-04-29 Ramachandrarao Vijayakumar S. Removing fluorine-based plasma etch residues
US20060019850A1 (en) * 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US20060073998A1 (en) * 2002-10-31 2006-04-06 Korzenski Michael B Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US20060040840A1 (en) * 2002-10-31 2006-02-23 Korzenski Michael B Supercritical carbon dioxide/chemical formulation for removal of photoresists
US20040177867A1 (en) * 2002-12-16 2004-09-16 Supercritical Systems, Inc. Tetra-organic ammonium fluoride and HF in supercritical fluid for photoresist and residue removal
US20040226588A1 (en) * 2003-05-15 2004-11-18 Kabushiki Kaisha Kobe Seiko Sho(Kobe Steel, Ltd.) Cleaning apparatus
WO2005093032A1 (en) * 2004-03-01 2005-10-06 Mallinckrodt Baker, Inc. Nanoelectronic and microelectronic cleaning compositions
JP2007525851A (en) * 2004-03-01 2007-09-06 マリンクロッド・ベイカー・インコーポレイテッド Nanoelectronic and microelectronic cleaning compositions
US7767636B2 (en) 2004-03-01 2010-08-03 Mallinckrodt Baker, Inc. Nanoelectronic and microelectronic cleaning compositions
KR101154692B1 (en) 2004-03-01 2012-06-08 아반토르 퍼포먼스 머티리얼스, 인크. nanoelectronic and microelectronic cleaning compositions
US20050227482A1 (en) * 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers
US20060003592A1 (en) * 2004-06-30 2006-01-05 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US20060068583A1 (en) * 2004-09-29 2006-03-30 Tokyo Electron Limited A method for supercritical carbon dioxide processing of fluoro-carbon films
US20060102208A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited System for removing a residue from a substrate using supercritical carbon dioxide processing
US20060102204A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method for removing a residue from a substrate using supercritical carbon dioxide processing
US20060185694A1 (en) * 2005-02-23 2006-08-24 Richard Brown Rinsing step in supercritical processing
US20060213820A1 (en) * 2005-03-23 2006-09-28 Bertram Ronald T Removal of contaminants from a fluid
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US20060215729A1 (en) * 2005-03-28 2006-09-28 Wuester Christopher D Process flow thermocouple
US7380984B2 (en) * 2005-03-28 2008-06-03 Tokyo Electron Limited Process flow thermocouple
US20060226117A1 (en) * 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20100000681A1 (en) * 2005-03-29 2010-01-07 Supercritical Systems, Inc. Phase change based heating element system and method
US20060219268A1 (en) * 2005-03-30 2006-10-05 Gunilla Jacobson Neutralization of systemic poisoning in wafer processing
US20060225769A1 (en) * 2005-03-30 2006-10-12 Gentaro Goshi Isothermal control of a process chamber
US20060223899A1 (en) * 2005-03-30 2006-10-05 Hillman Joseph T Removal of porogens and porogen residues using supercritical CO2
US20060254615A1 (en) * 2005-05-13 2006-11-16 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
WO2006125369A1 (en) * 2005-05-13 2006-11-30 Anji Microelectronics (Shanghai) Co., Ltd. Composition for removing photoresist layer and method for using it
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US20070000519A1 (en) * 2005-06-30 2007-01-04 Gunilla Jacobson Removal of residues for low-k dielectric materials in wafer processing
DE112006001768B4 (en) * 2005-07-01 2012-02-16 Globalfoundries Inc. Use of a supercritical fluid to dry the writing and to clean the lenses in an immersion lithography
DE112006001768B8 (en) * 2005-07-01 2012-07-12 Globalfoundries Inc. Use of supercritical fluid to dry the discs and clean the lenses in immersion lithography
US20070012337A1 (en) * 2005-07-15 2007-01-18 Tokyo Electron Limited In-line metrology for supercritical fluid processing
US20070077757A1 (en) * 2005-10-05 2007-04-05 Shim Cheon M Method of forming metal wiring in semiconductor device
US20070151582A1 (en) * 2005-12-09 2007-07-05 Industrial Technology Research Institute Supercritical fluid washing method and system
US7959738B2 (en) * 2006-11-16 2011-06-14 Samsung Electronics Co., Ltd. Method of removing photoresist and method of manufacturing a semiconductor device
US20080138972A1 (en) * 2006-11-16 2008-06-12 Samsung Electronics Co., Ltd. Method of removing photoresist and method of manufacturing a semiconductor device
US20080138273A1 (en) * 2006-12-11 2008-06-12 Yi Jiang Wall flow reactor for hydrogen production
EP2179440A1 (en) * 2007-07-25 2010-04-28 3M Innovative Properties Company Method for removing contamination with fluorinated compositions
US20090029274A1 (en) * 2007-07-25 2009-01-29 3M Innovative Properties Company Method for removing contamination with fluorinated compositions
EP2179440A4 (en) * 2007-07-25 2011-03-09 3M Innovative Properties Co Method for removing contamination with fluorinated compositions
US8084406B2 (en) * 2007-12-14 2011-12-27 Lam Research Corporation Apparatus for particle removal by single-phase and two-phase media
US20090151757A1 (en) * 2007-12-14 2009-06-18 Mui David S L Apparatus for particle removal by single-phase and two-phase media
US8758522B2 (en) 2007-12-14 2014-06-24 Lam Research Corporation Method and apparatus for removing contaminants from substrate
US20090253268A1 (en) * 2008-04-03 2009-10-08 Honeywell International, Inc. Post-contact opening etchants for post-contact etch cleans and methods for fabricating the same
US8497233B2 (en) 2009-02-25 2013-07-30 Avantor Performance Materials, Inc. Stripping compositions for cleaning ion implanted photoresist from semiconductor device wafers
CN102856162A (en) * 2011-06-30 2013-01-02 细美事有限公司 Apparatus for treating substrate and method for discharging supercritical fluid
US9691638B2 (en) 2011-06-30 2017-06-27 Semes Co., Ltd. Apparatus for treating substrate and method for discharging supercritical fluid
US20150258465A1 (en) * 2014-03-13 2015-09-17 Tokyo Electron Limited Separation and regeneration apparatus and substrate processing apparatus
US10115609B2 (en) * 2014-03-13 2018-10-30 Tokyo Electron Limited Separation and regeneration apparatus and substrate processing apparatus
CN111162001A (en) * 2018-11-08 2020-05-15 三星电子株式会社 Method for cleaning semiconductor chip

Similar Documents

Publication Publication Date Title
US20040011386A1 (en) Composition and method for removing photoresist and/or resist residue using supercritical fluids
US20040050406A1 (en) Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
KR100764888B1 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
JP6339555B2 (en) Stripping composition having high WN / W selectivity
US7273060B2 (en) Methods for chemically treating a substrate using foam technology
KR100399160B1 (en) Process for removing residues from a semiconductor substrate
KR100551864B1 (en) Composition for removing residues from the microstructure of an object
US7456140B2 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
US9236279B2 (en) Method of dielectric film treatment
US20030125225A1 (en) Supercritical fluid cleaning of semiconductor substrates
KR20050074511A (en) Supercritical carbon dioxide/chemical formulation for removal of photoresists
US20070227556A1 (en) Methods for removing photoresist
EP1488286A1 (en) Ph buffered compositions for cleaning semiconductor substrates
WO2005104682A2 (en) Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
KR20080091844A (en) Selective removal chemistries for semiconductor applications, methods of production and uses thereof
WO2018175222A1 (en) Cleaning compositions for removing residues on semiconductor substrates
De Gendt et al. A novel resist and post-etch residue removal process using ozonated chemistries
US8449681B2 (en) Composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate
JP4004318B2 (en) Method and agent for removing organic coating
EP3774680A1 (en) Cleaning compositions
WO2003064581A1 (en) Methods and compositions for chemically treating a substrate using foam technology
JP2007536730A (en) Compositions and methods for drying patterned wafers during the manufacture of integrated circuit products
US20080060682A1 (en) High temperature spm treatment for photoresist stripping
US6652666B2 (en) Wet dip method for photoresist and polymer stripping without buffer treatment step
JP2008066573A (en) Method of peeling resist

Legal Events

Date Code Title Description
AS Assignment

Owner name: SCP GLOBAL TECHNOLOGIES, INC., IDAHO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SEGHAL, AKSHEY;REEL/FRAME:013113/0661

Effective date: 20020717

AS Assignment

Owner name: COMERICA BANK, SUCCESSOR BY MERGER TO COMERICA BAN

Free format text: SECURITY INTEREST;ASSIGNOR:SCP GLOBAL TECHNOLOGIES, INC.;REEL/FRAME:014705/0330

Effective date: 20030314

AS Assignment

Owner name: SCP GLOBAL TECHNOLOGIES, INC., IDAHO

Free format text: REASSIGNMENT AND RELEASE OF SECURITY INTEREST;ASSIGNOR:COMERICA BANK, SUCCESSOR BY MERGER TO COMERICA BANK-CALIFORNIA;REEL/FRAME:016177/0842

Effective date: 20050621

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: BHC INTERIM FUNDING II, L.P., NEW YORK

Free format text: SECURITY AGREEMENT;ASSIGNOR:AKRION SCP ACQUISITION CORP.;REEL/FRAME:020279/0925

Effective date: 20061002