US20040036129A1 - Atomic layer deposition of CMOS gates with variable work functions - Google Patents

Atomic layer deposition of CMOS gates with variable work functions Download PDF

Info

Publication number
US20040036129A1
US20040036129A1 US10/225,605 US22560502A US2004036129A1 US 20040036129 A1 US20040036129 A1 US 20040036129A1 US 22560502 A US22560502 A US 22560502A US 2004036129 A1 US2004036129 A1 US 2004036129A1
Authority
US
United States
Prior art keywords
transistor
gate
metallic conductor
region
vertical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/225,605
Inventor
Leonard Forbes
Kie Ahn
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US10/225,605 priority Critical patent/US20040036129A1/en
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FORBES, LEONARD, AHN, KIE Y.
Priority to CNB03824408XA priority patent/CN100359640C/en
Priority to JP2004529930A priority patent/JP2005536877A/en
Priority to EP03793354A priority patent/EP1532669A1/en
Priority to PCT/US2003/026487 priority patent/WO2004019394A1/en
Priority to AU2003260042A priority patent/AU2003260042A1/en
Priority to KR1020057003033A priority patent/KR100701542B1/en
Priority to US10/754,842 priority patent/US20040140513A1/en
Publication of US20040036129A1 publication Critical patent/US20040036129A1/en
Priority to US10/929,822 priority patent/US7351628B2/en
Priority to US11/038,730 priority patent/US20050179097A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2

Definitions

  • the present invention relates generally to semiconductor integrated circuits and, more particularly, to atomic layer deposition of CMOS gates with variable work functions.
  • n-type doped polysilicon gate electrodes in CMOS technology have two problems. Firstly, the polysilicon is conductive but there can still be a surface region which can be depleted of carriers under bias conditions. This appears as an extra gate insulator thickness and is commonly referred to as gate depletion and contributes to the equivalent oxide thickness. While this region is thin, in the order of a few angstroms ( ⁇ ), it becomes appreciable as gate oxide thicknesses are reduced below 2 nm or 20 ⁇ . Another problem is that the work function is not optimum for both n-MOS and p-MOS devices, historically this was compensated for by threshold voltage adjustment implantations.
  • Threshold voltage control becomes an important consideration as power supplies are reduced to the range of one volt.
  • Optimum threshold voltages for both PMOS and NMOS transistors need to have a magnitude of around 0.3 Volts.
  • a solution to the polysilicon gate depletion problem is to replace the semiconducting gate material with a metal or highly conductive metallic nitrides.
  • a metal or highly conductive metallic nitrides See generally; Y. Yee-Chia et al., “Dual-metal gate CMOS technology with ultrathin silicon nitride gate dielectric IEEE Electron Device Letters, Volume: 22 Issue: 5, May, 2001, pp. 227229; L Qiang, Y. Yee Chia, et al., “Dual-metal gate technology for deep-submicron CMOS transistors,” VLSI Technology, 2000; Digest of Technical Papers. 2000 Symposium on, 2000, pp. 72-73.; and H.
  • the gate electrode must be chemically and thermally compatible with both the transistor and the process. Different metals can be employed or the properties of the conductive nitride modified to provide an optimum work function. (See generally; above cited reference).
  • FIGS. 1A and 1B illustrate the desired energy band diagrams and work functions for NMOS and PMOS transistors respectively.
  • Refractory metals like titanium (Ti) and tantalum (Ta) oxidize rapidly under typical process conditions.
  • One proposed solution to the problem relies on a “tuned” ruthenium—tantalum (Ru—Ta) alloy, which is stable under process conditions.
  • Promising candidates include metallic nitrides, such as tantalum nitride (TaN) and titanium nitride (TiN). Tantalum nitride, titanium nitride, and tungsten nitride are mid-gap work function metallic conductors commonly described for use in CMOS devices. (See generally, H. Shimada et al., “Low resistivity bcc-Ta/TaN/sub x/metal gate MNSFETs having plane gate structure featuring fully low-temperature processing below 450 degrees C.,” 2001 Symposium on VLSI Technology, Jun. 12-14 2001, Kyoto, Japan Page: 67-68; H.
  • an embodiment of the present invention includes a transistor having a source region a drain region and a channel therebetween.
  • a gate is separated from the channel region by a gate insulator.
  • the gate includes a ternary metallic conductor formed by atomic layer deposition.
  • the ternary metallic conductor includes Tantalum Aluminum Nitride (TaAlN).
  • the ternary metallic conductor includes Titanium Aluminum Nitride (TiAlN).
  • the ternary metallic conductor includes Titanium Silicon Nitride (TiSiN).
  • the ternary metallic conductor includes Tungsten Aluminum Nitride (WAlN).
  • the gate further includes a refractory metal formed on the ternary metallic conductor.
  • FIGS. 1A and 1B illustrate the desired energy band diagrams and work functions for NMOS and PMOS transistors respectively.
  • FIG. 2 is a graph which plots electron affinity versus the energy bandgap for various metallic nitrides employed in various embodiments of the present invention.
  • FIG. 3 illustrates an embodiment of a transistor structure formed according to the teachings of the present invention.
  • FIG. 4 illustrates an embodiment of a memory device, utilizing ternary metallic gates formed by atomic layer deposition, according to embodiments of the present invention.
  • FIG. 5 is a block diagram of an electrical system, or processor-based system, utilizing ternary metallic gates formed by atomic layer deposition, according to embodiments of the present invention.
  • wafer and substrate used in the following description include any structure having an exposed surface with which to form the integrated circuit (IC) structure of the invention.
  • substrate is understood to include semiconductor wafers.
  • substrate is also used to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereupon. Both wafer and substrate include doped and undoped semiconductors, epitaxial semiconductor layers supported by a base semiconductor or insulator, as well as other semiconductor structures well known to one skilled in the art.
  • conductor is understood to include semiconductors, and the term insulator is defined to include any material that is less electrically conductive than the materials referred to as conductors.
  • This disclosure describes the use of atomic layer deposition of ternary metallic conductors where the composition is varied and work function varied, see FIG. 2, to control the threshold voltage of both the NMOS and PMOS transistors in CMOS technology to provide optimum performance.
  • these include the use of:
  • the ternary metallic conductors Conventional highly doped polysilicon or refractory metals as W, Ta, Ti are deposited over the metallic conductors to give the gate structure shown in FIG. 3.
  • the transistor 301 structure includes a source region 302 , a drain region 304 , and a channel 306 therebetween.
  • a gate 310 is separated from the channel region by a gate insulator 308 .
  • the gate 310 includes a ternary metallic conductor formed by atomic layer deposition.
  • the ternary metallic conductor includes Tantalum Aluminum Nitride (TaAlN).
  • the ternary metallic conductor includes Titanium Aluminum Nitride (TiAlN).
  • the ternary metallic conductor includes Titanium Silicon Nitride (TiSiN). In one embodiment the ternary metallic conductor includes Tungsten Aluminum Nitride (WAlN). As shown in FIG. 3, in some embodiments the gate further includes a layer of highly conductive polysilicon 312 , or alternatively a refractory metal layer 312 , formed on the ternary metallic conductor 310 . In embodiments having a refractory metal layer, the layer 312 includes for example, and not by way of limitation, refractory metals such as tantalum, titanium and tungsten.
  • Atomic Layer Deposition developed in the early 70s is a modification of CVD and can also be called as “alternately pulsed-CVD”.
  • gaseous precursors are introduced one at a time to the substrate surface, and between the pulses the reactor is purged with an inert gas or evacuated.
  • the precursor is saturatively chemisorbed at the substrate surface, and during the subsequent purging the precursor is removed from the reactor.
  • other precursor is introduced on the substrate and the desired films growth reaction takes place.
  • one ALD cycle can be preformed in less than one second in the properly designed flow type reactors.
  • ALD ALD-dielectric deposition
  • Reaction sequence ALD (RS-ALD) films have several unique and unmatched advantages:
  • RS-ALD ability to engineer multilayer laminate films, possibly down to monolayer resolution, as well as alloy composite films appear to be unique. This ability comes from the combination of being able to control deposition with monolayer precision and the ability to deposit continuous monolayers of amorphous films (that is unique to RS-ALD).
  • RS-ALD processes are free of first wafer effects and the chamber dependence. Accordingly, RS-ALD processes will be easier to transfer from development to production and from 200 to 300 mm wafer size.
  • Thickness depends solely on the number of cycles. Thickness can be “dialed in” as a simple recipe change bearing no need for additional process development upon technology generation advance.
  • Ta—N Plasma-enhanced atomic layer deposition (PEALD) of tantalum nitride (Ta—N) thin films at a deposition temperature of 260° C. using hydrogen radicals as a reducing agent for Tertbutylimidotris(diethylamido) tantalum have been described.
  • PEALD Plasma-enhanced atomic layer deposition
  • Ta—N tantalum nitride
  • the PEALD yields superior Ta—N films with an electric resistivity of 400 ⁇ cm and no aging effect under exposure to air.
  • the film density is higher than that of Ta—N films formed by typical ALD, in which NH 3 is used instead of hydrogen radicals.
  • the as-deposited films are not amorphous, but rather polycrystalline structure of cubit TaN. The density and crystallinity of the films increases with the pulse time of hydrogen plasma.
  • the films are Ta-rich in composition and contain around 15 atomic % of carbon impurity.
  • hydrogen radicals are used a reducing agent instead of NH 3 , which is used as a reactant gas in typical Ta—N ALD.
  • the liquid precursor is contained in a bubbler heated at 70° C. and carried by 35 sccm argon.
  • One deposition cycle consist of an exposure to a metallorganic precursor of TBTDET, a purge period with Ar, and an exposure to hydrogen plasma, followed by another purge period with Ar.
  • a rectangular shaped electrical power is applied between the upper and lower electrode.
  • Ta (Al)N( C) Technical work on thin films have been studied using TaCl 5 or TaBr 5 and NH 3 as precursors and Al(CH 3 ) 3 as an additional reducing agent.
  • the deposition temperature is varied between 250 and 400° C.
  • the films contained aluminum, carbon, and chlorine impurities. The chlorine content decreased drastically as the deposition temperature is increased.
  • TaCl 5 —TMA—NH 3 TMA—TaCl 5 —NH 3
  • TaBr 5 —NH 3 TaBr 5 —Zn—NH 3
  • TaBr 5 —TMA—NH 3 TaCl 5 , TaBr 5 , and Zn are evaporated from open boats held inside the reactor.
  • the evaporation temperatures for TaCl 4 , TaBr 5 , and Zn are 90, 140, 380° C., respectively.
  • Ammonia is introduced into the reactor through a mass flowmeter, a needle valve, and a solenoid valve.
  • the flow rate is adjusted to 14 sccm during a continuous flow.
  • TMA is kept at a constant temperature of 16° C. and pulsed through the needle and solenoid valve. Pulse times are 0.5 s for TaCl 5 , TaBr 5 , NH 3 , and Zn whereas the pulse length of TMA is varied between 0.2 and 0.8 s. The length of the purge pulse is always 0.3 s.
  • Nitrogen gas is used for the transportation of the precursor and as a purging gas. The flow rate of nitrogen is 400 sccm.
  • TiN Atomic layer deposition (ALD) of amorphous TiN films on SiO 2 between 170° C. and 210° C. has been achieved by the alternate supply of reactant sources, Ti[N(C 2 H 5 CH 3 ) 2 ] 4 [tetrakis(ethylmethylamino)titanium: TEMAT] and NH 3 .
  • reactant sources Ti[N(C 2 H 5 CH 3 ) 2 ] 4 [tetrakis(ethylmethylamino)titanium: TEMAT] and NH 3 .
  • reactant sources are injected into the reactor in the following order: TEMAT vapor pulse, Ar gas pulse, NH 3 gas pulse and Ar gas pulse. Film thickness per cycle saturated at around 1.6 monolayers per cycle with sufficient pulse times of reactant sources at 200° C.
  • TiAlN Koo et al published paper on the study of the characteristics of TiAlN thin film deposited by atomic layer deposition method. (See generally, Jaehyong Koo et al., “Study on the characteristics of TiAlN thin film deposited by atomic layer deposition method,” J. Vac. Sci. Technol. A , 19(6), 2831-2834 (2001)).
  • the series of metal-Si—N barriers have high resistivity above 1000 ⁇ cm. They proposed another ternary diffusion barrier of TiAlN. TiAlN film exhibited a NaCl structure in spite of considerable Al contents.
  • TiAlN films are deposited using the TiCl 4 and dimethylaluminum hydride ethypiperdine (DMAH-EPP) as the titanium and aluminum precursors, respectively.
  • TiCl 4 is vaporized from the liquid at 13-15° C. and introduced into the ALD chamber, which is supplied by a bubbler using the Ar carrier gas with a flow rate of 30 sccm.
  • the DMAH-EPP precursor is evaporated at 60° C. and introduced into the ALD chamber with the same flow rate of TiCI 4 .
  • the NH 3 gas is also used as a reactant gas and its flow rate is about 60 sccm.
  • Ar purging gas is introduced for the complete separation of the source and reactant gases.
  • TiAlN films are deposited at the temperatures between 350 and 400° C. and total pressure is kept constant to be two torr.
  • TiSiN Metal-organic atomic-layer deposition (MOALD) achieves near-perfect step coverage step and control precisely the thickness and composition of grown thin films.
  • MOALD Metal-organic atomic-layer deposition
  • a MOALD technique for ternary Ti—Si—N films using a sequential supply of Ti[N(CH 3 ) 2 ] 4 [tetrakis (dimethylamido) titanium: TDMAT], silane (SiH 4 ), and ammonia (NH 3 ) has been developed and evaluated the Cu diffusion barrier characteristics of a 10 nm Ti—Si—N film with high-frequency C—V measurements.
  • silane is supplied separately in the sequence of the TDMAT pulse, silane pulse, and the ammonia pulse.
  • the silicon content is the deposited films and the deposition thickness per cycle remained almost constant at 18 at. % and 0.22 nm/cycle, even though the silane partial pressure varied from 0.27 to 13.3 Pa.
  • the Si content dependence is strikingly different from the conventional chemical-vapor deposition. Step coverage is approximately 100% even on the 0.3 ⁇ m diameter hole with slightly negative slope and 10:1 aspect ratio.
  • WN Tungsten nitride films have been deposited with the atomic layer control using sequential surface reactions. The tungsten nitride film growth is accomplished by separating the binary reaction 2WF 6 +NH 3 ⁇ W 2 N+3HF+9/2 F 2 into two half-reactions. (See generally, J. W. Kraus et al, “Atomic Layer Deposition of Tungsten Nitride Films Using Sequential Surface Reactions”, 147 (3) 1175-1181 (2000)). Successive application of the WF 6 and NH 3 half-reactions in an ABAB . . . sequence produced tungsten nitride deposition at substrate temperatures between 600 and 800 K.
  • Transmission Fourier transform infrared (FTIR) spectroscopy monitored the coverage of WF x * and NH y * surface species on high surface area particles during the WF 6 and NH 3 half-reactions.
  • the FTIR spectroscope results demonstrated the WF 6 and NH 3 half-reactions are complete and self-limiting at temperatures >600 K.
  • In situ spectroscopic ellipsometry monitored the film growth on Si(100) substrate vs. temperature and reactant exposure. A tungsten nitride deposition rate of 2.55 ⁇ /AB cycle is measured at 600-800 K for WF 6 and NH 3 reactant exposure >3000 L and 10,000 L, respectively.
  • X-ray photoelectron spectroscopy depth-profiling experiments determined that the films had a W 2 N stoichiometry with low C and O impurity concentrations.
  • X-ray diffraction investigations revealed that the tungsten nitride films are microcrystalline.
  • Atomic force microscopy measurements of the deposited films observed remarkably flat surface indicating smooth film growth. These smooth tungsten nitride films deposited with atomic layer control should be used as diffusion control for Cu on contact and via holes.
  • AlN Aluminum nitride (AlN) has been grown on porous silica by atomic layer chemical vapor deposition (ALCVD) from trimethylaluminum (TMA) and ammonia precursors.
  • ACVD atomic layer chemical vapor deposition
  • TMA trimethylaluminum
  • the ALCVD growth is based on alternating, separated, saturating reactions of the gaseous precursors with the solid substrates.
  • TMA and ammonia are reacted at 423 and 623 Kelvin (K), respectively, on silica which has been dehydroxylated at 1023 K pretreated with ammonia at 823 K.
  • K Kelvin
  • the growth in three reaction cycles is investigated quantitatively by elemental analysis, and the surface reaction products are identified by IR and solid state and Si NMR measurements. Steady growth of about 2 aluminum atoms/nm 2 silica/reaction cycle is obtained. The growth mainly took place through (I) the reaction of TMA which resulted in surface Al—Me and Si Me groups, and (II) the reaction of ammonia which replaced aluminium-bonded methyl groups with amino groups.
  • Ammonia also reacted in part with the silicon-bonded methyl groups formed in the dissociated reaction of TMA with siloxane bridges. TMA reacted with the amino groups, as it did with surface silanol groups and siloxane bridges. In general, the Al—N layer interacted strongly with the silica substrates, but in the third reaction cycle AlN-type sites may have formed.
  • the memory device 440 contains a memory array 442 , row and column decoders 444 , 448 and a sense amplifier circuit 446 .
  • the memory array 442 consists of a number of transistor cells 400 , having ternary metallic gates formed by atomic layer deposition, whose word lines 480 and bit lines 460 are commonly arranged into rows and columns, respectively.
  • the bit lines 460 of the memory array 442 are connected to the sense amplifier circuit 446 , while its word lines 480 are connected to the row decoder 444 .
  • Address and control signals are input on address/control lines 461 into the memory device 440 and connected to the column decoder 448 , sense amplifier circuit 446 and row decoder 444 and are used to gain read and write access, among other things, to the memory array 442 .
  • the column decoder 448 is connected to the sense amplifier circuit 446 via control and column select signals on column select lines 462 .
  • the sense amplifier circuit 446 receives input data destined for the memory array 442 and outputs data read from the memory array 442 over input/output (I/O) data lines 463 .
  • Data is read from the cells of the memory array 442 by activating a word line 480 (via the row decoder 444 ), which couples all of the memory cells corresponding to that word line to respective bit lines 460 , which define the columns of the array.
  • One or more bit lines 460 are also activated.
  • the sense amplifier circuit 446 connected to a bit line column detects and amplifies the conduction sensed through a given transistor cell and transferred to its bit line 460 by measuring the potential difference between the activated bit line 460 and a reference line which may be an inactive bit line. Again, in the read operation the source region of a given cell is couple to a grounded sourceline or array plate (not shown).
  • the operation of Memory device sense amplifiers is described, for example, in U.S. Pat. Nos. 5,627,785; 5,280,205; and 5,042,011, all assigned to Micron Technology Inc., and incorporated by reference herein.
  • FIG. 5 is a block diagram of an electrical system, or processor-based system, 500 utilizing transistor cells having ternary metallic gates formed by atomic layer deposition according to the teachings of the present invention.
  • memory 512 is constructed in accordance with the present invention to have transistor cells having ternary metallic gates formed by atomic layer deposition.
  • the processor-based system 500 may be a computer system, a process control system or any other system employing a processor and associated memory.
  • the system 500 includes a central processing unit (CPU) 502 , e.g., a microprocessor, that communicates with the memory 512 and an I/O device 508 over a bus 520 .
  • CPU central processing unit
  • the bus 520 may be a series of buses and bridges commonly used in a processor-based system, but for convenience purposes only, the bus 520 has been illustrated as a single bus.
  • a second I/O device 510 is illustrated, but is not necessary to practice the invention.
  • the processor-based system 500 can also includes read-only memory (ROM) 514 and may include peripheral devices such as a floppy disk drive 504 and a compact disk (CD) ROM drive 506 that also communicates with the CPU 502 over the bus 520 as is well known in the art.
  • ROM read-only memory
  • CD compact disk
  • FIG. 5 illustrates an embodiment for electronic system circuitry in which the novel ternary metallic gate transistor cells, formed by atomic layer deposition, are used.
  • the illustration of system 500 is intended to provide a general understanding of one application for the structure and circuitry of the present invention, and is not intended to serve as a complete description of all the elements and features of an electronic system using the novel ternary metallic gate transistor cells, formed by atomic layer deposition.
  • the invention is equally applicable to any size and type of system 500 using the novel ternary metallic gate transistor cells, formed by atomic layer deposition, and is not intended to be limited to that described above.
  • such an electronic system can be fabricated in single-package processing units, or even on a single semiconductor chip, in order to reduce the communication time between the processor and the memory device.
  • Applications containing the novel ternary metallic gate transistor cells, formed by atomic layer deposition as described in this disclosure, include electronic systems for use in memory modules, device drivers, power modules, communication modems, processor modules, and application-specific modules, and may include multilayer, multichip modules.
  • Such circuitry can further be a subcomponent of a variety of electronic systems, such as a clock, a television, a cell phone, a personal computer, an automobile, an industrial control system, an aircraft, and others.
  • This disclosure describes the use of atomic layer deposition of ternary metallic conductors as transistor gates.
  • the composition is varied and work function varied to control the threshold voltage of both the NMOS and PMOS transistors in CMOS technology to provide optimum performance.

Abstract

Structures, systems and methods for transistors having gates with variable work functions formed by atomic layer deposition are provided. One transistor embodiment includes a first source/drain region, a second source/drain region, and a channel region therebetween. A gate is separated from the channel region by a gate insulator. The gate includes a ternary metallic conductor formed by atomic layer deposition.

Description

    FIELD OF THE INVENTION
  • The present invention relates generally to semiconductor integrated circuits and, more particularly, to atomic layer deposition of CMOS gates with variable work functions. [0001]
  • BACKGROUND OF THE INVENTION
  • Conventional n-type doped polysilicon gate electrodes in CMOS technology have two problems. Firstly, the polysilicon is conductive but there can still be a surface region which can be depleted of carriers under bias conditions. This appears as an extra gate insulator thickness and is commonly referred to as gate depletion and contributes to the equivalent oxide thickness. While this region is thin, in the order of a few angstroms (Å), it becomes appreciable as gate oxide thicknesses are reduced below 2 nm or 20 Å. Another problem is that the work function is not optimum for both n-MOS and p-MOS devices, historically this was compensated for by threshold voltage adjustment implantations. However, as the devices become smaller, with channel lengths of less than 1000 Å and consequently surface space charge regions of less than 100 Å, it becomes more and more difficult to do these implantations. Threshold voltage control becomes an important consideration as power supplies are reduced to the range of one volt. Optimum threshold voltages for both PMOS and NMOS transistors need to have a magnitude of around 0.3 Volts. [0002]
  • A solution to the polysilicon gate depletion problem is to replace the semiconducting gate material with a metal or highly conductive metallic nitrides. (See generally; Y. Yee-Chia et al., “Dual-metal gate CMOS technology with ultrathin silicon nitride gate dielectric IEEE Electron Device Letters, Volume: 22 Issue: 5, May, 2001, pp. 227229; L Qiang, Y. Yee Chia, et al., “Dual-metal gate technology for deep-submicron CMOS transistors,” VLSI Technology, 2000; Digest of Technical Papers. 2000 Symposium on, 2000, pp. 72-73.; and H. Wakabayashi et al., “A dual-metal gate CMOS technology using nitrogen-concentration-controlled TiNx film,” Electron Devices, IEEE Transactions on, Volume: 48 Issue: 10, October 2001, Page(s): 2363-2369.). [0003]
  • As with any new circuit material, the gate electrode must be chemically and thermally compatible with both the transistor and the process. Different metals can be employed or the properties of the conductive nitride modified to provide an optimum work function. (See generally; above cited reference). [0004]
  • The work function of the gate electrode—the energy needed to extract an electron—must be compatible with the barrier height of the semiconductor material. For PMOS transistors, the required work function is about 5.0 eV. Achieving the lower work function needed by NMOS transistors, about 4.1 eV, has been more difficult. FIGS. 1A and 1B illustrate the desired energy band diagrams and work functions for NMOS and PMOS transistors respectively. Refractory metals like titanium (Ti) and tantalum (Ta) oxidize rapidly under typical process conditions. One proposed solution to the problem relies on a “tuned” ruthenium—tantalum (Ru—Ta) alloy, which is stable under process conditions. When the Ta concentration is below 20 percent, the alloy's electrical properties resemble Rhubidium (Ru), a good PMOS gate electrode. When the Ta concentration is between 40 percent and 54 percent, the alloy is a good NMOS gate electrode. (See generally; H. Zhong et al., “Properties of Ru—Ta Alloys as gate electrodes for NMOS and PMOS silicon devices,” Digest of IEEE Int. Electron Devices Meeting, Washington D.C., 2001, paper 20.05; V. Misra, H. Zhong et al., “Electrical properties of Ru-based alloy gate electrodes for dual metal gate Si-CMOS,” IEEE Electron Device Letters, Volume: 23 Issue: 6, June 2002 Page(s): 354-356; and H. Zhong et al., “Electrical properties of RuO/[0005] sub 2/gate electrodes for dual metal gate Si-CMOS,” IEEE Electron Device Letters, Volume: 21 Issue: 12, December 2000 Page(s): 593-595).
  • Promising candidates include metallic nitrides, such as tantalum nitride (TaN) and titanium nitride (TiN). Tantalum nitride, titanium nitride, and tungsten nitride are mid-gap work function metallic conductors commonly described for use in CMOS devices. (See generally, H. Shimada et al., “Low resistivity bcc-Ta/TaN/sub x/metal gate MNSFETs having plane gate structure featuring fully low-temperature processing below 450 degrees C.,” 2001 Symposium on VLSI Technology, Jun. 12-14 2001, Kyoto, Japan Page: 67-68; H. Shimada et al., “Tantalum nitride metal gate FD-SOI CMOS FETs using low resistivity self-grown bcc-tantalum, layer,” IEEE Trans. on Electron Devices, vol. 48, no. 8, pp. 1619-26, August 2001; B. Claflin et al., “Investigation of the growth and chemical stability of composite metal gates on ultra-thin gate dielectrics,” MRS Symposium on Silicon Front-End Technology-Materials Processing and Modelling, Apr. 13-15 1998, San Francisco, Calif., Page: 171-176; A. Yagishita et al., “Dynamic threshold voltage damascene metal gate MOSFET(DT-DMG-MOS) with low threshold voltage, high drive current and uniform electrical characteristics,” Digest Technical Papers Int. Electron Devices Meeting, San Francisco, December 2000, pp. 663-6; B. Claflin et al., “Investigation of the growth and chemical stability of composite metal gates on ultra-thin gate dielectrics,” MRS Symposium on Silicon Front-End Technology-Materials Processing and Modelling, Apr. 13-15 1998, San Francisco, Calif., Page: 171-176; and M. Moriwaki et al., “Improved metal gate process by simultaneous gate-oxide nitridation during W/WN/sub x/gate formation,” Jpn. J. Appl. Phys., vol. 39. No. 4B, pp. 2177-80, 2000). The use of a mid-gap work function makes the threshold voltages of NMOS and PMOS devices symmetrical in that the magnitudes of the threshold voltages will be the same, but both will have a magnitude larger than that which is optimum with low power supply voltages. [0006]
  • Recently physical deposition, evaporation, has been used to investigate the suitability of some ternary metallic nitrides for use as gate electrodes, these included TiAlN and TaSiN. (See generally, Dae-Gyu Park et al., “Robust ternary metal gate electrodes for dual gate CMOS devices,” Electron Devices Meeting, 2001. IEDM Technical Digest. International, 2001 Page(s): 30.6.1-30.6.4). However, these were deposited by physical deposition not atomic layer deposition and only capacitor structures were fabricated, not transistors with gate structures. [0007]
  • Thus, there is an ongoing need for improved CMOS transistor design. [0008]
  • SUMMARY OF THE INVENTION
  • The above mentioned problems CMOS transistor design as well as other problems are addressed by the present invention and will be understood by reading and studying the following specification. This disclosure describes the use of atomic layer deposition of ternary metallic conductors where the composition and work function are varied to control the threshold voltage of both the NMOS and PMOS transistors in CMOS technology to provide optimum performance. [0009]
  • In particular, an embodiment of the present invention includes a transistor having a source region a drain region and a channel therebetween. A gate is separated from the channel region by a gate insulator. The gate includes a ternary metallic conductor formed by atomic layer deposition. In one embodiment the ternary metallic conductor includes Tantalum Aluminum Nitride (TaAlN). In one embodiment the ternary metallic conductor includes Titanium Aluminum Nitride (TiAlN). In one embodiment the ternary metallic conductor includes Titanium Silicon Nitride (TiSiN). In one embodiment the ternary metallic conductor includes Tungsten Aluminum Nitride (WAlN). In some embodiments the gate further includes a refractory metal formed on the ternary metallic conductor. [0010]
  • These and other embodiments, aspects, advantages, and features of the present invention will be set forth in part in the description which follows, and in part will become apparent to those skilled in the art by reference to the following description of the invention and referenced drawings or by practice of the invention. The aspects, advantages, and features of the invention are realized and attained by means of the instrumentalities, procedures, and combinations particularly pointed out in the appended claims.[0011]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A and 1B illustrate the desired energy band diagrams and work functions for NMOS and PMOS transistors respectively. [0012]
  • FIG. 2 is a graph which plots electron affinity versus the energy bandgap for various metallic nitrides employed in various embodiments of the present invention. [0013]
  • FIG. 3 illustrates an embodiment of a transistor structure formed according to the teachings of the present invention. [0014]
  • FIG. 4 illustrates an embodiment of a memory device, utilizing ternary metallic gates formed by atomic layer deposition, according to embodiments of the present invention. [0015]
  • FIG. 5 is a block diagram of an electrical system, or processor-based system, utilizing ternary metallic gates formed by atomic layer deposition, according to embodiments of the present invention.[0016]
  • DETAILED DESCRIPTION
  • In the following detailed description of the invention, reference is made to the accompanying drawings which form a part hereof, and in which is shown, by way of illustration, specific embodiments in which the invention may be practiced. In the drawings, like numerals describe substantially similar components throughout the several views. These embodiments are described in sufficient detail to enable those skilled in the art to practice the invention. Other embodiments may be utilized and structural, logical, and electrical changes may be made without departing from the scope of the present invention. [0017]
  • The terms wafer and substrate used in the following description include any structure having an exposed surface with which to form the integrated circuit (IC) structure of the invention. The term substrate is understood to include semiconductor wafers. The term substrate is also used to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereupon. Both wafer and substrate include doped and undoped semiconductors, epitaxial semiconductor layers supported by a base semiconductor or insulator, as well as other semiconductor structures well known to one skilled in the art. The term conductor is understood to include semiconductors, and the term insulator is defined to include any material that is less electrically conductive than the materials referred to as conductors. The following detailed description is, therefore, not to be taken in a limiting sense, and the scope of the present invention is defined only by the appended claims, along with the full scope of equivalents to which such claims are entitled. [0018]
  • This disclosure describes the use of atomic layer deposition of ternary metallic conductors where the composition is varied and work function varied, see FIG. 2, to control the threshold voltage of both the NMOS and PMOS transistors in CMOS technology to provide optimum performance. In the several embodiments, these include the use of: [0019]
  • (i) TaAlN; [0020]
  • (ii) TiAlN; [0021]
  • (iii) TiSiN; and [0022]
  • (iv) WAlN [0023]
  • As the ternary metallic conductors. Conventional highly doped polysilicon or refractory metals as W, Ta, Ti are deposited over the metallic conductors to give the gate structure shown in FIG. 3. As shown in FIG. 3, the [0024] transistor 301 structure includes a source region 302, a drain region 304, and a channel 306 therebetween. A gate 310 is separated from the channel region by a gate insulator 308. According to the teachings of the present invention, the gate 310 includes a ternary metallic conductor formed by atomic layer deposition. In one embodiment the ternary metallic conductor includes Tantalum Aluminum Nitride (TaAlN). In one embodiment the ternary metallic conductor includes Titanium Aluminum Nitride (TiAlN). In one embodiment the ternary metallic conductor includes Titanium Silicon Nitride (TiSiN). In one embodiment the ternary metallic conductor includes Tungsten Aluminum Nitride (WAlN). As shown in FIG. 3, in some embodiments the gate further includes a layer of highly conductive polysilicon 312, or alternatively a refractory metal layer 312, formed on the ternary metallic conductor 310. In embodiments having a refractory metal layer, the layer 312 includes for example, and not by way of limitation, refractory metals such as tantalum, titanium and tungsten.
  • Methods of Formation [0025]
  • Atomic Layer Deposition developed in the early 70s is a modification of CVD and can also be called as “alternately pulsed-CVD”. (See generally, Ofer Sneh et al., “Thin film atomic layer deposition equipment for semiconductor processing”, Thin Solid Films, 402 (2002) 248-261). In this technique, gaseous precursors are introduced one at a time to the substrate surface, and between the pulses the reactor is purged with an inert gas or evacuated. In the first reaction step the precursor is saturatively chemisorbed at the substrate surface, and during the subsequent purging the precursor is removed from the reactor. In the second step, other precursor is introduced on the substrate and the desired films growth reaction takes place. After that the reaction byproducts and the precursor excess are purged out from the reactor. When the precursor chemistry is favorable, i.e. the precursor adsorb and react with each other aggressively, one ALD cycle can be preformed in less than one second in the properly designed flow type reactors. [0026]
  • The striking feature of ALD is the saturation of all the reaction and purging steps which makes the growth self-limiting. This brings the large area uniformity and conformality, the most important properties of ALD, as shown in very different cases, viz. planar substrates, deep trenches, and in the extreme cases of porous silicon and high surface area silica and alumina powers. Also the control of the film thickness is straightforward and can be made by simply calculating the growth cycles. ALD was originally developed to manufacture luminescent and dielectric films needed in electroluminescent displays, and a lot of effort has been put to the growth of doped zinc sulfide and alkaline earth metal sulfide films. Later ALD has been studied for the growth of different epitaxial II-V and II-VI films, nonepitaxial crystalline or amorphous oxide and nitride films are their multilayer structures. [0027]
  • There has been considerable interest towards the ALD growth of silicon and germanium films but due to the difficult precursor chemistry the results have not been very successful. [0028]
  • Reaction sequence ALD (RS-ALD) films have several unique and unmatched advantages: [0029]
  • Continuity at the interface avoiding poorly defined nucleating regions that are typical for CVD (<20 Å) and PVD (<50 Å) films. To achieve this continuity, the substrate surface must be activated to react directly with the first exposure of RS-ALD precursor. [0030]
  • Unmatched conformality over toughest substrate topologies with robust processes that can only be achieved with a layer-by-layer deposition technique. [0031]
  • Typically, low temperature and mildly oxidizing processes. This is thought to be a major advantage for gate insulator processing where deposition of non-silicon based dielectrics without oxidizing the substrate (with the oxidation-precursor) is a major concern. [0032]
  • RS-ALD ability to engineer multilayer laminate films, possibly down to monolayer resolution, as well as alloy composite films appear to be unique. This ability comes from the combination of being able to control deposition with monolayer precision and the ability to deposit continuous monolayers of amorphous films (that is unique to RS-ALD). [0033]
  • Unprecedented process robustness. RS-ALD processes are free of first wafer effects and the chamber dependence. Accordingly, RS-ALD processes will be easier to transfer from development to production and from 200 to 300 mm wafer size. [0034]
  • Thickness depends solely on the number of cycles. Thickness can be “dialed in” as a simple recipe change bearing no need for additional process development upon technology generation advance. [0035]
  • (See generally, Shunsuke Morishita et al., “Atomic-Layer Chemical-Vapor-Deposition of SiO[0036] 2 by Cyclic Exposure of CHOSi(NCO)3 and H2O2,” Jpn. J. Appl. Phys. Vol. 34 (1955) pp. 5738-5742.).
  • Atomic Layer Deposition of Nitrides [0037]
  • Ta—N: Plasma-enhanced atomic layer deposition (PEALD) of tantalum nitride (Ta—N) thin films at a deposition temperature of 260° C. using hydrogen radicals as a reducing agent for Tertbutylimidotris(diethylamido) tantalum have been described. (See generally, Jin-Seong Park et al, “Plasma-Enhanced Atomic Layer Deposition of Tantalum Nitrides Using Hydrogen Radicals as a Reducing Agent”, [0038] Electrochemical and Solid-State Lett., 4(4) C17-C19, 2001). The PEALD yields superior Ta—N films with an electric resistivity of 400 μΩcm and no aging effect under exposure to air. The film density is higher than that of Ta—N films formed by typical ALD, in which NH3 is used instead of hydrogen radicals. In addition, the as-deposited films are not amorphous, but rather polycrystalline structure of cubit TaN. The density and crystallinity of the films increases with the pulse time of hydrogen plasma. The films are Ta-rich in composition and contain around 15 atomic % of carbon impurity. In the PEALD of Ta—N films, hydrogen radicals are used a reducing agent instead of NH3, which is used as a reactant gas in typical Ta—N ALD. Films are deposited on SiO2 (100 nm)/Si wafers at a deposition temperature of 260° C. and a deposition pressure of 133 Pa in a cold-walled reactor using (Net2)3 Ta=Nbut [tertbutylimidotris(diethylamido)tantalum, TBTDET] as a precursor of Ta. The liquid precursor is contained in a bubbler heated at 70° C. and carried by 35 sccm argon. One deposition cycle consist of an exposure to a metallorganic precursor of TBTDET, a purge period with Ar, and an exposure to hydrogen plasma, followed by another purge period with Ar. The Ar purge period of 15 seconds instead between each reactant gas pulse isolates the reactant gases from each other. To ignite and maintain the hydrogen plasma synchronized with the deposition cycle, a rectangular shaped electrical power is applied between the upper and lower electrode. The showerhead for uniform distribution of the reactant gases in the reactor, capacitively coupled with an rf (13.56 MHz) plasma source operated at a power of 100 W, is used as the upper electrode. The lower electrode, on which a wafer resides, is grounded. Film thickness and morphology were analyzed by field emission scanning electron microscopy.
  • Ta (Al)N( C): Technical work on thin films have been studied using TaCl[0039] 5 or TaBr5 and NH3 as precursors and Al(CH3)3 as an additional reducing agent. (See generally, Petra Alen et al., “Atomic Layer Deposition of Ta (Al) N (C) Thin Films Using Trimethylaluminum as a Reducing Agent”, Jour, of the Electrochemical Society, 148 (10), G566-G571 (2001)). The deposition temperature is varied between 250 and 400° C. The films contained aluminum, carbon, and chlorine impurities. The chlorine content decreased drastically as the deposition temperature is increased. The film deposited at 400° C. contained less than 4 atomic % chlorine and also had the lowest resistivity, 1300 μΩcm. Five different deposition processes with the pulsing orders TaCl5—TMA—NH3, TMA—TaCl5—NH3, TaBr5—NH3, TaBr5—Zn—NH3, and TaBr5—TMA—NH3 are used. TaCl5, TaBr5, and Zn are evaporated from open boats held inside the reactor. The evaporation temperatures for TaCl4, TaBr5, and Zn are 90, 140, 380° C., respectively. Ammonia is introduced into the reactor through a mass flowmeter, a needle valve, and a solenoid valve. The flow rate is adjusted to 14 sccm during a continuous flow. TMA is kept at a constant temperature of 16° C. and pulsed through the needle and solenoid valve. Pulse times are 0.5 s for TaCl5, TaBr5, NH3, and Zn whereas the pulse length of TMA is varied between 0.2 and 0.8 s. The length of the purge pulse is always 0.3 s. Nitrogen gas is used for the transportation of the precursor and as a purging gas. The flow rate of nitrogen is 400 sccm.
  • TiN: Atomic layer deposition (ALD) of amorphous TiN films on SiO[0040] 2 between 170° C. and 210° C. has been achieved by the alternate supply of reactant sources, Ti[N(C2H5CH3)2]4 [tetrakis(ethylmethylamino)titanium: TEMAT] and NH3. These reactant sources are injected into the reactor in the following order: TEMAT vapor pulse, Ar gas pulse, NH3 gas pulse and Ar gas pulse. Film thickness per cycle saturated at around 1.6 monolayers per cycle with sufficient pulse times of reactant sources at 200° C. The results suggest that film thickness per cycle could exceed 1 ML/cycle in ALD, and are explained by the rechemisorption mechanism of the reactant sources. An ideal linear relationship between number of cycles and film thickness is confirmed. (See generally, J. -S. Min et al., “Atomic layer deposition of TiN films by alternate supply on Tetrakis (ethylmethyllamino)-titanium and ammonia,” Jpn. J. Appl. Phys., Vol. 37, Part 1, No. 9A, pp. 4999-5004, Sept. 15 1998).
  • TiAlN: Koo et al published paper on the study of the characteristics of TiAlN thin film deposited by atomic layer deposition method. (See generally, Jaehyong Koo et al., “Study on the characteristics of TiAlN thin film deposited by atomic layer deposition method,” [0041] J. Vac. Sci. Technol. A, 19(6), 2831-2834 (2001)). The series of metal-Si—N barriers have high resistivity above 1000 μΩcm. They proposed another ternary diffusion barrier of TiAlN. TiAlN film exhibited a NaCl structure in spite of considerable Al contents. TiAlN films are deposited using the TiCl4 and dimethylaluminum hydride ethypiperdine (DMAH-EPP) as the titanium and aluminum precursors, respectively. TiCl4 is vaporized from the liquid at 13-15° C. and introduced into the ALD chamber, which is supplied by a bubbler using the Ar carrier gas with a flow rate of 30 sccm. The DMAH-EPP precursor is evaporated at 60° C. and introduced into the ALD chamber with the same flow rate of TiCI4. The NH3 gas is also used as a reactant gas and its flow rate is about 60 sccm. Ar purging gas is introduced for the complete separation of the source and reactant gases. TiAlN films are deposited at the temperatures between 350 and 400° C. and total pressure is kept constant to be two torr.
  • TiSiN: Metal-organic atomic-layer deposition (MOALD) achieves near-perfect step coverage step and control precisely the thickness and composition of grown thin films. A MOALD technique for ternary Ti—Si—N films using a sequential supply of Ti[N(CH[0042] 3)2]4 [tetrakis (dimethylamido) titanium: TDMAT], silane (SiH4), and ammonia (NH3), has been developed and evaluated the Cu diffusion barrier characteristics of a 10 nm Ti—Si—N film with high-frequency C—V measurements. (See generally, Jae-Sik Min et al, “Metal-organic atomic-layer deposition of titanium-silicon-nitride films”, Appl. Phys, Lett., Vol. 75, No. 11, 1521-1523 (1999)). At 180° C. deposition temperature, silane is supplied separately in the sequence of the TDMAT pulse, silane pulse, and the ammonia pulse. The silicon content is the deposited films and the deposition thickness per cycle remained almost constant at 18 at. % and 0.22 nm/cycle, even though the silane partial pressure varied from 0.27 to 13.3 Pa. Especially, the Si content dependence is strikingly different from the conventional chemical-vapor deposition. Step coverage is approximately 100% even on the 0.3 μm diameter hole with slightly negative slope and 10:1 aspect ratio.
  • WN: Tungsten nitride films have been deposited with the atomic layer control using sequential surface reactions. The tungsten nitride film growth is accomplished by separating the binary reaction 2WF[0043] 6+NH3→W2N+3HF+9/2 F2 into two half-reactions. (See generally, J. W. Kraus et al, “Atomic Layer Deposition of Tungsten Nitride Films Using Sequential Surface Reactions”, 147 (3) 1175-1181 (2000)). Successive application of the WF6 and NH3 half-reactions in an ABAB . . . sequence produced tungsten nitride deposition at substrate temperatures between 600 and 800 K. Transmission Fourier transform infrared (FTIR) spectroscopy monitored the coverage of WFx* and NHy* surface species on high surface area particles during the WF6 and NH3 half-reactions. The FTIR spectroscope results demonstrated the WF6 and NH3 half-reactions are complete and self-limiting at temperatures >600 K. In situ spectroscopic ellipsometry monitored the film growth on Si(100) substrate vs. temperature and reactant exposure. A tungsten nitride deposition rate of 2.55 Å/AB cycle is measured at 600-800 K for WF6 and NH3 reactant exposure >3000 L and 10,000 L, respectively. X-ray photoelectron spectroscopy depth-profiling experiments determined that the films had a W2N stoichiometry with low C and O impurity concentrations. X-ray diffraction investigations revealed that the tungsten nitride films are microcrystalline. Atomic force microscopy measurements of the deposited films observed remarkably flat surface indicating smooth film growth. These smooth tungsten nitride films deposited with atomic layer control should be used as diffusion control for Cu on contact and via holes.
  • AlN: Aluminum nitride (AlN) has been grown on porous silica by atomic layer chemical vapor deposition (ALCVD) from trimethylaluminum (TMA) and ammonia precursors. (See generally, R. L. Pruurunen et al, “Growth of aluminum nitride on porous silica by atomic layer chemical vapor deposition”, [0044] Applied Surface Science, 165, 193-202 (2000)). The ALCVD growth is based on alternating, separated, saturating reactions of the gaseous precursors with the solid substrates. TMA and ammonia are reacted at 423 and 623 Kelvin (K), respectively, on silica which has been dehydroxylated at 1023 K pretreated with ammonia at 823 K. The growth in three reaction cycles is investigated quantitatively by elemental analysis, and the surface reaction products are identified by IR and solid state and Si NMR measurements. Steady growth of about 2 aluminum atoms/nm2 silica/reaction cycle is obtained. The growth mainly took place through (I) the reaction of TMA which resulted in surface Al—Me and Si Me groups, and (II) the reaction of ammonia which replaced aluminium-bonded methyl groups with amino groups. Ammonia also reacted in part with the silicon-bonded methyl groups formed in the dissociated reaction of TMA with siloxane bridges. TMA reacted with the amino groups, as it did with surface silanol groups and siloxane bridges. In general, the Al—N layer interacted strongly with the silica substrates, but in the third reaction cycle AlN-type sites may have formed.
  • Devices [0045]
  • In FIG. 4 a memory device is illustrated according to the teachings of the present invention. The [0046] memory device 440 contains a memory array 442, row and column decoders 444, 448 and a sense amplifier circuit 446. The memory array 442 consists of a number of transistor cells 400, having ternary metallic gates formed by atomic layer deposition, whose word lines 480 and bit lines 460 are commonly arranged into rows and columns, respectively. The bit lines 460 of the memory array 442 are connected to the sense amplifier circuit 446, while its word lines 480 are connected to the row decoder 444. Address and control signals are input on address/control lines 461 into the memory device 440 and connected to the column decoder 448, sense amplifier circuit 446 and row decoder 444 and are used to gain read and write access, among other things, to the memory array 442.
  • The [0047] column decoder 448 is connected to the sense amplifier circuit 446 via control and column select signals on column select lines 462. The sense amplifier circuit 446 receives input data destined for the memory array 442 and outputs data read from the memory array 442 over input/output (I/O) data lines 463. Data is read from the cells of the memory array 442 by activating a word line 480 (via the row decoder 444), which couples all of the memory cells corresponding to that word line to respective bit lines 460, which define the columns of the array. One or more bit lines 460 are also activated. When a particular word line 480 and bit lines 460 are activated, the sense amplifier circuit 446 connected to a bit line column detects and amplifies the conduction sensed through a given transistor cell and transferred to its bit line 460 by measuring the potential difference between the activated bit line 460 and a reference line which may be an inactive bit line. Again, in the read operation the source region of a given cell is couple to a grounded sourceline or array plate (not shown). The operation of Memory device sense amplifiers is described, for example, in U.S. Pat. Nos. 5,627,785; 5,280,205; and 5,042,011, all assigned to Micron Technology Inc., and incorporated by reference herein.
  • FIG. 5 is a block diagram of an electrical system, or processor-based system, [0048] 500 utilizing transistor cells having ternary metallic gates formed by atomic layer deposition according to the teachings of the present invention. For example, by way of example and not by way of limitation, memory 512 is constructed in accordance with the present invention to have transistor cells having ternary metallic gates formed by atomic layer deposition. However, the invention is not so limited and the same can apply to transistors in the CPU, etc. The processor-based system 500 may be a computer system, a process control system or any other system employing a processor and associated memory. The system 500 includes a central processing unit (CPU) 502, e.g., a microprocessor, that communicates with the memory 512 and an I/O device 508 over a bus 520. It must be noted that the bus 520 may be a series of buses and bridges commonly used in a processor-based system, but for convenience purposes only, the bus 520 has been illustrated as a single bus. A second I/O device 510 is illustrated, but is not necessary to practice the invention. The processor-based system 500 can also includes read-only memory (ROM) 514 and may include peripheral devices such as a floppy disk drive 504 and a compact disk (CD) ROM drive 506 that also communicates with the CPU 502 over the bus 520 as is well known in the art.
  • It will be appreciated by those skilled in the art that additional circuitry and control signals can be provided, and that the processor-based [0049] system 500 has been simplified to help focus on the invention.
  • It will be understood that the embodiment shown in FIG. 5 illustrates an embodiment for electronic system circuitry in which the novel ternary metallic gate transistor cells, formed by atomic layer deposition, are used. The illustration of [0050] system 500, as shown in FIG. 5, is intended to provide a general understanding of one application for the structure and circuitry of the present invention, and is not intended to serve as a complete description of all the elements and features of an electronic system using the novel ternary metallic gate transistor cells, formed by atomic layer deposition. Further, the invention is equally applicable to any size and type of system 500 using the novel ternary metallic gate transistor cells, formed by atomic layer deposition, and is not intended to be limited to that described above. As one of ordinary skill in the art will understand, such an electronic system can be fabricated in single-package processing units, or even on a single semiconductor chip, in order to reduce the communication time between the processor and the memory device.
  • Applications containing the novel ternary metallic gate transistor cells, formed by atomic layer deposition as described in this disclosure, include electronic systems for use in memory modules, device drivers, power modules, communication modems, processor modules, and application-specific modules, and may include multilayer, multichip modules. Such circuitry can further be a subcomponent of a variety of electronic systems, such as a clock, a television, a cell phone, a personal computer, an automobile, an industrial control system, an aircraft, and others. [0051]
  • CONCLUSION
  • This disclosure describes the use of atomic layer deposition of ternary metallic conductors as transistor gates. The composition is varied and work function varied to control the threshold voltage of both the NMOS and PMOS transistors in CMOS technology to provide optimum performance. [0052]
  • It is to be understood that the above description is intended to be illustrative, and not restrictive. Many other embodiments will be apparent to those of skill in the art upon reviewing the above description. The scope of the invention should, therefore, be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled. [0053]

Claims (59)

What is claimed is:
1. A transistor, comprising:
a first source/drain region
a second source/drain region
a channel region between the first and the second source/drain regions,
a gate separated from the channel region by a gate insulator, wherein the gate includes a ternary metallic conductor formed by atomic layer deposition.
2. The transistor of claim 1, wherein the ternary metallic conductor includes Tantalum Aluminum Nitride (TaAlN).
3. The transistor of claim 1, wherein the ternary metallic conductor includes Titanium Aluminum Nitride (TiAlN).
4. The transistor of claim 1, wherein the ternary metallic conductor includes Titanium Silicon Nitride (TiSiN).
5. The transistor of claim 1, wherein the ternary metallic conductor includes Tungsten Aluminum Nitride (WAlN).
6. The transistor of claim 1, wherein the gate further includes a refractory metal formed on the ternary metallic conductor.
7. A vertical multistate cell, comprising:
a vertical transistor extending outwardly from a substrate, the transistor having a source region, a drain region, a channel region between the source and the drain regions, and a gate separated from the channel region by a gate insulator, wherein the gate includes a ternary metallic conductor formed by atomic layer deposition;
a sourceline coupled to the source region; and
a transmission line coupled to the drain region.
8. The vertical multistate cell of claim 7, wherein the gate further includes a highly conductive polysilicon layer formed on the ternary metallic conductor.
9. The vertical multistate cell of claim 7, wherein the gate further includes a refractory metal formed on the ternary metallic conductor.
10. The vertical multistate cell of claim 9, wherein the refractory metal includes tungsten (W).
11. The vertical multistate cell of claim 9, wherein the refractory metal includes tantalum (Ta).
12. The vertical multistate cell of claim 9, wherein the refractory metal includes titanium (Ti).
13. A vertical multistate cell, comprising:
a vertical transistor extending outwardly from a substrate, the transistor having a source region, a drain region, a channel region between the source region and the drain region, a gate separated from the channel region by a gate oxide, wherein the gate includes a Tantalum Aluminum Nitride (TaAlN) layer;
a wordline coupled to the gate;
a sourceline formed in a trench adjacent to the vertical transistor, wherein the source region is coupled to the sourceline; and
a bit line coupled to the drain region.
14. The vertical multistate cell of claim 13, wherein the gate further includes a refractory metal formed on the Tantalum Aluminum Nitride (TaAlN) layer.
15. The vertical multistate cell of claim 13, wherein the refractory metal includes tantalum (Ta).
16. The vertical multistate cell of claim 13, wherein the Tantalum Aluminum Nitride (TaAlN) layer is formed by atomic layer deposition.
17. A vertical multistate cell, comprising:
a vertical transistor extending outwardly from a substrate, the transistor having a source region, a drain region, a channel region between the source region and the drain region, a gate separated from the channel region by a gate oxide, wherein the gate includes a Titanium Aluminum Nitride (TiAlN) layer;
a wordline coupled to the gate;
a sourceline formed in a trench adjacent to the vertical transistor, wherein the source region is coupled to the sourceline; and
a bit line coupled to the drain region.
18. The vertical multistate cell of claim 17, wherein the gate further includes a refractory metal formed on the Titanium Aluminum Nitride (TiAlN) layer.
19. The vertical multistate cell of claim 17, wherein the refractory metal includes titanium (Ti).
20. The vertical multistate cell of claim 13, wherein the Titanium Aluminum Nitride (TiAlN) layer is formed by atomic layer deposition.
21. A vertical multistate cell, comprising:
a vertical transistor extending outwardly from a substrate, the transistor having a source region, a drain region, a channel region between the source region and the drain region, a gate separated from the channel region by a gate oxide, wherein the gate includes a Titanium Silicon Nitride (TiSiN) layer;
a wordline coupled to the gate;
a sourceline formed in a trench adjacent to the vertical transistor, wherein the source region is coupled to the sourceline; and
a bit line coupled to the drain region.
22. The vertical multistate cell of claim 21, wherein the gate further includes a refractory metal formed on the Titanium Silicon Nitride (TiSiN) layer.
23. The vertical multistate cell of claim 21, wherein the refractory metal includes titanium (Ti).
24. The vertical multistate cell of claim 21, wherein the Titanium Silicon Nitride (TiSiN) layer is formed by atomic layer deposition.
25. A vertical multistate cell, comprising:
a vertical transistor extending outwardly from a substrate, the transistor having a source region, a drain region, a channel region between the source region and the drain region, a gate separated from the channel region by a gate oxide, wherein the gate includes a Tungsten Aluminum Nitride (WAlN) layer;
a wordline coupled to the gate;
a sourceline formed in a trench adjacent to the vertical transistor, wherein the source region is coupled to the sourceline; and
a bit line coupled to the drain region.
26. The vertical multistate cell of claim 25, wherein the gate further includes a refractory metal formed on the Tungsten Aluminum Nitride (WAlN) layer.
27. The vertical multistate cell of claim 25, wherein the refractory metal includes tungsten (W).
28. The vertical multistate cell of claim 25, wherein the Tungsten Aluminum Nitride (WAlN) layer is formed by atomic layer deposition.
29. A transistor array, comprising:
a number of transistor cells formed on a substrate, wherein each transistor cell includes a source region, a drain region, a channel region between the source and the drain regions, and a gate separated from the channel region by a gate insulator, wherein the gate includes a ternary metallic conductor formed by atomic layer deposition;
a number of bit lines coupled to the drain region of each transistor cell along rows of the transistor array;
a number of word lines coupled to the gate of each transistor cell along columns of the memory array; and
a number of sourcelines, wherein the source region of each transistor cell is coupled to the number of sourcelines along rows of the transistor cells.
30. The transistor array of claim 29, wherein the ternary metallic conductor includes Tantalum Aluminum Nitride (TaAlN).
31. The transistor array of claim 29, wherein the ternary metallic conductor includes Titanium Aluminum Nitride (TiAlN).
32. The transistor array of claim 29, wherein the ternary metallic conductor includes Titanium Silicon Nitride (TiSiN).
33. The transistor array of claim 29, wherein the ternary metallic conductor includes Tungsten Aluminum Nitride (WAlN).
34. The transistor array of claim 29, wherein the gate further includes a refractory metal formed on the ternary metallic conductor.
35. A semiconductor device, comprising:
a memory array, wherein the memory array includes a number of vertical pillars formed in rows and columns extending outwardly from a substrate and separated by a number of trenches, wherein the number of vertical pillars serve as transistors including a source region, a drain region, a channel region between the source and the drain regions, and a gate separated from the channel region by a gate insulator, wherein the gate includes a ternary metallic conductor formed by atomic layer deposition;
a number of bit lines coupled to the drain region of each transistor along rows of the memory array;
a number of word lines coupled to the gate of each transistor along columns of the memory array;
a number of sourcelines formed in a bottom of the trenches between rows of the pillars and coupled to the source regions of each transistor along rows of pillars, wherein along columns of the pillars the source region of each transistor in column adjacent pillars couple to the sourceline in a shared trench;
a wordline address decoder coupled to the number of wordlines;
a bitline address decoder coupled to the number of bitlines; and
a sense amplifier coupled to the number of bitlines.
36. The semiconductor device of claim 35, wherein the number of sourcelines formed in a bottom of the trenches between rows of the pillars include a doped region implanted in the bottom of the trench.
37. The semiconductor device of claim 35, wherein the ternary metallic conductor includes Tantalum Aluminum Nitride (TaAlN).
38. The semiconductor device of claim 35, wherein the ternary metallic conductor includes Titanium Aluminum Nitride (TiAlN).
39. The semiconductor device of claim 35, wherein the ternary metallic conductor includes Titanium Silicon Nitride (TiSiN).
40. The semiconductor device of claim 35, wherein the ternary metallic conductor includes Tungsten Aluminum Nitride (WAlN).
41. The semiconductor device of claim 35, wherein the gate further includes a refractory metal formed on the ternary metallic conductor.
42. An electronic system, comprising:
a processor; and
a memory device coupled to the processor, wherein the memory device includes;
a memory array, wherein the memory array includes a number of transistor cells formed on a substrate, wherein each transistor cell includes a source region, a drain region, a channel region between the source and the drain regions, and a gate separated from the channel region by a gate insulator, wherein the gate includes a ternary metallic conductor formed by atomic layer deposition;
a number of bit lines coupled to the drain region of each transistor cell along rows of the transistor array;
a number of word lines coupled to the gate of each transistor cell along columns of the memory array; and
a number of sourcelines, wherein the source region of each transistor cell is coupled to the number of sourcelines along rows of the transistor cells.
43. The electronic system of claim 42, wherein the ternary metallic conductor includes Tantalum Aluminum Nitride (TaAlN).
44. The electronic system of claim 42, wherein the ternary metallic conductor includes Titanium Aluminum Nitride (TiAlN).
45. The electronic system of claim 42, wherein the ternary metallic conductor includes Titanium Silicon Nitride (TiSiN).
46. The electronic system of claim 42, wherein the ternary metallic conductor includes Tungsten Aluminum Nitride (WAlN).
47. The electronic system of claim 42, wherein the gate further includes a refractory metal formed on the ternary metallic conductor.
48. A method for forming a transistor, comprising:
forming a first source/drain region, a second source/drain region, and a channel region therebetween in a substrate;
forming a gate opposing the channel region and separated therefrom by a first gate insulator; and
wherein forming the gate includes forming a ternary metallic conductor by atomic layer deposition.
49. The method of claim 48, wherein forming a ternary metallic conductor by atomic layer deposition includes forming a Tantalum Aluminum Nitride (TaAlN) layer.
50. The method of claim 48, wherein forming a ternary metallic conductor by atomic layer deposition includes forming a Titanium Aluminum Nitride (TiAlN) layer.
51. The method of claim 48, wherein forming a ternary metallic conductor by atomic layer deposition includes forming a Titanium Silicon Nitride (TiSiN) layer.
52. The method of claim 48, wherein forming a ternary metallic conductor by atomic layer deposition includes forming a Tungsten Aluminum Nitride (WAlN) layer.
53. The method of claim 48, wherein method further includes forming a refractory metal on the ternary metallic conductor.
54. A transistor pair, comprising:
a PMOS transistor;
an NMOS transistor;
wherein the NMOS and the PMOS transistor each include a source, a drain, a channel region therebetween, a gate separated from the channel region by a gate insulator; and
wherein the gates of the NMOS and the PMOS transistors include a varied composition and a varied work function to achieve a low threshold voltages of a same magnitude.
55. The transistor pair of claim 54, wherein the low threshold voltages of a same magnitude include a threshold voltage magnitude of less than 0.4 Volts.
56. The transistor pair of claim 54, wherein the low threshold voltages of a same magnitude include a threshold voltage magnitude of approximately 0.3 Volts.
57. The transistor pair of claim 54, wherein one of the gates of the NMOS and the PMOS transistors includes a binary metallic conductor and the other includes a ternary metallic conductor.
58. The transistor pair of claim 57, wherein the binary metallic conductor includes a binary metallic conductor selected from the group of tantalum nitride (TaN), titanium nitride (TiN), and tungsten nitride (WN).
59. A method for forming a transistor pair, comprising:
forming a PMOS transistor;
forming an NMOS transistor; and
wherein forming the NMOS and the PMOS transistors includes forming a varied gate composition having a varied work function on each respective transistor in order to control a threshold voltage for each respective transistor to a same magnitude.
US10/225,605 2002-08-22 2002-08-22 Atomic layer deposition of CMOS gates with variable work functions Abandoned US20040036129A1 (en)

Priority Applications (10)

Application Number Priority Date Filing Date Title
US10/225,605 US20040036129A1 (en) 2002-08-22 2002-08-22 Atomic layer deposition of CMOS gates with variable work functions
KR1020057003033A KR100701542B1 (en) 2002-08-22 2003-08-21 Atomic layer deposition of cmos gates
PCT/US2003/026487 WO2004019394A1 (en) 2002-08-22 2003-08-21 Atomic layer deposition of cmos gates
JP2004529930A JP2005536877A (en) 2002-08-22 2003-08-21 Atomic layer deposition of CMOS gate
EP03793354A EP1532669A1 (en) 2002-08-22 2003-08-21 Atomic layer deposition of cmos gates
CNB03824408XA CN100359640C (en) 2002-08-22 2003-08-21 Atomic layer deposition of CMOS gates
AU2003260042A AU2003260042A1 (en) 2002-08-22 2003-08-21 Atomic layer deposition of cmos gates
US10/754,842 US20040140513A1 (en) 2002-08-22 2004-01-09 Atomic layer deposition of CMOS gates with variable work functions
US10/929,822 US7351628B2 (en) 2002-08-22 2004-08-30 Atomic layer deposition of CMOS gates with variable work functions
US11/038,730 US20050179097A1 (en) 2002-08-22 2005-01-20 Atomic layer deposition of CMOS gates with variable work functions

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/225,605 US20040036129A1 (en) 2002-08-22 2002-08-22 Atomic layer deposition of CMOS gates with variable work functions

Related Child Applications (3)

Application Number Title Priority Date Filing Date
US10/754,842 Continuation-In-Part US20040140513A1 (en) 2002-08-22 2004-01-09 Atomic layer deposition of CMOS gates with variable work functions
US10/929,822 Division US7351628B2 (en) 2002-08-22 2004-08-30 Atomic layer deposition of CMOS gates with variable work functions
US11/038,730 Division US20050179097A1 (en) 2002-08-22 2005-01-20 Atomic layer deposition of CMOS gates with variable work functions

Publications (1)

Publication Number Publication Date
US20040036129A1 true US20040036129A1 (en) 2004-02-26

Family

ID=31887038

Family Applications (4)

Application Number Title Priority Date Filing Date
US10/225,605 Abandoned US20040036129A1 (en) 2002-08-22 2002-08-22 Atomic layer deposition of CMOS gates with variable work functions
US10/754,842 Abandoned US20040140513A1 (en) 2002-08-22 2004-01-09 Atomic layer deposition of CMOS gates with variable work functions
US10/929,822 Expired - Fee Related US7351628B2 (en) 2002-08-22 2004-08-30 Atomic layer deposition of CMOS gates with variable work functions
US11/038,730 Abandoned US20050179097A1 (en) 2002-08-22 2005-01-20 Atomic layer deposition of CMOS gates with variable work functions

Family Applications After (3)

Application Number Title Priority Date Filing Date
US10/754,842 Abandoned US20040140513A1 (en) 2002-08-22 2004-01-09 Atomic layer deposition of CMOS gates with variable work functions
US10/929,822 Expired - Fee Related US7351628B2 (en) 2002-08-22 2004-08-30 Atomic layer deposition of CMOS gates with variable work functions
US11/038,730 Abandoned US20050179097A1 (en) 2002-08-22 2005-01-20 Atomic layer deposition of CMOS gates with variable work functions

Country Status (7)

Country Link
US (4) US20040036129A1 (en)
EP (1) EP1532669A1 (en)
JP (1) JP2005536877A (en)
KR (1) KR100701542B1 (en)
CN (1) CN100359640C (en)
AU (1) AU2003260042A1 (en)
WO (1) WO2004019394A1 (en)

Cited By (373)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040106261A1 (en) * 2002-12-03 2004-06-03 Asm International N.V. Method of forming an electrode with adjusted work function
US20040233010A1 (en) * 2003-05-22 2004-11-25 Salman Akram Atomic layer deposition (ALD) high permeability layered magnetic films to reduce noise in high speed interconnection
US20050023624A1 (en) * 2002-06-05 2005-02-03 Micron Technology, Inc. Atomic layer-deposited HfAlO3 films for gate dielectrics
US20050095852A1 (en) * 2003-10-29 2005-05-05 International Business Machines Field effect transistor with electroplated metal gate
US20050179097A1 (en) * 2002-08-22 2005-08-18 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US20050284360A1 (en) * 2004-06-29 2005-12-29 Micron Technology, Inc. Atomic layer deposition using electron bombardment
US20060046522A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Atomic layer deposited lanthanum aluminum oxide dielectric layer
US20060043492A1 (en) * 2004-08-26 2006-03-02 Micron Technology, Inc. Ruthenium gate for a lanthanide oxide dielectric layer
US20060043504A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Atomic layer deposited titanium aluminum oxide films
US20060063395A1 (en) * 2004-09-17 2006-03-23 Dongbuanam Semiconductor Inc. Manufacturing method of a semiconductor device
US20060111147A1 (en) * 2004-11-19 2006-05-25 Nextel Communications, Inc. Sim card data transfer system and methods
US20060128168A1 (en) * 2004-12-13 2006-06-15 Micron Technology, Inc. Atomic layer deposited lanthanum hafnium oxide dielectrics
US20060131702A1 (en) * 1999-07-30 2006-06-22 Micron Technology, Inc. Novel transmission lines for CMOS integrated circuits
US20060214207A1 (en) * 2005-03-28 2006-09-28 Toshihide Nabatame Semiconductor device and manufacturing method thereof
US20060223337A1 (en) * 2005-03-29 2006-10-05 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US20070048926A1 (en) * 2005-08-31 2007-03-01 Micron Technology, Inc. Lanthanum aluminum oxynitride dielectric films
US20070049054A1 (en) * 2005-08-31 2007-03-01 Micron Technology, Inc. Cobalt titanium oxide dielectric films
US20070063296A1 (en) * 2005-09-22 2007-03-22 Sematech, Inc. Methods of modulating the work functions of film layers
US20070101929A1 (en) * 2002-05-02 2007-05-10 Micron Technology, Inc. Methods for atomic-layer deposition
US20070164323A1 (en) * 2006-01-18 2007-07-19 Micron Technology, Inc. CMOS gates with intermetallic compound tunable work functions
US20070181931A1 (en) * 2005-01-05 2007-08-09 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
US20080085610A1 (en) * 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US20080217676A1 (en) * 2005-04-28 2008-09-11 Micron Technology, Inc. Zirconium silicon oxide films
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20090214767A1 (en) * 2001-03-06 2009-08-27 Asm America, Inc. Doping with ald technology
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US20100193955A1 (en) * 2009-02-02 2010-08-05 Asm America, Inc. Plasma-enhanced atomic layer deposition of conductive material over dielectric layers
US20110095379A1 (en) * 2009-10-28 2011-04-28 International Business Machines Corporation Scaling of metal gate with aluminum containing metal layer for threshold voltage shift
CN102110653A (en) * 2009-12-29 2011-06-29 中芯国际集成电路制造(上海)有限公司 Method for forming bimetal grid
US20110210405A1 (en) * 2010-03-01 2011-09-01 Canon Anelva Corporation Metal nitride film, semiconductor device using the metal nitride film, and manufacturing method of semiconductor device
US8084370B2 (en) 2006-08-31 2011-12-27 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20130292676A1 (en) * 2012-05-02 2013-11-07 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8633110B2 (en) 2005-07-20 2014-01-21 Micron Technology, Inc. Titanium nitride films
US8945675B2 (en) 2008-05-29 2015-02-03 Asm International N.V. Methods for forming conductive titanium oxide thin films
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9177784B2 (en) 2012-05-07 2015-11-03 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9228259B2 (en) 2013-02-01 2016-01-05 Asm Ip Holding B.V. Method for treatment of deposition reactor
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9299595B2 (en) 2012-06-27 2016-03-29 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9340874B2 (en) 2011-11-23 2016-05-17 Asm Ip Holding B.V. Chamber sealing member
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9540729B1 (en) 2015-08-25 2017-01-10 Asm Ip Holding B.V. Deposition of titanium nanolaminates for use in integrated circuit fabrication
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9646876B2 (en) 2015-02-27 2017-05-09 Applied Materials, Inc. Aluminum nitride barrier layer
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342453B2 (en) 2020-08-18 2022-05-24 Globalfoundries U.S. Inc. Field effect transistor with asymmetric gate structure and method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11404573B2 (en) 2006-12-11 2022-08-02 Sony Group Corporation Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8026161B2 (en) * 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US7068544B2 (en) * 2001-08-30 2006-06-27 Micron Technology, Inc. Flash memory with low tunnel barrier interpoly insulators
US6900122B2 (en) * 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US7045430B2 (en) * 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US7205218B2 (en) 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7221017B2 (en) * 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US6884739B2 (en) * 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US6790791B2 (en) * 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US7192849B2 (en) * 2003-05-07 2007-03-20 Sensor Electronic Technology, Inc. Methods of growing nitride-based film using varying pulses
US7030001B2 (en) * 2004-04-19 2006-04-18 Freescale Semiconductor, Inc. Method for forming a gate electrode having a metal
US7474194B2 (en) * 2004-09-13 2009-01-06 Cooper Technologies Company Fusible switching disconnect modules and devices
AU2005285103B2 (en) * 2004-09-13 2010-01-28 Eaton Intelligent Power Limited Fusible switching disconnect modules and devices
US7561017B2 (en) * 2004-09-13 2009-07-14 Cooper Technologies Company Fusible switching disconnect modules and devices
US7576630B2 (en) * 2004-09-13 2009-08-18 Cooper Technologies Company Fusible switching disconnect modules and devices
US20060125030A1 (en) * 2004-12-13 2006-06-15 Micron Technology, Inc. Hybrid ALD-CVD of PrxOy/ZrO2 films as gate dielectrics
KR100604923B1 (en) 2005-01-04 2006-07-28 삼성전자주식회사 Method of forming titanium aluminum nitride layerTiAlN by atomic layer deposition and phase change memory device having heating electrode fabricated by using the same
US7374964B2 (en) * 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
KR100688555B1 (en) * 2005-06-30 2007-03-02 삼성전자주식회사 Semiconductor device having CMOS transistor and method of manufacturing the same
US7317229B2 (en) * 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
KR100721203B1 (en) * 2005-12-29 2007-05-23 주식회사 하이닉스반도체 Semiconductor device having ternary system oxide gate insulating layer and method of fabricating the same
KR100756035B1 (en) * 2006-01-03 2007-09-07 삼성전자주식회사 Semiconductor device and method of manufacturing the same
US7557047B2 (en) * 2006-06-09 2009-07-07 Micron Technology, Inc. Method of forming a layer of material using an atomic layer deposition process
US9087877B2 (en) * 2006-10-24 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k interconnect structures with reduced RC delay
WO2008072573A1 (en) * 2006-12-11 2008-06-19 Sony Corporation Semiconductor device manufacturing method and semiconductor device
US7759237B2 (en) * 2007-06-28 2010-07-20 Micron Technology, Inc. Method of forming lutetium and lanthanum dielectric structures
US8026168B2 (en) * 2007-08-15 2011-09-27 Tokyo Electron Limited Semiconductor device containing an aluminum tantalum carbonitride barrier film and method of forming
US20090246952A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US7985680B2 (en) * 2008-08-25 2011-07-26 Tokyo Electron Limited Method of forming aluminum-doped metal carbonitride gate electrodes
US8436473B2 (en) * 2009-05-06 2013-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits including air gaps around interconnect structures, and fabrication methods thereof
US8716862B2 (en) 2009-05-06 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including a gate and a metallic connecting line
US8134828B2 (en) * 2010-01-21 2012-03-13 Cooper Technologies Company Configurable deadfront fusible panelboard
US8232607B2 (en) 2010-11-23 2012-07-31 International Business Machines Corporation Borderless contact for replacement gate employing selective deposition
JP5702584B2 (en) * 2010-11-30 2015-04-15 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
US10790196B2 (en) * 2017-11-09 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage tuning for fin-based integrated circuit device
KR102641124B1 (en) * 2021-06-24 2024-02-28 한양대학교 산학협력단 Gate structure of semiconductor device with controlled work function using atomic layer deposition and manufacturing method of the same
US11791391B1 (en) 2022-03-18 2023-10-17 Micron Technology, Inc. Inverters, and related memory devices and electronic systems

Citations (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4058430A (en) * 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4413022A (en) * 1979-02-28 1983-11-01 Canon Kabushiki Kaisha Method for performing growth of compound thin films
US4920071A (en) * 1985-03-15 1990-04-24 Fairchild Camera And Instrument Corporation High temperature interconnect system for an integrated circuit
US5042011A (en) * 1989-05-22 1991-08-20 Micron Technology, Inc. Sense amplifier pulldown device with tailored edge input
US5153144A (en) * 1988-05-10 1992-10-06 Hitachi, Ltd. Method of making tunnel EEPROM
US5192589A (en) * 1991-09-05 1993-03-09 Micron Technology, Inc. Low-pressure chemical vapor deposition process for depositing thin titanium nitride films having low and stable resistivity
US5246881A (en) * 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
US5262199A (en) * 1992-04-17 1993-11-16 Center For Innovative Technology Coating porous materials with metal oxides and other ceramics by MOCVD
US5280205A (en) * 1992-04-16 1994-01-18 Micron Technology, Inc. Fast sense amplifier
US5399379A (en) * 1993-04-14 1995-03-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal titanium nitride films of low bulk resistivity
US5627785A (en) * 1996-03-15 1997-05-06 Micron Technology, Inc. Memory device with a sense amplifier
US5659057A (en) * 1996-02-09 1997-08-19 Micron Technology, Inc. Five- and six-coordinate precursors for titanium nitride deposition
US5735960A (en) * 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
US5747116A (en) * 1994-11-08 1998-05-05 Micron Technology, Inc. Method of forming an electrical contact to a silicon substrate
US5796166A (en) * 1995-01-12 1998-08-18 Ibm Corporation Tasin oxygen diffusion barrier in multilayer structures
US5866205A (en) * 1996-02-09 1999-02-02 Micron Technology, Inc. Process for titanium nitride deposition using five- and six-coordinate titanium complexes
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US5990559A (en) * 1998-08-27 1999-11-23 Micron Technology, Inc. Circuitry comprising roughened platinum layers, platinum-containing materials, capacitors comprising roughened platinum layers, methods forming roughened layers of platinum, and methods of forming capacitors
US6020024A (en) * 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
US6027961A (en) * 1998-06-30 2000-02-22 Motorola, Inc. CMOS semiconductor devices and method of formation
US6081034A (en) * 1992-06-12 2000-06-27 Micron Technology, Inc. Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
US6107656A (en) * 1997-06-06 2000-08-22 Oki Electric Industry Co., Ltd. Ferroelectric transistors, semiconductor storage devices, method of operating ferroelectric transistors and method of manufacturing ferromagnetic transistors
US6110529A (en) * 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
US6171900B1 (en) * 1999-04-15 2001-01-09 Taiwan Semiconductor Manufacturing Company CVD Ta2O5/oxynitride stacked gate insulator with TiN gate electrode for sub-quarter micron MOSFET
US6175129B1 (en) * 1997-02-11 2001-01-16 Micron Technology, Inc. Capacitor structures, DRAM cell structures, methods of forming capacitors, methods of forming DRAM cells, and integrated circuits incorporating capacitor structures and DRAM cell structures
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6197628B1 (en) * 1998-08-27 2001-03-06 Micron Technology, Inc. Ruthenium silicide diffusion barrier layers and methods of forming same
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6204172B1 (en) * 1998-09-03 2001-03-20 Micron Technology, Inc. Low temperature deposition of barrier layers
US6218293B1 (en) * 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
US6225168B1 (en) * 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6323081B1 (en) * 1998-09-03 2001-11-27 Micron Technology, Inc. Diffusion barrier layers and methods of forming same
US6325017B1 (en) * 1997-02-27 2001-12-04 Micron Technology, Inc. Apparatus for forming a high dielectric film
US20010050039A1 (en) * 2000-06-07 2001-12-13 Park Chang-Soo Method of forming a thin film using atomic layer deposition method
US20020001906A1 (en) * 2000-06-27 2002-01-03 Park Dae Gyu Method of manufacturing a gate in a semiconductor device
US6338880B1 (en) * 1998-09-04 2002-01-15 Micron Technology, Inc. Chemical vapor deposition process for depositing titanium nitride films from an organometallic compound
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6410432B1 (en) * 1999-04-27 2002-06-25 Tokyo Electron Limited CVD of integrated Ta and TaNx films from tantalum halide precursors
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US6423619B1 (en) * 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6445023B1 (en) * 1999-03-16 2002-09-03 Micron Technology, Inc. Mixed metal nitride and boride barrier layers
US6448192B1 (en) * 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
US6458701B1 (en) * 1999-10-20 2002-10-01 Samsung Electronics Co., Ltd. Method for forming metal layer of semiconductor device using metal halide gas
US6468924B2 (en) * 2000-12-06 2002-10-22 Samsung Electronics Co., Ltd. Methods of forming thin films by atomic layer deposition
US20020155689A1 (en) * 2001-04-20 2002-10-24 Ahn Kie Y. Highly reliable gate oxide and method of fabrication
US6475276B1 (en) * 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6482740B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US20020192974A1 (en) * 2001-06-13 2002-12-19 Ahn Kie Y. Dielectric layer forming method and devices formed therewith
US20020195683A1 (en) * 1999-08-14 2002-12-26 Kim Yeong-Kwan Semiconductor device and method for manufacturing the same
US20030017717A1 (en) * 2001-07-18 2003-01-23 Ahn Kie Y. Methods for forming dielectric materials and methods for forming semiconductor devices
US6534395B2 (en) * 2000-03-07 2003-03-18 Asm Microchemistry Oy Method of forming graded thin films using alternating pulses of vapor phase reactants
US6551399B1 (en) * 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
US6576053B1 (en) * 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6590251B2 (en) * 1999-12-08 2003-07-08 Samsung Electronics Co., Ltd. Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US20030162342A1 (en) * 2002-02-23 2003-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating metal gates in deep sub-micron devices
US6617634B2 (en) * 2000-08-30 2003-09-09 Micron Technology, Inc. RuSixOy-containing adhesion layers and process for fabricating the same
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6630718B1 (en) * 1999-07-26 2003-10-07 Micron Technology, Inc. Transistor gate and local interconnect
US6630391B2 (en) * 1999-08-24 2003-10-07 Micron Technology, Inc. Boron incorporated diffusion barrier material
US6674109B1 (en) * 1999-09-30 2004-01-06 Rohm Co., Ltd. Nonvolatile memory
US6723642B1 (en) * 2002-10-22 2004-04-20 Electronics And Telecommunications Research Institute Method for forming nitrogen-containing oxide thin film using plasma enhanced atomic layer deposition
US6734061B2 (en) * 2000-06-30 2004-05-11 Hynix Semiconductor Inc. Semiconductor memory device having a plug contacted to a capacitor electrode and method for fabricating the capacitor
US20040140513A1 (en) * 2002-08-22 2004-07-22 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US20040164362A1 (en) * 2003-01-15 2004-08-26 Conley John F. Reactive gate electrode conductive barrier
US6812139B2 (en) * 1997-10-02 2004-11-02 Micron Technology, Inc. Method for metal fill by treatment of mobility layers
US20040217410A1 (en) * 2002-08-26 2004-11-04 Micron Technology, Inc. Enhanced atomic layer deposition
US20050042373A1 (en) * 2003-08-18 2005-02-24 Kraus Brenda D. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US6873020B2 (en) * 2002-02-22 2005-03-29 North Carolina State University High/low work function metal alloys for integrated circuit electrodes
US6908849B2 (en) * 2001-08-30 2005-06-21 Micron Technology, Inc. High aspect ratio contact structure with reduced silicon consumption
US6919273B1 (en) * 1999-12-09 2005-07-19 Tokyo Electron Limited Method for forming TiSiN film, diffusion preventive film comprising TiSiN film, semiconductor device and its production method, and apparatus for forming TiSiN film
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6953730B2 (en) * 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6958302B2 (en) * 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7018933B2 (en) * 2000-06-07 2006-03-28 Samsung Electronics, Co., Ltd. Method of forming a metal-insulator-metal capacitor

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3171900A (en) * 1960-07-26 1965-03-02 Gen Dynamics Corp Automatic communication system
US3381114A (en) * 1963-12-28 1968-04-30 Nippon Electric Co Device for manufacturing epitaxial crystals
US3865654A (en) * 1972-11-01 1975-02-11 Ibm Complementary field effect transistor having p doped silicon gates and process for making the same
US4215156A (en) * 1977-08-26 1980-07-29 International Business Machines Corporation Method for fabricating tantalum semiconductor contacts
US4333808A (en) * 1979-10-30 1982-06-08 International Business Machines Corporation Method for manufacture of ultra-thin film capacitor
US4435896A (en) * 1981-12-07 1984-03-13 Bell Telephone Laboratories, Incorporated Method for fabricating complementary field effect transistor devices
DE3364607D1 (en) * 1982-03-15 1986-08-28 Toshiba Kk Optical type information recording medium
US4757360A (en) * 1983-07-06 1988-07-12 Rca Corporation Floating gate memory device with facing asperities on floating and control gates
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4931411A (en) * 1985-05-01 1990-06-05 Texas Instruments Incorporated Integrated circuit process with TiN-gate transistor
US4811078A (en) * 1985-05-01 1989-03-07 Texas Instruments Incorporated Integrated circuit device and process with tin capacitors
US4814854A (en) * 1985-05-01 1989-03-21 Texas Instruments Incorporated Integrated circuit device and process with tin-gate transistor
US4947221A (en) * 1985-11-29 1990-08-07 General Electric Company Memory cell for a dense EPROM
DE3606959A1 (en) * 1986-03-04 1987-09-10 Leybold Heraeus Gmbh & Co Kg DEVICE FOR PLASMA TREATMENT OF SUBSTRATES IN A PLASMA DISCHARGE EXCITED BY HIGH FREQUENCY
JP2776826B2 (en) * 1988-04-15 1998-07-16 株式会社日立製作所 Semiconductor device and manufacturing method thereof
JPH029115A (en) * 1988-06-28 1990-01-12 Mitsubishi Electric Corp Semiconductor manufacturing equipment
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5198029A (en) * 1989-08-01 1993-03-30 Gte Products Corporation Apparatus for coating small solids
AU625016B2 (en) * 1989-12-22 1992-06-25 Sumitomo Electric Industries, Ltd. Microwave component and method for fabricating substrate for use in microwave component
US5080928A (en) * 1990-10-05 1992-01-14 Gte Laboratories Incorporated Method for making moisture insensitive zinc sulfide based luminescent materials
US5429966A (en) * 1993-07-22 1995-07-04 National Science Council Method of fabricating a textured tunnel oxide for EEPROM applications
US5610099A (en) * 1994-06-28 1997-03-11 Ramtron International Corporation Process for fabricating transistors using composite nitride structure
US6093615A (en) * 1994-08-15 2000-07-25 Micron Technology, Inc. Method of fabricating a contact structure having a composite barrier layer between a platinum layer and a polysilicon plug
US5653813A (en) * 1995-04-03 1997-08-05 Novellus Systems, Inc. Cyclone evaporator
JP3360098B2 (en) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 Shower head structure of processing equipment
US5589413A (en) * 1995-11-27 1996-12-31 Taiwan Semiconductor Manufacturing Company Method of manufacturing self-aligned bit-line during EPROM fabrication
JP3193302B2 (en) * 1996-06-26 2001-07-30 ティーディーケイ株式会社 Film structure, electronic device, recording medium, and method of manufacturing ferroelectric thin film
JPH1079481A (en) * 1996-09-05 1998-03-24 Mitsubishi Electric Corp Conductive layer connecting structure and its manufacture
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US5828113A (en) * 1997-03-28 1998-10-27 Macronix International Co., Ltd. Double density MROM array structure
KR100261017B1 (en) * 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6492694B2 (en) 1998-02-27 2002-12-10 Micron Technology, Inc. Highly conductive composite polysilicon gate for CMOS integrated circuits
US6360685B1 (en) * 1998-05-05 2002-03-26 Applied Materials, Inc. Sub-atmospheric chemical vapor deposition system with dopant bypass
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6391769B1 (en) * 1998-08-19 2002-05-21 Samsung Electronics Co., Ltd. Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby
US6271131B1 (en) * 1998-08-26 2001-08-07 Micron Technology, Inc. Methods for forming rhodium-containing layers such as platinum-rhodium barrier layers
ATE533178T1 (en) * 1998-09-09 2011-11-15 Texas Instruments Inc INTEGRATED CIRCUIT WITH CAPACITOR AND RELATED PRODUCTION METHOD
US6444895B1 (en) * 1998-09-28 2002-09-03 Nec Corporation Device and method for nondestructive inspection on semiconductor device
KR100319884B1 (en) * 1999-04-12 2002-01-10 윤종용 Capacitor of semiconductor device and method for fabricating the same
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6297539B1 (en) * 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
TW439212B (en) * 1999-12-16 2001-06-07 Taiwan Semiconductor Mfg Method for preventing the open source line of ETOX flash memory with self-aligned source
US6485988B2 (en) * 1999-12-22 2002-11-26 Texas Instruments Incorporated Hydrogen-free contact etch for ferroelectric capacitor formation
KR100313091B1 (en) * 1999-12-29 2001-11-07 박종섭 Method of forming gate dielectric layer with TaON
FI20000099A0 (en) * 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
KR100363088B1 (en) * 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
KR100640067B1 (en) * 2000-05-02 2006-10-31 한라공조주식회사 Device for cooling switching circuit of control box
US6432779B1 (en) * 2000-05-18 2002-08-13 Motorola, Inc. Selective removal of a metal oxide dielectric
US6214662B1 (en) * 2000-07-03 2001-04-10 Taiwan Semiconductor Manufacturing Company Forming self-align source line for memory array
AU2001280609A1 (en) * 2000-07-20 2002-02-05 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
US7118942B1 (en) * 2000-09-27 2006-10-10 Li Chou H Method of making atomic integrated circuit device
US6599781B1 (en) * 2000-09-27 2003-07-29 Chou H. Li Solid state device
US6784515B1 (en) * 2000-09-27 2004-08-31 Chou H Li Semiconductor integrated circuit device
US6465334B1 (en) * 2000-10-05 2002-10-15 Advanced Micro Devices, Inc. Enhanced electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors
JP2002116237A (en) * 2000-10-10 2002-04-19 Texas Instr Japan Ltd Semiconductor integrated circuit
US6368941B1 (en) * 2000-11-08 2002-04-09 United Microelectronics Corp. Fabrication of a shallow trench isolation by plasma oxidation
KR100382149B1 (en) * 2000-11-30 2003-05-09 한국전자통신연구원 Formation method for Sr-Ta-O thin films
US7871676B2 (en) * 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6537901B2 (en) * 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
US20020089023A1 (en) * 2001-01-05 2002-07-11 Motorola, Inc. Low leakage current metal oxide-nitrides and method of fabricating same
US6495436B2 (en) * 2001-02-09 2002-12-17 Micron Technology, Inc. Formation of metal oxide gate dielectric
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
KR100519376B1 (en) * 2001-06-12 2005-10-07 주식회사 하이닉스반도체 Method for Forming Barrier Layer of Semiconductor Device
US6614079B2 (en) * 2001-07-19 2003-09-02 International Business Machines Corporation All-in-one disposable/permanent spacer elevated source/drain, self-aligned silicide CMOS
US6656282B2 (en) * 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794234B2 (en) * 2002-01-30 2004-09-21 The Regents Of The University Of California Dual work function CMOS gate technology based on metal interdiffusion
US20040023810A1 (en) * 2002-07-26 2004-02-05 Alex Ignatiev Superconductor material on a tape substrate
US6830983B2 (en) 2002-08-29 2004-12-14 Micron Technology, Inc. Method of making an oxygen diffusion barrier for semiconductor devices using platinum, rhodium, or iridium stuffed with silicon oxide
US7183186B2 (en) * 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US7183182B2 (en) * 2003-09-24 2007-02-27 International Business Machines Corporation Method and apparatus for fabricating CMOS field effect transistors
US7510942B2 (en) * 2003-11-25 2009-03-31 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University Molecular modifications of metal/dielectric interfaces
JP4651955B2 (en) * 2004-03-03 2011-03-16 東京エレクトロン株式会社 Deposition method
JP4098746B2 (en) * 2004-04-16 2008-06-11 株式会社東芝 Semiconductor device
US20060011949A1 (en) * 2004-07-18 2006-01-19 Chih-Wei Yang Metal-gate cmos device and fabrication method of making same
US20060113603A1 (en) * 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid semiconductor-on-insulator structures and related methods
US7393733B2 (en) * 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7253050B2 (en) * 2004-12-20 2007-08-07 Infineon Technologies Ag Transistor device and method of manufacture thereof
US7598545B2 (en) * 2005-04-21 2009-10-06 International Business Machines Corporation Using metal/metal nitride bilayers as gate electrodes in self-aligned aggressively scaled CMOS devices
US7473637B2 (en) * 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7462538B2 (en) * 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7897217B2 (en) * 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
US7510943B2 (en) * 2005-12-16 2009-03-31 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20070164323A1 (en) * 2006-01-18 2007-07-19 Micron Technology, Inc. CMOS gates with intermetallic compound tunable work functions
US20070164367A1 (en) * 2006-01-18 2007-07-19 Micron Technology, Inc. CMOS gates with solid-solution alloy tunable work functions

Patent Citations (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4058430A (en) * 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US4413022A (en) * 1979-02-28 1983-11-01 Canon Kabushiki Kaisha Method for performing growth of compound thin films
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4920071A (en) * 1985-03-15 1990-04-24 Fairchild Camera And Instrument Corporation High temperature interconnect system for an integrated circuit
US5153144A (en) * 1988-05-10 1992-10-06 Hitachi, Ltd. Method of making tunnel EEPROM
US5042011A (en) * 1989-05-22 1991-08-20 Micron Technology, Inc. Sense amplifier pulldown device with tailored edge input
US6110529A (en) * 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
US5192589A (en) * 1991-09-05 1993-03-09 Micron Technology, Inc. Low-pressure chemical vapor deposition process for depositing thin titanium nitride films having low and stable resistivity
US5280205A (en) * 1992-04-16 1994-01-18 Micron Technology, Inc. Fast sense amplifier
US5262199A (en) * 1992-04-17 1993-11-16 Center For Innovative Technology Coating porous materials with metal oxides and other ceramics by MOCVD
US6291340B1 (en) * 1992-06-12 2001-09-18 Micron Technology, Inc. Method of forming low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
US6624517B1 (en) * 1992-06-12 2003-09-23 Micron Technology, Inc. Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
US6881667B2 (en) * 1992-06-12 2005-04-19 Micron Technology, Inc. Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
US6953743B2 (en) * 1992-06-12 2005-10-11 Micron Technology, Inc. Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
US6081034A (en) * 1992-06-12 2000-06-27 Micron Technology, Inc. Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
US6632736B2 (en) * 1992-06-12 2003-10-14 Micron Technology, Inc. Method of forming low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
US5246881A (en) * 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
US5399379A (en) * 1993-04-14 1995-03-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal titanium nitride films of low bulk resistivity
US5747116A (en) * 1994-11-08 1998-05-05 Micron Technology, Inc. Method of forming an electrical contact to a silicon substrate
US5796166A (en) * 1995-01-12 1998-08-18 Ibm Corporation Tasin oxygen diffusion barrier in multilayer structures
US5866205A (en) * 1996-02-09 1999-02-02 Micron Technology, Inc. Process for titanium nitride deposition using five- and six-coordinate titanium complexes
US5659057A (en) * 1996-02-09 1997-08-19 Micron Technology, Inc. Five- and six-coordinate precursors for titanium nitride deposition
US5627785A (en) * 1996-03-15 1997-05-06 Micron Technology, Inc. Memory device with a sense amplifier
US5735960A (en) * 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6175129B1 (en) * 1997-02-11 2001-01-16 Micron Technology, Inc. Capacitor structures, DRAM cell structures, methods of forming capacitors, methods of forming DRAM cells, and integrated circuits incorporating capacitor structures and DRAM cell structures
US6325017B1 (en) * 1997-02-27 2001-12-04 Micron Technology, Inc. Apparatus for forming a high dielectric film
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6107656A (en) * 1997-06-06 2000-08-22 Oki Electric Industry Co., Ltd. Ferroelectric transistors, semiconductor storage devices, method of operating ferroelectric transistors and method of manufacturing ferromagnetic transistors
US6020024A (en) * 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
US6812139B2 (en) * 1997-10-02 2004-11-02 Micron Technology, Inc. Method for metal fill by treatment of mobility layers
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6225168B1 (en) * 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6027961A (en) * 1998-06-30 2000-02-22 Motorola, Inc. CMOS semiconductor devices and method of formation
US5990559A (en) * 1998-08-27 1999-11-23 Micron Technology, Inc. Circuitry comprising roughened platinum layers, platinum-containing materials, capacitors comprising roughened platinum layers, methods forming roughened layers of platinum, and methods of forming capacitors
US6197628B1 (en) * 1998-08-27 2001-03-06 Micron Technology, Inc. Ruthenium silicide diffusion barrier layers and methods of forming same
US6204172B1 (en) * 1998-09-03 2001-03-20 Micron Technology, Inc. Low temperature deposition of barrier layers
US6323081B1 (en) * 1998-09-03 2001-11-27 Micron Technology, Inc. Diffusion barrier layers and methods of forming same
US6338880B1 (en) * 1998-09-04 2002-01-15 Micron Technology, Inc. Chemical vapor deposition process for depositing titanium nitride films from an organometallic compound
US6531192B2 (en) * 1998-09-04 2003-03-11 Micron Technology, Inc. Chemical vapor deposition process for depositing titanium nitride films from an organo-metallic compound
US6218293B1 (en) * 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
US6548405B2 (en) * 1998-11-13 2003-04-15 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
US6365519B2 (en) * 1998-11-13 2002-04-02 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
US20010014521A1 (en) * 1998-11-13 2001-08-16 Kraus Brenda D. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
US20020106896A1 (en) * 1998-11-13 2002-08-08 Kraus Brenda D. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6445023B1 (en) * 1999-03-16 2002-09-03 Micron Technology, Inc. Mixed metal nitride and boride barrier layers
US6171900B1 (en) * 1999-04-15 2001-01-09 Taiwan Semiconductor Manufacturing Company CVD Ta2O5/oxynitride stacked gate insulator with TiN gate electrode for sub-quarter micron MOSFET
US6410432B1 (en) * 1999-04-27 2002-06-25 Tokyo Electron Limited CVD of integrated Ta and TaNx films from tantalum halide precursors
US6630718B1 (en) * 1999-07-26 2003-10-07 Micron Technology, Inc. Transistor gate and local interconnect
US20020195683A1 (en) * 1999-08-14 2002-12-26 Kim Yeong-Kwan Semiconductor device and method for manufacturing the same
US6630391B2 (en) * 1999-08-24 2003-10-07 Micron Technology, Inc. Boron incorporated diffusion barrier material
US6635939B2 (en) * 1999-08-24 2003-10-21 Micron Technology, Inc. Boron incorporated diffusion barrier material
US6911381B2 (en) * 1999-08-24 2005-06-28 Micron Technology Inc. Boron incorporated diffusion barrier material
US6674109B1 (en) * 1999-09-30 2004-01-06 Rohm Co., Ltd. Nonvolatile memory
US6576053B1 (en) * 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6475276B1 (en) * 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6458701B1 (en) * 1999-10-20 2002-10-01 Samsung Electronics Co., Ltd. Method for forming metal layer of semiconductor device using metal halide gas
US6590251B2 (en) * 1999-12-08 2003-07-08 Samsung Electronics Co., Ltd. Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
US6919273B1 (en) * 1999-12-09 2005-07-19 Tokyo Electron Limited Method for forming TiSiN film, diffusion preventive film comprising TiSiN film, semiconductor device and its production method, and apparatus for forming TiSiN film
US6551399B1 (en) * 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
US6534395B2 (en) * 2000-03-07 2003-03-18 Asm Microchemistry Oy Method of forming graded thin films using alternating pulses of vapor phase reactants
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6482740B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US20010050039A1 (en) * 2000-06-07 2001-12-13 Park Chang-Soo Method of forming a thin film using atomic layer deposition method
US7018933B2 (en) * 2000-06-07 2006-03-28 Samsung Electronics, Co., Ltd. Method of forming a metal-insulator-metal capacitor
US20020001906A1 (en) * 2000-06-27 2002-01-03 Park Dae Gyu Method of manufacturing a gate in a semiconductor device
US6734061B2 (en) * 2000-06-30 2004-05-11 Hynix Semiconductor Inc. Semiconductor memory device having a plug contacted to a capacitor electrode and method for fabricating the capacitor
US6617634B2 (en) * 2000-08-30 2003-09-09 Micron Technology, Inc. RuSixOy-containing adhesion layers and process for fabricating the same
US6737317B2 (en) * 2000-08-30 2004-05-18 Micron Technology, Inc. Method of manufacturing a capacitor having RuSixOy-containing adhesion layers
US6468924B2 (en) * 2000-12-06 2002-10-22 Samsung Electronics Co., Ltd. Methods of forming thin films by atomic layer deposition
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6448192B1 (en) * 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
US20020155689A1 (en) * 2001-04-20 2002-10-24 Ahn Kie Y. Highly reliable gate oxide and method of fabrication
US20020155688A1 (en) * 2001-04-20 2002-10-24 Ahn Kie Y. Highly reliable gate oxide and method of fabrication
US20020192974A1 (en) * 2001-06-13 2002-12-19 Ahn Kie Y. Dielectric layer forming method and devices formed therewith
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US20030017717A1 (en) * 2001-07-18 2003-01-23 Ahn Kie Y. Methods for forming dielectric materials and methods for forming semiconductor devices
US6534420B2 (en) * 2001-07-18 2003-03-18 Micron Technology, Inc. Methods for forming dielectric materials and methods for forming semiconductor devices
US6908849B2 (en) * 2001-08-30 2005-06-21 Micron Technology, Inc. High aspect ratio contact structure with reduced silicon consumption
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6423619B1 (en) * 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6953730B2 (en) * 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6873020B2 (en) * 2002-02-22 2005-03-29 North Carolina State University High/low work function metal alloys for integrated circuit electrodes
US20030162342A1 (en) * 2002-02-23 2003-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating metal gates in deep sub-micron devices
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US20040140513A1 (en) * 2002-08-22 2004-07-22 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US20050179097A1 (en) * 2002-08-22 2005-08-18 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US20050032342A1 (en) * 2002-08-22 2005-02-10 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US20040217410A1 (en) * 2002-08-26 2004-11-04 Micron Technology, Inc. Enhanced atomic layer deposition
US6723642B1 (en) * 2002-10-22 2004-04-20 Electronics And Telecommunications Research Institute Method for forming nitrogen-containing oxide thin film using plasma enhanced atomic layer deposition
US6958302B2 (en) * 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US20040164362A1 (en) * 2003-01-15 2004-08-26 Conley John F. Reactive gate electrode conductive barrier
US20050042373A1 (en) * 2003-08-18 2005-02-24 Kraus Brenda D. Atomic layer deposition methods of forming conductive metal nitride comprising layers

Cited By (521)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060131702A1 (en) * 1999-07-30 2006-06-22 Micron Technology, Inc. Novel transmission lines for CMOS integrated circuits
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US20090214767A1 (en) * 2001-03-06 2009-08-27 Asm America, Inc. Doping with ald technology
US20070101929A1 (en) * 2002-05-02 2007-05-10 Micron Technology, Inc. Methods for atomic-layer deposition
US7670646B2 (en) 2002-05-02 2010-03-02 Micron Technology, Inc. Methods for atomic-layer deposition
US20050023624A1 (en) * 2002-06-05 2005-02-03 Micron Technology, Inc. Atomic layer-deposited HfAlO3 films for gate dielectrics
US20050179097A1 (en) * 2002-08-22 2005-08-18 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US20040106261A1 (en) * 2002-12-03 2004-06-03 Asm International N.V. Method of forming an electrode with adjusted work function
US7045406B2 (en) * 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US6970053B2 (en) 2003-05-22 2005-11-29 Micron Technology, Inc. Atomic layer deposition (ALD) high permeability layered magnetic films to reduce noise in high speed interconnection
US7154354B2 (en) 2003-05-22 2006-12-26 Micron Technology, Inc. High permeability layered magnetic films to reduce noise in high speed interconnection
US20050140462A1 (en) * 2003-05-22 2005-06-30 Micron Technology, Inc. High permeability layered magnetic films to reduce noise in high speed interconnection
US20040233010A1 (en) * 2003-05-22 2004-11-25 Salman Akram Atomic layer deposition (ALD) high permeability layered magnetic films to reduce noise in high speed interconnection
US20050095852A1 (en) * 2003-10-29 2005-05-05 International Business Machines Field effect transistor with electroplated metal gate
US6967131B2 (en) * 2003-10-29 2005-11-22 International Business Machines Corp. Field effect transistor with electroplated metal gate
US20050284360A1 (en) * 2004-06-29 2005-12-29 Micron Technology, Inc. Atomic layer deposition using electron bombardment
US7628855B2 (en) 2004-06-29 2009-12-08 Micron Technology, Inc. Atomic layer deposition using electron bombardment
US20070134816A1 (en) * 2004-06-29 2007-06-14 Micron Technology, Inc. Atomic layer deposition using electron bombardment
US7189287B2 (en) 2004-06-29 2007-03-13 Micron Technology, Inc. Atomic layer deposition using electron bombardment
US8907486B2 (en) 2004-08-26 2014-12-09 Micron Technology, Inc. Ruthenium for a dielectric containing a lanthanide
US20060043492A1 (en) * 2004-08-26 2006-03-02 Micron Technology, Inc. Ruthenium gate for a lanthanide oxide dielectric layer
US8558325B2 (en) 2004-08-26 2013-10-15 Micron Technology, Inc. Ruthenium for a dielectric containing a lanthanide
US7719065B2 (en) 2004-08-26 2010-05-18 Micron Technology, Inc. Ruthenium layer for a dielectric layer containing a lanthanide oxide
US20060043504A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Atomic layer deposited titanium aluminum oxide films
US7867919B2 (en) 2004-08-31 2011-01-11 Micron Technology, Inc. Method of fabricating an apparatus having a lanthanum-metal oxide dielectric layer
US20110037117A1 (en) * 2004-08-31 2011-02-17 Ahn Kie Y Lanthanum-metal oxide dielectric apparatus, methods, and systems
US8154066B2 (en) 2004-08-31 2012-04-10 Micron Technology, Inc. Titanium aluminum oxide films
US8237216B2 (en) 2004-08-31 2012-08-07 Micron Technology, Inc. Apparatus having a lanthanum-metal oxide semiconductor device
US8541276B2 (en) 2004-08-31 2013-09-24 Micron Technology, Inc. Methods of forming an insulating metal oxide
US20060046522A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Atomic layer deposited lanthanum aluminum oxide dielectric layer
US7745348B2 (en) * 2004-09-17 2010-06-29 Dongbu Electronics Co., Ltd. Manufacturing method of a semiconductor device
US20060063395A1 (en) * 2004-09-17 2006-03-23 Dongbuanam Semiconductor Inc. Manufacturing method of a semiconductor device
US20060111147A1 (en) * 2004-11-19 2006-05-25 Nextel Communications, Inc. Sim card data transfer system and methods
US20060128168A1 (en) * 2004-12-13 2006-06-15 Micron Technology, Inc. Atomic layer deposited lanthanum hafnium oxide dielectrics
US7915174B2 (en) 2004-12-13 2011-03-29 Micron Technology, Inc. Dielectric stack containing lanthanum and hafnium
US20090032910A1 (en) * 2004-12-13 2009-02-05 Micron Technology, Inc. Dielectric stack containing lanthanum and hafnium
US8278225B2 (en) 2005-01-05 2012-10-02 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
US20100029054A1 (en) * 2005-01-05 2010-02-04 Ahn Kie Y Hafnium tantalum oxide dielectrics
US20070181931A1 (en) * 2005-01-05 2007-08-09 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
US8524618B2 (en) 2005-01-05 2013-09-03 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
US20070257320A1 (en) * 2005-03-28 2007-11-08 Toshihide Nabatame Semiconductor device and manufacturing method thereof
US20060214207A1 (en) * 2005-03-28 2006-09-28 Toshihide Nabatame Semiconductor device and manufacturing method thereof
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US8399365B2 (en) 2005-03-29 2013-03-19 Micron Technology, Inc. Methods of forming titanium silicon oxide
US8076249B2 (en) 2005-03-29 2011-12-13 Micron Technology, Inc. Structures containing titanium silicon oxide
US20060223337A1 (en) * 2005-03-29 2006-10-05 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US8084808B2 (en) 2005-04-28 2011-12-27 Micron Technology, Inc. Zirconium silicon oxide films
US20080220618A1 (en) * 2005-04-28 2008-09-11 Micron Technology, Inc. Zirconium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US20080217676A1 (en) * 2005-04-28 2008-09-11 Micron Technology, Inc. Zirconium silicon oxide films
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8633110B2 (en) 2005-07-20 2014-01-21 Micron Technology, Inc. Titanium nitride films
US8921914B2 (en) 2005-07-20 2014-12-30 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20070048926A1 (en) * 2005-08-31 2007-03-01 Micron Technology, Inc. Lanthanum aluminum oxynitride dielectric films
US20070049054A1 (en) * 2005-08-31 2007-03-01 Micron Technology, Inc. Cobalt titanium oxide dielectric films
US8895442B2 (en) 2005-08-31 2014-11-25 Micron Technology, Inc. Cobalt titanium oxide dielectric films
US8455959B2 (en) 2005-08-31 2013-06-04 Micron Technology, Inc. Apparatus containing cobalt titanium oxide
US8071476B2 (en) 2005-08-31 2011-12-06 Micron Technology, Inc. Cobalt titanium oxide dielectric films
US20070090440A1 (en) * 2005-08-31 2007-04-26 Micron Technology, Inc. Lanthanum aluminum oxynitride dielectric films
US20070063296A1 (en) * 2005-09-22 2007-03-22 Sematech, Inc. Methods of modulating the work functions of film layers
US7332433B2 (en) 2005-09-22 2008-02-19 Sematech Inc. Methods of modulating the work functions of film layers
US20070164323A1 (en) * 2006-01-18 2007-07-19 Micron Technology, Inc. CMOS gates with intermetallic compound tunable work functions
US8785312B2 (en) 2006-02-16 2014-07-22 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride
US8067794B2 (en) 2006-02-16 2011-11-29 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US20100207181A1 (en) * 2006-02-16 2010-08-19 Ahn Kie Y Conductive layers for hafnium silicon oxynitride films
US8084370B2 (en) 2006-08-31 2011-12-27 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US8466016B2 (en) 2006-08-31 2013-06-18 Micron Technolgy, Inc. Hafnium tantalum oxynitride dielectric
US8759170B2 (en) 2006-08-31 2014-06-24 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US8563444B2 (en) 2006-10-05 2013-10-22 Asm America, Inc. ALD of metal silicate films
US20080085610A1 (en) * 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US7972977B2 (en) 2006-10-05 2011-07-05 Asm America, Inc. ALD of metal silicate films
US11404573B2 (en) 2006-12-11 2022-08-02 Sony Group Corporation Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US8945675B2 (en) 2008-05-29 2015-02-03 Asm International N.V. Methods for forming conductive titanium oxide thin films
US9646820B2 (en) 2008-05-29 2017-05-09 Asm International N.V. Methods for forming conductive titanium oxide thin films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9466574B2 (en) 2009-02-02 2016-10-11 Asm America, Inc. Plasma-enhanced atomic layer deposition of conductive material over dielectric layers
US20100193955A1 (en) * 2009-02-02 2010-08-05 Asm America, Inc. Plasma-enhanced atomic layer deposition of conductive material over dielectric layers
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8901674B2 (en) * 2009-10-28 2014-12-02 International Business Machines Corporation Scaling of metal gate with aluminum containing metal layer for threshold voltage shift
US20130175642A1 (en) * 2009-10-28 2013-07-11 International Business Machines Corporation Scaling of metal gate with aluminum containing metal layer for threshold voltage shift
WO2011051015A3 (en) * 2009-10-28 2011-10-20 International Business Machines Corporation Aluminum containing metal layer for threshold voltage shift
US20110095379A1 (en) * 2009-10-28 2011-04-28 International Business Machines Corporation Scaling of metal gate with aluminum containing metal layer for threshold voltage shift
CN102110653A (en) * 2009-12-29 2011-06-29 中芯国际集成电路制造(上海)有限公司 Method for forming bimetal grid
US8786031B2 (en) 2010-03-01 2014-07-22 Canon Anelva Corporation Metal nitride film, semiconductor device using the metal nitride film, and manufacturing method of semiconductor device
US20110210405A1 (en) * 2010-03-01 2011-09-01 Canon Anelva Corporation Metal nitride film, semiconductor device using the metal nitride film, and manufacturing method of semiconductor device
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9340874B2 (en) 2011-11-23 2016-05-17 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US20130292676A1 (en) * 2012-05-02 2013-11-07 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US9029253B2 (en) * 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US9177784B2 (en) 2012-05-07 2015-11-03 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9299595B2 (en) 2012-06-27 2016-03-29 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9228259B2 (en) 2013-02-01 2016-01-05 Asm Ip Holding B.V. Method for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9646876B2 (en) 2015-02-27 2017-05-09 Applied Materials, Inc. Aluminum nitride barrier layer
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10002755B2 (en) 2015-08-25 2018-06-19 Asm Ip Holding B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US10546744B2 (en) 2015-08-25 2020-01-28 Asm Ip Holding B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US10460928B2 (en) 2015-08-25 2019-10-29 Asm Ip Holding B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US11195712B2 (en) 2015-08-25 2021-12-07 Asm Ip Holding B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9540729B1 (en) 2015-08-25 2017-01-10 Asm Ip Holding B.V. Deposition of titanium nanolaminates for use in integrated circuit fabrication
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11342453B2 (en) 2020-08-18 2022-05-24 Globalfoundries U.S. Inc. Field effect transistor with asymmetric gate structure and method
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Also Published As

Publication number Publication date
KR100701542B1 (en) 2007-03-30
EP1532669A1 (en) 2005-05-25
JP2005536877A (en) 2005-12-02
CN100359640C (en) 2008-01-02
US20050179097A1 (en) 2005-08-18
CN1689143A (en) 2005-10-26
US20040140513A1 (en) 2004-07-22
AU2003260042A1 (en) 2004-03-11
US20050032342A1 (en) 2005-02-10
WO2004019394A1 (en) 2004-03-04
KR20050038630A (en) 2005-04-27
US7351628B2 (en) 2008-04-01

Similar Documents

Publication Publication Date Title
US7351628B2 (en) Atomic layer deposition of CMOS gates with variable work functions
US9502256B2 (en) ZrAION films
US7489545B2 (en) Memory utilizing oxide-nitride nanolaminates
US8785312B2 (en) Conductive layers for hafnium silicon oxynitride
US8455959B2 (en) Apparatus containing cobalt titanium oxide
US8951880B2 (en) Dielectrics containing at least one of a refractory metal or a non-refractory metal
US7554161B2 (en) HfAlO3 films for gate dielectrics
US7045430B2 (en) Atomic layer-deposited LaAlO3 films for gate dielectrics
US7192892B2 (en) Atomic layer deposited dielectric layers
US20060051925A1 (en) Atomic layer deposition of metal oxynitride layers as gate dielectrics

Legal Events

Date Code Title Description
AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FORBES, LEONARD;AHN, KIE Y.;REEL/FRAME:013226/0006;SIGNING DATES FROM 20020806 TO 20020810

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION