US20040166418A1 - Method of optical proximity correction with sub-resolution assists - Google Patents

Method of optical proximity correction with sub-resolution assists Download PDF

Info

Publication number
US20040166418A1
US20040166418A1 US10/248,815 US24881503A US2004166418A1 US 20040166418 A1 US20040166418 A1 US 20040166418A1 US 24881503 A US24881503 A US 24881503A US 2004166418 A1 US2004166418 A1 US 2004166418A1
Authority
US
United States
Prior art keywords
line
space
linewidth
bias
pair
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/248,815
Other versions
US6777146B1 (en
Inventor
Donald Samuels
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US10/248,815 priority Critical patent/US6777146B1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SAMUELS, DONALD J.
Application granted granted Critical
Publication of US6777146B1 publication Critical patent/US6777146B1/en
Publication of US20040166418A1 publication Critical patent/US20040166418A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]

Definitions

  • the present invention relates to the field of optical lithography; more specifically, it relates to a method for correcting line width deviations.
  • Fabrication of modern integrated circuits typically involves lithographic transfer of a pattern disposed on a mask onto to a layer of photoresist on a substrate.
  • the pattern on the mask defines the integrated circuit patterns. It has been observed, especially as pattern sizes have decreased, that differences in similar patterns in the integrated circuit arise based on the proximity of patterns relative to one another. Therefore, various techniques for optical proximity correction (OPC) have been developed. It has also been observed, again as pattern sizes have decreased, that isolated and nested images focus differently in photolithographic exposure systems. Techniques, distinct from OPC, have been developed to address this problem. However, as pattern sizes continue to decrease well into the sub-micron region, linewidth control and image quality issues still continue to be a concern and improved methods for linewidth control and image quality are required.
  • OPC optical proximity correction
  • a first aspect of the present invention is a method of determining an optical proximity correction for a primary feature having sub-resolution assist features for increasing the depth of focus of the primary features, comprising: generating a line/space pair; placing sub-resolution assist features on opposite sides of the line of the line/space pair; generating a set of linewidth biases; applying the set of linewidth biases to the line of the line/space pair to generate a set of biased-line/space pairs; determining for each biased-line/space pair, a deviation from a design linewidth of the line/space pair when the set of biased-line/space pairs are printed or simulated; and determining from the deviation a correction bias to apply to the line of the line/space pair.
  • a second aspect of the present invention is a method of determining a set of optical proximity correction rules for primary features having sub-resolution assist features for increasing the depth of focus of the primary features, comprising: generating a grating, the grating comprising sets of sets of line/space pairs, each set of line space/pairs comprising multiple copies of a unique combination of a linewidth value and a spacewidth value; generating a set of linewidth biases; for each line/space pair of a particular set of line/width pairs; selecting a sub-resolution assist features from a set of sub-resolution assist features based on the spacewidth value of the line/space pair of the particular set of line/space pairs; placing the sub-resolution assist features on either side of each line of each line/space pair of the particular set of line/space pairs; and applying a different linewidth bias of the set of linewidth bias to each line of each line/space pair of the particular set of line/space pairs; determining deviations from design linewid
  • a third aspect of the present invention is a method of optical proximity correction of primary features of a design having sub-resolution assist features for increasing the depth of focus of the primary features during operation of an optical lithography system by width biasing a light blocking layer on an optical mask, comprising: (a) selecting a set of line/space pairs representative of each the feature; (b) for each line/space pair of the set of line/space pairs; (i) generating, an identical set of line/space pairs representative of the feature; (ii) placing sub-resolution assist features on opposite sides of each line of each line/space pair, selection of the sub-resolution assist features based on linewidth and space values of the line/space pair; (iii) generating a set of linewidth biases; (iv) applying the a different linewidth bias of the set of linewidth biases to the line of each line/space pair to generate a set of biased-line/space pairs; (v) determining for each biased-line/width pair, a deviation from
  • the invention also encompasses apparatus, systems and software for carrying out methods of the invention.
  • FIGS. 1A through 1H illustrate possible sub-resolution assist features (SRAF) placements according to the present invention
  • FIG. 2 is a plot of measured image size versus design space illustrating the problem solved by the present invention
  • FIG. 3 is a flowchart illustrating a generic method for determining optical proximity correction (OPC) rules according to the present invention
  • FIG. 4 is a table illustrating exemplary data generated by the present invention that is used to create exemplary OPC rules according to the present invention
  • FIG. 5 is a plot of measured image size versus design space illustrating the improvement provided by the present invention
  • FIG. 6 is a flowchart of a first embodiment of the present invention.
  • FIG. 7 is a flowchart of a second embodiment of the present invention.
  • FIG. 8 is a flowchart of a third embodiment of the present invention.
  • FIG. 9 is a flowchart illustrating creation of an optical mask according to the present invention.
  • line/space pair When the term line/space pair is used, it should be understood that the width of the line and the width of the space between the line and an adjacent line is being described.
  • a feature is defined as a line, a space or a line/space pair. It should also be understood that lines and spaces may be interchanged depending upon the polarity of the mask supporting the features.
  • the term printed is defined as an actual measurement of a structure on a wafer.
  • the measurement can be performed at any point from formation of a latent image in a photoresist layer to a point after all processing of the wafer is complete.
  • a printed image can be measured optically, by electron microscopy or by electrical measurement.
  • Optical proximity correction (OPC) rules are designed to optimize the exposure dose (light energy) given the focal properties of an optical lithography system in order to produce printed lines that match the design widths of the lines.
  • OPC optical proximity correction
  • a simple example of an OPC rule would state for a given line/space combination (exposed on a given optical lithographic system) the line width on the optical mask should be increased (or decreased) by a specified amount in order to print the designed line width in the photoresist layer.
  • Sub-resolution assist features are features, too narrow to be resolved by the optical lithographic system, added on either side of a line to improve the sharpness of a line, whether or not it matches the design size.
  • SRAFs are to make semi-isolated and isolated lines behave more like nested lines (lines in close proximity to one another) since nested lines resolve with better depth of focus (sharper images) then isolated lines in a given optical photolithographic system.
  • SRAFs When SRAFs are used, three variables must be controlled. The first is the width of each SRAF. The second is the width of the space between the SRAF and line, and between SRAFs. The third is the number of SRAFs. At one limit, the distance between adjacent lines is too small to put in any SRAFs. At the other limit, a maximum of four SRAFs may be put in (more than four generally adds no improvement in image quality).
  • FIGS. 1A through 1H illustrate possible SRAF placements according to the present invention.
  • FIG. 1A there are no SRAFs placed between primary lines 100 .
  • Lines 100 have a width L and the space between lines 100 has a width S.
  • the values of L and S constitute a line/space combination.
  • FIG. 1B there is one SRAF 105 centered between primary lines 100 .
  • SRAF 105 has a width A 1 .
  • FIG. 1C there is one SRAF 105 A centered between primary lines 100 .
  • SRAF 105 A has a width A 2 .
  • SRAF 105 A is wider than SRAF 105 in FIG. 1B (i.e. A 2 is greater than A 1 ).
  • FIG. 1A there are no SRAFs placed between primary lines 100 .
  • Lines 100 have a width L and the space between lines 100 has a width S.
  • the values of L and S constitute a line/space combination.
  • FIG. 1B there is one SRAF 105 centered
  • FIG. 1D there are two SRAFs 105 between primary lines 110 .
  • the spaces S 1 and S 2 are equal.
  • FIG. 1E there are two SRAFs 105 between primary lines 110 .
  • the space S 2 is greater than the spaces S 1 .
  • FIG. 1F there are three SRAFs 105 between primary lines 110 .
  • FIG. 1F The SRAF 105 to SRAF 105 distance and the SRAF 105 to line 100 distance are equal.
  • FIG. 1G there are four SRAFs 105 between primary lines 110 .
  • SRAF 105 to SRAF 105 distances and the SRAF 105 to line 100 distances are equal.
  • FIG. 1H a single isolated line 100 is illustrated with two SRAFs 105 on either side of the line.
  • FIGS. 1A through 1H L and S are illustrated as being the same. This is generally not the case.
  • the number, width and placement of SRAFs 105 are rule based, the rules being a function of L and S combinations.
  • L and S are illustrated as being the same because a simulated line/space grating used in development of the present invention was constructed in that manner.
  • This set of gratings is exemplary and was used to generate the data illustrated in FIGS. 2, 4, and 5 and described infra. Other linewidth/spacewidth dimensions and combination may be used.
  • FIG. 2 is a plot of measured image size versus design space illustrating the problem solved by the present invention.
  • Curve 120 represents the 31 150 / 150 to 150 / 4500 line/space pair sets.
  • Curve 715 represents the 31 175 / 150 to 175 / 4500 line/space pair sets.
  • Curve 120 represents the 31 200 / 150 to 200 / 4500 line/space pair sets.
  • Curve 125 represents the 31 225 / 150 to 225 / 4500 line/space pair sets.
  • Curve 730 represents the 31 250 / 150 to 250 / 4500 line/space pair sets.
  • Curve 135 represents the 31 275 / 150 to 275 / 4500 line/space pair sets.
  • Curve 140 represents the 31 300 / 150 to 300 / 4500 line/space pair sets.
  • Curve 145 represents the 31 350 / 150 to 350 / 4500 line/space pair sets.
  • Curve 150 represents the 31 400 / 150 to 400 / 4500 line/space pair sets.
  • Curve 155 represents the 31 450 / 150 to 450 / 4500 line/space pair sets.
  • the x or space axis is divided into seven regions: 160 , 165 , 170 , 175 , 780 , 185 and 190 .
  • Each region 160 , 165 , 170 , 175 , 180 , 185 and 190 corresponds to a different SRAF rule corresponding to FIGS. 1A through 1G respectively.
  • curves 110 , 115 , 120 , 125 , 130 , 135 , 140 , 145 , 150 and 155 are not flat and exhibit a deviation range of 166 nm from design nominal.
  • Application of rule based OPC results in a similar set of curves to those in FIG. 2 with in deviation range of 122 nm. from design nominal, a relatively minor improvement of only 44 nm.
  • OPC rules are pitch based and assume identical optical environments for all line/space pairs within a pitch set.
  • a pitch set is comprised of all line/space pairs having the same sum of the value of the linewidth added to the spacewidth.
  • adding SRAFs results in different line/space pairs having different optical environments.
  • the pitch set of 1000 nm includes the following 4 line/space pairs: 750 / 250 , 600 / 400 , 500 / 500 and 250 / 750 .
  • the 750 / 250 -line/space pair may have no SRAF
  • the 600 / 400 -line/space pair may have one SRAF
  • the 500 / 500 -line/space pair may have one wider SRAF
  • the 250 / 750 -line/space pair may have two SRAFs.
  • the present invention provides for OPC rules that take into account the presence of SRAFs.
  • FIG. 3 is a flowchart illustrating a generic method for determining OPC rules according to the present invention.
  • step 200 a series of line/space pair sets is generated (e.g. using a real or simulated grating as described supra). There are L different linewidths and S different spacewidths for a total of L times S line/space pairs in S line/space pair sets. Line space/pair sets are designated as groups of line/space pairs having a common spacewidth. The line/space pairs cover the full range of design options of an integrated circuit.
  • a set of bias values to apply to each linewidth is generated. There are B different bias values. Bias values include negative, zero and positive values. The B bias values selected cover the full range of OPC values that the design/optical system would require.
  • step 205 counters S (for line/space set) and L (for linewidth within the line/space set) are initialized to 1.
  • step 270 the next line/space set is selected (and S is incremented by 1).
  • step 215 the next linewidth L in line/space set S is selected, after which the L counter is incremented by 1.
  • step 220 SRAFs are added to the current line/space pair based on SRAF rules 225 .
  • SRAF rules 225 are line/space based as discussed supra.
  • B copies of the line/space pair with SRAFs are generated.
  • step 230 a different bias (as generated in step 200 ) is applied to each line/space copy.
  • the bias is applied only to the line and not to any SRAFs.
  • the key feature of the sequence is SRAFs are always applied to lines having zero applied bias before applying bias to those lines.
  • step 235 it is determined if there is another line/space pair (another line value) in the current line/space pair set. If there is then the method loops to step 215 , if not the method proceeds to step 240 where the L counter is reset to 1.
  • step 245 it is determined if all line/space sets have been processed. If not, the method loops to step 210 .
  • step 250 the all the line/space pairs are printed either real or simulated (there are L ⁇ S ⁇ B line/space pairs), and the deviation of each lines linewidth in each line/space pair from the designed linewidth for that line is determined.
  • this data is arranged in a matrix sorted vertically first by spacewidth and then by linewidth and horizontally by bias, a table is produced from which OPC rules that are corrected for SRAFs may be calculated. This may be more easily seen by reference to FIG. 4.
  • the table illustrated in FIG. 4 was derived using the simulated grating describe supra and the method illustrated in FIG. 3 and described supra.
  • FIG. 4 is a table illustrating exemplary data generated by the present invention that is used to create exemplary OPC rules according to the present invention.
  • matrix 255 the S column indicates the design width of the space in the line/space pair and the L column indicates the design width of the line in the line/space pair. Since the first sort is by space, the matrix is arranged in sets of line/pairs 260 having a common design space width. Columns 265 are sorted from most negative bias to most positive bias applied to the line of each row (see step 230 of FIG. 3). In the present example, the bias ranges from ⁇ 70 nm to 70 nm in 10 nm increments. The applied bias is indicated in a header row 270 .
  • each cell of matrix 255 (excluding the L and S columns and header row 270 ) is the deviation from design of the printed line.
  • the actual data in FIG. 4 was generated by simulation using the simulated set of gratings and SRAFs described supra.
  • An x indicates that there was no solution to the aerial image.
  • the OPC rule for each line/space pair is determined from a x-y plot of applied bias (row 270 ) along the y-axis and the deviation of linewidth from design for a given line/space pair along the x-axis.
  • the x-intercept of the resultant curve is the amount of OPC correction to be applied to that line/space pair when SRAFs have been incorporated into the design.
  • FIG. 5 is a plot of measured image size versus design space illustrating the improvement provided by the present invention.
  • the same aerial image simulator as used supra was used to simulate exposure of the simulated grating after processing the grating as illustrated in FIG. 3 and described supra.
  • the measured line width versus design space for each set of linewidths is plotted.
  • Curve 110 A represents the 31 150 / 150 to 150 / 4500 line/space pair sets.
  • Curve 115 A represents the 31 175 / 150 to 175 / 4500 line/space pair sets.
  • Curve 120 A represents the 31 200 / 150 to 200 / 4500 line/space pair sets.
  • Curve 125 A represents the 31 225 / 150 to 225 / 4500 line/space pair sets.
  • Curve 730 A represents the 31 250 / 150 to 250 / 4500 line/space pair sets.
  • Curve 135 A represents the 31 275 / 150 to 275 / 4500 line/space pair sets.
  • Curve 140 A represents the 31 300 / 150 to 300 / 4500 line/space pair sets.
  • Curve 145 A represents the 31 350 / 150 to 350 / 4500 line/space pair sets.
  • Curve 150 A represents the 31 400 / 150 to 400 / 4500 line/space pair sets.
  • Curve 155 A represents the 31 450 / 150 to 450 / 4500 line/space pair sets.
  • curves 110 A, 115 A, 120 A, 125 A, 130 A, 135 A, 140 A, 145 A, 150 A and 155 A are very flat and exhibit a very small deviation range from design nominal of less than 4 nm.
  • FIG. 6 is a flowchart of a first embodiment of the present invention.
  • all OPC biases are determined using simulation only.
  • step 275 a design grating is created having L times S line/space pairs. The line/space pairs cover the full range of design options of an integrated circuit.
  • step 280 SRAFs are added to the current line/space pair based on SRAF rules 225 .
  • step 285 in simulation copies of the line/space pair with SRAFs (if any) are generated. Also, in step 285 , in simulation a different bias is applied to the line in each copy of each line/space pair.
  • the biases include negative, zero and positive values.
  • Steps 275 , 280 and 285 are similar to steps 200 , 205 , 210 , 215 , 220 , 230 , 235 , 240 and 245 of FIG. 3.
  • step 290 the negative, zero and positive bias cases (that is all cases) are simulated and the simulated deviation of each line width of each copy of each line/space pair from design is determined.
  • step 295 an OPC bias rule for each line/space pair is determined as described supra in reference to FIG. 4, that is, by determining the x-intercept of the deviation of each simulated line width of each copy of each line/space pair from design versus applied bias.
  • FIG. 7 is a flowchart of a second embodiment of the present invention. In the second embodiment of the present invention, all OPC biases are determined using a combination of simulation and actual printing and measurement. Essentially steps 290 and 295 of FIG. 6 are replaced with steps 300 , 305 , 310 , 315 and 320 in FIG. 7. Since steps, 275 , 280 and 285 are repeated in FIG. 7, they will not be discussed further.
  • step 300 is performed.
  • a zero bias grating is fabricated and printed. (The copies are made and multiple biases are still applied in step 285 , but that is in simulation).
  • step 305 the printed lines are measured.
  • step 310 the negative, zero and positive bias cases (that is all cases) are simulated and the deviation of each simulated line width of each copy of each line/space pair from design is determined.
  • step 315 an offset between the printed zero bias line widths and the simulated zero bias line widths is determined. This offset is applied to each simulated bias for all line/space pairs.
  • an OPC bias rule for each line/space pair is determined as described supra in reference to FIG.
  • Steps 300 , 305 , 310 and 315 in effect correct the simulation without requiring the more complex mask required by the third embodiment.
  • FIG. 8 is a flowchart of a third embodiment of the present invention.
  • all OPC biases are determined using only actual printing and measurement.
  • steps 290 and 295 of FIG. 6 is replaced by steps 320 and 325 in FIG. 8. Since steps, 275 , 280 and 285 are repeated in FIG. 8, they will not be discussed further.
  • step 325 is performed.
  • a mask having grating sets for the negative, zero and positive bias cases (that is all cases) is fabricated and the printed deviation of each line width of each copy of each line/space pair from design is determined.
  • an OPC bias rule for each line/space pair is determined as described supra in reference to FIG. 4, that is, by determining the x-intercept of the deviation of each printed line width of each copy of each line/space pair from design versus applied bias.
  • FIG. 9 is a flowchart illustrating creation of an optical mask according to the present invention.
  • FIG. 9 illustrates fabrication of an opaque layer on a glass mask according to the present invention wherein the lines of each line/space pair are fabricated in chrome.
  • Opaque layers may be fabricated from, for example, chrome, or light blocking material. Light attenuating materials may be substituted for opaque material and combinations of layers may be used.
  • a circuit design is selected. The circuit design determines the range of line/width pairs and OPC bias that will be required.
  • an exposure system and exposure parameters are determined. A simulated or actual grating having SRAFs (from SRAF rules 225 ) is generated, a negative, zero and positive bias versus linewidth deviation table is generated and line/space pair based OPC rules 360 are generated. Step 355 may employ any of the three embodiments of the present invention as illustrated in FIGS. 6, 7 and 8 and described supra.
  • a line (design feature) is selected from the circuit design selected in step 350 .
  • the line/space pair is determined for the current line.
  • the SRAFs (if any) are selected from SRAF rules 225 and applied to the line.
  • the OPC bias to apply to the line is selected from OPC line/space pair rules 360 and in step 385 , the OPC is applied to the line. Steps 365 , 370 , 375 , 380 and 385 are repeated until all lines in the circuit design are corrected.
  • the data generated/used in the methods of the invention are preferably embodied/stored in a computer and/or computer-readable medium, and the steps of the invention are preferably performed using a computer.
  • the invention also encompasses systems and/or apparatus for carrying out the various method(s) of the invention.
  • the invention encompasses systems and/or apparatus for determining an optical proximity correction for a primary feature having sub-resolution assist features for increasing the depth of focus of the primary features, comprising:(a)means for generating data describing a line/space pair;(b) means for generating data describing placement of sub-resolution assist features on opposite sides of the line of the line/space pair;(c)means for generating data describing a set of linewidth biases;(d)means for generating data describing a set of biased-line/space pairs by applying the data describing a set of linewidth biases to a portion of the data describing a line/space pair that corresponds to the line of the line/space pair;(e)means for generating data describing for each biased-line/space pair, a deviation from a design linewidth of the line/space pair when the set of biased-line/space
  • Means (a)-(f) preferably comprises executable code stored in a computer readable medium and a computer capable of executing the code.
  • the system may further include a means for input/output of data and/or interfacing with other software/computers used for generation of mask layout data.
  • the invention also encompasses computer programs stored in a computer-readable medium for carrying out the method(s) of the invention.
  • the invention encompasses computer programs having computer-executable code for:(a) generating data describing a line/space pair;(b)generating data describing placement of sub-resolution assist features on opposite sides of the line of the line/space pair; generating data describing a set of linewidth biases;(d)generating data describing a set of biased-line/space pairs by applying the data describing a set of linewidth biases to a portion of the data describing a line/space pair that corresponds to the line of the line/space pair;(e)generating data describing for each biased-line/space pair, a deviation from a design linewidth of the line/space pair when the set of biased-line/space pairs are printed or simulated; and(f)generating data describing a correction bias to apply to the line of the line/space pair based on the data describing the deviation.
  • the invention also encompasses a computer program stored in a computer-readable medium, the program performing a method of determining a set of optical proximity correction rules for primary features having sub-resolution assist features for increasing the depth of focus of the primary features, the program comprising computer-executable code for:(a) generating data describing a grating, the grating comprising sets of sets of line/space pairs, each set of line space/pairs comprising multiple copies of a unique combination of a linewidth value and a spacewidth value; (a)generating data describing a set of linewidth biases;(b)for data describing each line/space pair of a particular set of line/space pairs;(i)selecting data describing a sub-resolution assist features from data describing a set of sub-resolution assist features based on the spacewidth value of the line/width pair of the particular set of line/space pairs;(ii)generating data describing placement of the selected sub-resolution assist features on either side of
  • the invention also encompasses a computer program stored in a computer-readable medium, the program performing a method of optical proximity correction of primary features of a design having sub-resolution assist features for increasing the depth of focus of the primary features during operation of an optical lithography system by width biasing a light blocking layer on an optical mask, comprising:(a) selecting data describing a set of line/space pairs representative of each feature;(b) for each line/space pair of the set of line/space pairs;(i) generating data describing an identical set of line/space pairs representative of the feature;(ii) generating data describing placement of sub-resolution assist features on opposite sides of each line of each line/space pair, the selection of the sub-resolution assist features being on linewidth and space values of the line/space pair;(iii) generating data describing a set of linewidth biases;(iv) generating data describing a biased-line/space pair by applying data for a different linewidth bias of the set of linewidth biase

Abstract

A first aspect of the present invention is a method of determining an optical proximity correction for a primary feature having sub-resolution assist features for increasing the depth of focus of the primary features, comprising: generating a line/space pair; placing sub-resolution assist features on opposite sides of the line of the line/space pair; generating a set of linewidth biases; applying the set of linewidth biases to the line of the line/space pair to generate a set of biased-line/space pairs; determining for each biased-line/space pair, a deviation from a design linewidth of the line/space pair when the set of biased-line/space pairs are printed or simulated; and determining from the deviation a correction bias to apply to the line of the line/space pair. The invention also encompasses apparatus and computer programs for carrying out the methods.

Description

    BACKGROUND OF INVENTION FIELD OF THE INVENTION
  • The present invention relates to the field of optical lithography; more specifically, it relates to a method for correcting line width deviations. [0001]
  • Fabrication of modern integrated circuits typically involves lithographic transfer of a pattern disposed on a mask onto to a layer of photoresist on a substrate. The pattern on the mask defines the integrated circuit patterns. It has been observed, especially as pattern sizes have decreased, that differences in similar patterns in the integrated circuit arise based on the proximity of patterns relative to one another. Therefore, various techniques for optical proximity correction (OPC) have been developed. It has also been observed, again as pattern sizes have decreased, that isolated and nested images focus differently in photolithographic exposure systems. Techniques, distinct from OPC, have been developed to address this problem. However, as pattern sizes continue to decrease well into the sub-micron region, linewidth control and image quality issues still continue to be a concern and improved methods for linewidth control and image quality are required. [0002]
  • SUMMARY OF INVENTION
  • A first aspect of the present invention is a method of determining an optical proximity correction for a primary feature having sub-resolution assist features for increasing the depth of focus of the primary features, comprising: generating a line/space pair; placing sub-resolution assist features on opposite sides of the line of the line/space pair; generating a set of linewidth biases; applying the set of linewidth biases to the line of the line/space pair to generate a set of biased-line/space pairs; determining for each biased-line/space pair, a deviation from a design linewidth of the line/space pair when the set of biased-line/space pairs are printed or simulated; and determining from the deviation a correction bias to apply to the line of the line/space pair. [0003]
  • A second aspect of the present invention is a method of determining a set of optical proximity correction rules for primary features having sub-resolution assist features for increasing the depth of focus of the primary features, comprising: generating a grating, the grating comprising sets of sets of line/space pairs, each set of line space/pairs comprising multiple copies of a unique combination of a linewidth value and a spacewidth value; generating a set of linewidth biases; for each line/space pair of a particular set of line/width pairs; selecting a sub-resolution assist features from a set of sub-resolution assist features based on the spacewidth value of the line/space pair of the particular set of line/space pairs; placing the sub-resolution assist features on either side of each line of each line/space pair of the particular set of line/space pairs; and applying a different linewidth bias of the set of linewidth bias to each line of each line/space pair of the particular set of line/space pairs; determining deviations from design linewidths of the sets of line/space pairs produced by the applying the different linewidth bias of the set of linewidth bias to each line of each line/space pair of the particular set of line/space pair; and generating from the deviations from the design linewidths of the set of line/space pairs the set of optical proximity correction rules. [0004]
  • A third aspect of the present invention is a method of optical proximity correction of primary features of a design having sub-resolution assist features for increasing the depth of focus of the primary features during operation of an optical lithography system by width biasing a light blocking layer on an optical mask, comprising: (a) selecting a set of line/space pairs representative of each the feature; (b) for each line/space pair of the set of line/space pairs; (i) generating, an identical set of line/space pairs representative of the feature; (ii) placing sub-resolution assist features on opposite sides of each line of each line/space pair, selection of the sub-resolution assist features based on linewidth and space values of the line/space pair; (iii) generating a set of linewidth biases; (iv) applying the a different linewidth bias of the set of linewidth biases to the line of each line/space pair to generate a set of biased-line/space pairs; (v) determining for each biased-line/width pair, a deviation from a design linewidth of the line/space pair when the set of biased-line/space pairs are printed or simulated; and (vi) determining from the deviations, a set of optical proximity correction rules corresponding to each line/space pair, each rule a correction bias; (c) selecting a feature from the primary features; (d) determining a corresponding line/space pair from the set of line/space pairs representative of the feature; (e) placing sub-resolution assist features on opposite sides of the feature, selection of the sub-resolution assist feature based on linewidth and space values of the line/space pair representative of the feature; (f) selecting a correction bias from the set of optical proximity correction rules, selection of the correction bias based on linewidth and space values of the line/space pair representative of the feature; and (g) applying the correction bias to the feature. [0005]
  • The invention also encompasses apparatus, systems and software for carrying out methods of the invention. [0006]
  • These and other aspects of the invention are described in further detail below.[0007]
  • BRIEF DESCRIPTION OF DRAWINGS
  • The features of the invention are set forth in the appended claims. The invention itself, however, will be best understood by reference to the following detailed description of an illustrative embodiment when read in conjunction with the accompanying drawings, wherein: [0008]
  • FIGS. 1A through 1H illustrate possible sub-resolution assist features (SRAF) placements according to the present invention; [0009]
  • FIG. 2 is a plot of measured image size versus design space illustrating the problem solved by the present invention; [0010]
  • FIG. 3 is a flowchart illustrating a generic method for determining optical proximity correction (OPC) rules according to the present invention; [0011]
  • FIG. 4 is a table illustrating exemplary data generated by the present invention that is used to create exemplary OPC rules according to the present invention; [0012]
  • FIG. 5 is a plot of measured image size versus design space illustrating the improvement provided by the present invention; [0013]
  • FIG. 6 is a flowchart of a first embodiment of the present invention; [0014]
  • FIG. 7 is a flowchart of a second embodiment of the present invention; [0015]
  • FIG. 8 is a flowchart of a third embodiment of the present invention; and [0016]
  • FIG. 9 is a flowchart illustrating creation of an optical mask according to the present invention.[0017]
  • DETAILED DESCRIPTION
  • When the term line/space pair is used, it should be understood that the width of the line and the width of the space between the line and an adjacent line is being described. For the purposes of the present invention, a feature is defined as a line, a space or a line/space pair. It should also be understood that lines and spaces may be interchanged depending upon the polarity of the mask supporting the features. [0018]
  • For the purposes of the present invention, the term printed is defined as an actual measurement of a structure on a wafer. The measurement can be performed at any point from formation of a latent image in a photoresist layer to a point after all processing of the wafer is complete. A printed image can be measured optically, by electron microscopy or by electrical measurement. [0019]
  • Optical proximity correction (OPC) rules are designed to optimize the exposure dose (light energy) given the focal properties of an optical lithography system in order to produce printed lines that match the design widths of the lines. A simple example of an OPC rule would state for a given line/space combination (exposed on a given optical lithographic system) the line width on the optical mask should be increased (or decreased) by a specified amount in order to print the designed line width in the photoresist layer. [0020]
  • Sub-resolution assist features (SRAF) are features, too narrow to be resolved by the optical lithographic system, added on either side of a line to improve the sharpness of a line, whether or not it matches the design size. The effect of SRAFs are to make semi-isolated and isolated lines behave more like nested lines (lines in close proximity to one another) since nested lines resolve with better depth of focus (sharper images) then isolated lines in a given optical photolithographic system. [0021]
  • When SRAFs are used, three variables must be controlled. The first is the width of each SRAF. The second is the width of the space between the SRAF and line, and between SRAFs. The third is the number of SRAFs. At one limit, the distance between adjacent lines is too small to put in any SRAFs. At the other limit, a maximum of four SRAFs may be put in (more than four generally adds no improvement in image quality). [0022]
  • FIGS. 1A through 1H illustrate possible SRAF placements according to the present invention. In FIG. 1A, there are no SRAFs placed between [0023] primary lines 100. Lines 100 have a width L and the space between lines 100 has a width S. The values of L and S constitute a line/space combination. In FIG. 1B, there is one SRAF 105 centered between primary lines 100. SRAF 105 has a width A1. In FIG. 1C, there is one SRAF 105A centered between primary lines 100. SRAF 105A has a width A2. SRAF 105A is wider than SRAF 105 in FIG. 1B (i.e. A2 is greater than A1). In FIG. 1D, there are two SRAFs 105 between primary lines 110. The spaces S1 and S2 are equal. In FIG. 1E, there are two SRAFs 105 between primary lines 110. The space S2 is greater than the spaces S1. In FIG. 1F, there are three SRAFs 105 between primary lines 110. In FIG. 1F, The SRAF 105 to SRAF 105 distance and the SRAF 105 to line 100 distance are equal. In FIG. 1G, there are four SRAFs 105 between primary lines 110. In FIG. 1G, SRAF 105 to SRAF 105 distances and the SRAF 105 to line 100 distances are equal. In FIG. 1H, a single isolated line 100 is illustrated with two SRAFs 105 on either side of the line.
  • In FIGS. 1A through 1H L and S are illustrated as being the same. This is generally not the case. In practice the number, width and placement of [0024] SRAFs 105 are rule based, the rules being a function of L and S combinations. L and S are illustrated as being the same because a simulated line/space grating used in development of the present invention was constructed in that manner.
  • A simulated set of gratings having linewidths (in nm) of 150, 175, 200, 225, 250, 275, 300, 350, 400 and 450 in combination with spacewidths (in nm) of 150, 175, 200, 225, 250, 275, 300, 350, 400, 450, 500, 550, 600, 650, 100, 750, 800, 900, 950, 1000, 1200, 1400, 1600, 1800, 2000, 2500, 3000, 3500, 4000 and 4500 was generated. This set of gratings is exemplary and was used to generate the data illustrated in FIGS. 2, 4, and [0025] 5 and described infra. Other linewidth/spacewidth dimensions and combination may be used. Since there are 10 linewidths and 31 spacewidths, the grating had 310 line/space pairs. Each grating generally included seven to nine lines (about 2× the optical radius of the of the lithographic system). SRAFs were added to each grating according to a set of rules as illustrated in FIGS. 1A though 1G. Exposure was simulated using an optical lithography system with a numerical aperture (NA) of 0.6 at a wavelength of 248 nm with an annular illumination of 0.75 nm outer and 0.5 nm inner standard deviation. The dose was set for the 150/150 line/space pair. No OPC has been performed. The results are presented in FIG. 2. FIG. 2 is a plot of measured image size versus design space illustrating the problem solved by the present invention. An aerial image simulator was used to simulate exposure of the simulated grating described supra, and to measure the resultant image sizes. In FIG, 2, the measured linewidth versus design space for each set of linewidths is plotted. Curve 120 represents the 31 150/150 to 150/4500 line/space pair sets. Curve 715 represents the 31 175/150 to 175/4500 line/space pair sets. Curve 120 represents the 31 200/150 to 200/4500 line/space pair sets. Curve 125 represents the 31 225/150 to 225/4500 line/space pair sets. Curve 730 represents the 31 250/150 to 250/4500 line/space pair sets. Curve 135 represents the 31 275/150 to 275/4500 line/space pair sets. Curve 140 represents the 31 300/150 to 300/4500 line/space pair sets. Curve 145 represents the 31 350/150 to 350/4500 line/space pair sets. Curve 150 represents the 31 400/150 to 400/4500 line/space pair sets. Curve 155 represents the 31 450/150 to 450/4500 line/space pair sets.
  • The x or space axis is divided into seven regions: [0026] 160, 165, 170, 175, 780, 185 and 190. Each region 160, 165, 170, 175, 180, 185 and 190 corresponds to a different SRAF rule corresponding to FIGS. 1A through 1G respectively. It can be readily seen that curves 110, 115, 120, 125, 130, 135, 140, 145, 150 and 155 are not flat and exhibit a deviation range of 166 nm from design nominal. Application of rule based OPC results in a similar set of curves to those in FIG. 2 with in deviation range of 122 nm. from design nominal, a relatively minor improvement of only 44 nm.
  • The reason for the failure of rule based OPC to sufficiently correct SRAF designs is that OPC rules are pitch based and assume identical optical environments for all line/space pairs within a pitch set. A pitch set is comprised of all line/space pairs having the same sum of the value of the linewidth added to the spacewidth. However, adding SRAFs results in different line/space pairs having different optical environments. For example, the pitch set of 1000 nm includes the following 4 line/space pairs: [0027] 750/250, 600/400, 500/500 and 250/750. The 750/250-line/space pair may have no SRAF, the 600/400-line/space pair may have one SRAF, the 500/500-line/space pair may have one wider SRAF and the 250/750-line/space pair may have two SRAFs. The present invention provides for OPC rules that take into account the presence of SRAFs.
  • FIG. 3 is a flowchart illustrating a generic method for determining OPC rules according to the present invention. In [0028] step 200, a series of line/space pair sets is generated (e.g. using a real or simulated grating as described supra). There are L different linewidths and S different spacewidths for a total of L times S line/space pairs in S line/space pair sets. Line space/pair sets are designated as groups of line/space pairs having a common spacewidth. The line/space pairs cover the full range of design options of an integrated circuit. Also in step 200, a set of bias values to apply to each linewidth is generated. There are B different bias values. Bias values include negative, zero and positive values. The B bias values selected cover the full range of OPC values that the design/optical system would require.
  • In [0029] step 205, counters S (for line/space set) and L (for linewidth within the line/space set) are initialized to 1. In step 270, the next line/space set is selected (and S is incremented by 1). In step 215, the next linewidth L in line/space set S is selected, after which the L counter is incremented by 1. In step 220, SRAFs are added to the current line/space pair based on SRAF rules 225. SRAF rules 225 are line/space based as discussed supra. Also, in step 220, B copies of the line/space pair with SRAFs (if any) are generated.
  • In [0030] step 230, a different bias (as generated in step 200) is applied to each line/space copy. The bias is applied only to the line and not to any SRAFs. The key feature of the sequence is SRAFs are always applied to lines having zero applied bias before applying bias to those lines. In step 235 it is determined if there is another line/space pair (another line value) in the current line/space pair set. If there is then the method loops to step 215, if not the method proceeds to step 240 where the L counter is reset to 1. Next, in step 245, it is determined if all line/space sets have been processed. If not, the method loops to step 210. If all line/space sets have been processed than in step 250, the all the line/space pairs are printed either real or simulated (there are L×S×B line/space pairs), and the deviation of each lines linewidth in each line/space pair from the designed linewidth for that line is determined. When this data is arranged in a matrix sorted vertically first by spacewidth and then by linewidth and horizontally by bias, a table is produced from which OPC rules that are corrected for SRAFs may be calculated. This may be more easily seen by reference to FIG. 4. The table illustrated in FIG. 4 was derived using the simulated grating describe supra and the method illustrated in FIG. 3 and described supra. FIG. 4 is a table illustrating exemplary data generated by the present invention that is used to create exemplary OPC rules according to the present invention. In FIG. 4, matrix 255, the S column indicates the design width of the space in the line/space pair and the L column indicates the design width of the line in the line/space pair. Since the first sort is by space, the matrix is arranged in sets of line/pairs 260 having a common design space width. Columns 265 are sorted from most negative bias to most positive bias applied to the line of each row (see step 230 of FIG. 3). In the present example, the bias ranges from −70 nm to 70 nm in 10 nm increments. The applied bias is indicated in a header row 270. The value in each cell of matrix 255 (excluding the L and S columns and header row 270) is the deviation from design of the printed line. The actual data in FIG. 4 was generated by simulation using the simulated set of gratings and SRAFs described supra. An x indicates that there was no solution to the aerial image. The OPC rule for each line/space pair is determined from a x-y plot of applied bias (row 270) along the y-axis and the deviation of linewidth from design for a given line/space pair along the x-axis. The x-intercept of the resultant curve is the amount of OPC correction to be applied to that line/space pair when SRAFs have been incorporated into the design. Of course, actual plots need not be made, and any number of types of curve fitting algorithms may be used to find the most accurate x-intercept. In the present example, the OPC bias that should be applied to a line described by line/space pair 150/300 would be −13 nm.
  • FIG. 5 is a plot of measured image size versus design space illustrating the improvement provided by the present invention. The same aerial image simulator as used supra was used to simulate exposure of the simulated grating after processing the grating as illustrated in FIG. 3 and described supra. In FIG, [0031] 5, the measured line width versus design space for each set of linewidths is plotted. Curve 110A represents the 31 150/150 to 150/4500 line/space pair sets. Curve 115A represents the 31 175/150 to 175/4500 line/space pair sets. Curve 120A represents the 31 200/150 to 200/4500 line/space pair sets. Curve 125A represents the 31 225/150 to 225/4500 line/space pair sets. Curve 730A represents the 31 250/150 to 250/4500 line/space pair sets. Curve 135A represents the 31 275/150 to 275/4500 line/space pair sets. Curve 140A represents the 31 300/150 to 300/4500 line/space pair sets. Curve 145A represents the 31 350/150 to 350/4500 line/space pair sets. Curve 150A represents the 31 400/150 to 400/4500 line/space pair sets. Curve 155A represents the 31 450/150 to 450/4500 line/space pair sets.
  • It can be readily seen that curves [0032] 110A, 115A, 120A, 125A, 130A, 135A, 140A, 145A, 150A and 155A are very flat and exhibit a very small deviation range from design nominal of less than 4 nm.
  • FIG. 6 is a flowchart of a first embodiment of the present invention. In the first embodiment of the present invention, all OPC biases are determined using simulation only. In [0033] step 275, a design grating is created having L times S line/space pairs. The line/space pairs cover the full range of design options of an integrated circuit. In step 280, SRAFs are added to the current line/space pair based on SRAF rules 225. In step 285, in simulation copies of the line/space pair with SRAFs (if any) are generated. Also, in step 285, in simulation a different bias is applied to the line in each copy of each line/space pair. The biases include negative, zero and positive values. The bias values selected cover the full range of OPC values that the design/optical system would require. Steps 275, 280 and 285 are similar to steps 200, 205, 210, 215, 220, 230, 235, 240 and 245 of FIG. 3.
  • In [0034] step 290 the negative, zero and positive bias cases (that is all cases) are simulated and the simulated deviation of each line width of each copy of each line/space pair from design is determined. In step 295, an OPC bias rule for each line/space pair is determined as described supra in reference to FIG. 4, that is, by determining the x-intercept of the deviation of each simulated line width of each copy of each line/space pair from design versus applied bias. FIG. 7 is a flowchart of a second embodiment of the present invention. In the second embodiment of the present invention, all OPC biases are determined using a combination of simulation and actual printing and measurement. Essentially steps 290 and 295 of FIG. 6 are replaced with steps 300, 305, 310, 315 and 320 in FIG. 7. Since steps, 275, 280 and 285 are repeated in FIG. 7, they will not be discussed further.
  • After [0035] step 285, step 300 is performed. In step 300, a zero bias grating is fabricated and printed. (The copies are made and multiple biases are still applied in step 285, but that is in simulation). In step 305, the printed lines are measured. In step 310, the negative, zero and positive bias cases (that is all cases) are simulated and the deviation of each simulated line width of each copy of each line/space pair from design is determined. Then in step 315, an offset between the printed zero bias line widths and the simulated zero bias line widths is determined. This offset is applied to each simulated bias for all line/space pairs. In step 320, an OPC bias rule for each line/space pair is determined as described supra in reference to FIG. 4, that is, by determining the x-intercept of the deviation of each simulated line width (after applying the offset correction) of each copy of each line/space pair from design versus applied bias. Steps 300, 305, 310 and 315 in effect correct the simulation without requiring the more complex mask required by the third embodiment.
  • FIG. 8 is a flowchart of a third embodiment of the present invention. In the third embodiment of the present invention, all OPC biases are determined using only actual printing and measurement. Essentially, steps [0036] 290 and 295 of FIG. 6 is replaced by steps 320 and 325 in FIG. 8. Since steps, 275, 280 and 285 are repeated in FIG. 8, they will not be discussed further.
  • After [0037] step 285, step 325 is performed. In step 325, a mask having grating sets for the negative, zero and positive bias cases (that is all cases) is fabricated and the printed deviation of each line width of each copy of each line/space pair from design is determined. In step 330, an OPC bias rule for each line/space pair is determined as described supra in reference to FIG. 4, that is, by determining the x-intercept of the deviation of each printed line width of each copy of each line/space pair from design versus applied bias. FIG. 9 is a flowchart illustrating creation of an optical mask according to the present invention. FIG. 9 illustrates fabrication of an opaque layer on a glass mask according to the present invention wherein the lines of each line/space pair are fabricated in chrome. Opaque layers may be fabricated from, for example, chrome, or light blocking material. Light attenuating materials may be substituted for opaque material and combinations of layers may be used. In step 350, a circuit design is selected. The circuit design determines the range of line/width pairs and OPC bias that will be required. In step 355, an exposure system and exposure parameters are determined. A simulated or actual grating having SRAFs (from SRAF rules 225) is generated, a negative, zero and positive bias versus linewidth deviation table is generated and line/space pair based OPC rules 360 are generated. Step 355 may employ any of the three embodiments of the present invention as illustrated in FIGS. 6, 7 and 8 and described supra. In step 365, a line (design feature) is selected from the circuit design selected in step 350. In step 370, the line/space pair is determined for the current line. In step 375 the SRAFs (if any) are selected from SRAF rules 225 and applied to the line. In step 380 the OPC bias to apply to the line is selected from OPC line/space pair rules 360 and in step 385, the OPC is applied to the line. Steps 365, 370, 375, 380 and 385 are repeated until all lines in the circuit design are corrected.
  • The data generated/used in the methods of the invention are preferably embodied/stored in a computer and/or computer-readable medium, and the steps of the invention are preferably performed using a computer. [0038]
  • The invention also encompasses systems and/or apparatus for carrying out the various method(s) of the invention. For example, the invention encompasses systems and/or apparatus for determining an optical proximity correction for a primary feature having sub-resolution assist features for increasing the depth of focus of the primary features, comprising:(a)means for generating data describing a line/space pair;(b) means for generating data describing placement of sub-resolution assist features on opposite sides of the line of the line/space pair;(c)means for generating data describing a set of linewidth biases;(d)means for generating data describing a set of biased-line/space pairs by applying the data describing a set of linewidth biases to a portion of the data describing a line/space pair that corresponds to the line of the line/space pair;(e)means for generating data describing for each biased-line/space pair, a deviation from a design linewidth of the line/space pair when the set of biased-line/space pairs are printed or simulated; and(f)means for generating data describing a correction bias to apply to the line of the line/space pair based on the data describing the deviation. [0039]
  • Means (a)-(f) preferably comprises executable code stored in a computer readable medium and a computer capable of executing the code. The system may further include a means for input/output of data and/or interfacing with other software/computers used for generation of mask layout data. [0040]
  • The invention also encompasses computer programs stored in a computer-readable medium for carrying out the method(s) of the invention. For example, the invention encompasses computer programs having computer-executable code for:(a) generating data describing a line/space pair;(b)generating data describing placement of sub-resolution assist features on opposite sides of the line of the line/space pair; generating data describing a set of linewidth biases;(d)generating data describing a set of biased-line/space pairs by applying the data describing a set of linewidth biases to a portion of the data describing a line/space pair that corresponds to the line of the line/space pair;(e)generating data describing for each biased-line/space pair, a deviation from a design linewidth of the line/space pair when the set of biased-line/space pairs are printed or simulated; and(f)generating data describing a correction bias to apply to the line of the line/space pair based on the data describing the deviation. [0041]
  • The invention also encompasses a computer program stored in a computer-readable medium, the program performing a method of determining a set of optical proximity correction rules for primary features having sub-resolution assist features for increasing the depth of focus of the primary features, the program comprising computer-executable code for:(a) generating data describing a grating, the grating comprising sets of sets of line/space pairs, each set of line space/pairs comprising multiple copies of a unique combination of a linewidth value and a spacewidth value; (a)generating data describing a set of linewidth biases;(b)for data describing each line/space pair of a particular set of line/space pairs;(i)selecting data describing a sub-resolution assist features from data describing a set of sub-resolution assist features based on the spacewidth value of the line/width pair of the particular set of line/space pairs;(ii)generating data describing placement of the selected sub-resolution assist features on either side of each line of each line/space pair of the particular set of line/space pairs; and(iii)generating data describing a biased-line/space pair by applying data describing a different one of the set of linewidth biases to a portion of the data describing a line/space pair that corresponds to the line of the line/space pair;(c) generating data describing deviations from design linewidths of the respective biased line/space pairs; and(d)generating data describing the set of optical proximity correction rules from the deviations. [0042]
  • The invention also encompasses a computer program stored in a computer-readable medium, the program performing a method of optical proximity correction of primary features of a design having sub-resolution assist features for increasing the depth of focus of the primary features during operation of an optical lithography system by width biasing a light blocking layer on an optical mask, comprising:(a) selecting data describing a set of line/space pairs representative of each feature;(b) for each line/space pair of the set of line/space pairs;(i) generating data describing an identical set of line/space pairs representative of the feature;(ii) generating data describing placement of sub-resolution assist features on opposite sides of each line of each line/space pair, the selection of the sub-resolution assist features being on linewidth and space values of the line/space pair;(iii) generating data describing a set of linewidth biases;(iv) generating data describing a biased-line/space pair by applying data for a different linewidth bias of the set of linewidth biases to the line of each line/space pair to generate a set of biased-line/space pairs;(v) generating data describing, for each biased-line/width pair, a deviation from a design linewidth of the line/space pair when the set of biased-line/space pairs are printed or simulated; and (vi) generating data, from the deviations, describing the set of optical proximity correction rules corresponding to each line/space pair, each rule comprising a correction bias;(c) selecting data describing a selected primary feature;(d) determining a corresponding line/space pair from the set of line/space pairs representative of the selected primary feature;(e) generating data describing placement of sub-resolution assist features on opposite sides of the selected primary feature, selection of the sub-resolution assist feature being based on linewidth and space values of the line/space pair representative of the feature;(f) selecting data describing a selected correction bias from the set of optical proximity correction rules, selection of the correction bias based on linewidth and space values of the line/space pair representative of the feature; and(g) applying the data for the selected correction bias to the data describing the selected feature the course of use, the computer programs of the invention may be resident in a computer which is part of a tool for design/generation of mask layout data. Alternatively, the programs of the invention may be in some other computer-accessible form (e.g., on separate computer, on portable computer-readable media (e.g., magnetic disk, hard drive, compact disk, etc.). [0043]
  • The description of the embodiments of the present invention is given above for the understanding of the present invention. It will be understood that the invention is not limited to the particular embodiments described herein, but is capable of various modifications, rearrangements and substitutions as will now become apparent to those skilled in the art without departing from the scope of the invention. Therefore, it is intended that the following claims cover all such modifications and changes as fall within the true spirit and scope of the invention. [0044]

Claims (23)

1. A method of determining an optical proximity correction for a primary feature having sub-resolution assist features for increasing the depth of focus of said primary features, comprising:
generating a line/space pair;
placing sub-resolution assist features on opposite sides of the line of said line/space pair;
generating a set of linewidth biases;
applying said set of linewidth biases to the line of said line/space pair to generate a set of biased-line/space pairs;
determining for each biased-line/space pair, a deviation from a design linewidth of said line/space pair when said set of biased-line/space pairs are printed or simulated; and
determining from said deviation a correction bias to apply to the line of said line/space pair.
2. The method of claim 1, wherein the step of placing sub-resolution assist features next to said line/space pair is performed before the step applying said set of linewidth biases to the line of said line/space pair.
3. The method of claim 1, wherein said set of linewidth biases includes zero bias and biases of positive and negative multiples of a constant value.
4. The method of claim 1, wherein the step of determining from said deviation a correction bias to apply to the line of said line/space pair includes determining a correction bias that results in substantially zero deviation.
5. The method of claim 1, wherein the step of determining from said deviation a correction bias includes generating a function of said deviation versus said set of applied linewidth biases and solving said function for the correction bias having zero deviation.
6. The method of claim 1, further including applying said correction bias to the line of said line/space pair.
7. A method of determining a set of optical proximity correction rules for primary features having sub-resolution assist features for increasing the depth of focus of said primary features, comprising:
generating a grating, said grating comprising sets of sets of line/space pairs, each set of line space/pairs comprising multiple copies of a unique combination of a linewidth value and a spacewidth value;
generating a set of linewidth biases;
for each line/space pair of a particular set of line/space pairs;
selecting a sub-resolution assist features from a set of sub-resolution assist features based on said spacewidth value of said line/width pair of said particular set of line/space pairs;
placing said sub-resolution assist features on either side of each line of each line/space pair of said particular set of line/space pairs; and
applying a different linewidth bias of said set of linewidth bias to each line of each line/space pair of said particular set of line/space pairs;
determining deviations from design linewidths of said sets of line/space pairs produced by said applying said different linewidth bias of said set of linewidth bias to each line of each line/space pair of said particular set of line/space pair; and
generating from said deviations from said design linewidths of said set of line/space pairs said set of optical proximity correction rules.
8. The method of claim 7, wherein the step of determining deviations from design linewidths of said sets of line/space pairs includes measuring simulated linewidths of each line/space pair of each set of line/space pairs after adding said sub-resolution assist features and said application of said linewidth biases.
9. The method of claim 7, wherein the step of determining deviations from design linewidths of said sets of line/space pairs includes measuring printed linewidths of each line/space pair of each set of line/space pairs after adding said sub-resolution assist features and said application of said linewidth biases.
10. The method of claim 7, wherein the step of placing sub-resolution assist features next to said line/space pair is performed before the step applying said different linewidth bias to each line of said line/space pairs.
11. The method of claim 7, wherein said set of linewidth biases includes zero bias and biases of positive and negative multiples of a constant value.
12. The method of claim 11 wherein the step of determining deviations from design linewidths of said sets of line/space pairs includes:
measuring printed linewidths of each line/space pair of each set of line/space pairs after adding said sub-resolution assist features and application of said zero bias to each line of said line/space pairs in order to generate a printed zero bias measurement set;
measuring simulated linewidths of each line/space pair of each set of line/space pairs after adding said sub-resolution assist features and said application of said linewidth biases in order to generate a simulated bias measurement set for each linewidth bias of said set of linewidth biases;
calculating a set of offsets as the difference between said printed zero bias measurement set and said simulated bias measurement sets; and adding said set of offset to said deviations.
13. The method of claim 7, wherein the step of determining from said deviations a linewidth bias to apply to said line/space pair includes determining a linewidth bias that results in substantially zero deviation.
14. The method of claim 7, wherein the step of determining deviations from design linewidths includes generating for each said line/space pair, a function of said deviations versus said set of applied linewidth biases and solving said function for a correction bias having zero deviation for each said line/space pair.
15. A method of optical proximity correction of primary features of a design having sub-resolution assist features for increasing the depth of focus of said primary features during operation of an optical lithography system by width biasing a light blocking layer on an optical mask, comprising:
(a) selecting a set of line/space pairs representative of each said feature;
(b) for each line/space pair of said set of line/space pairs;
(i) generating, an identical set of line/space pairs representative of said feature;
(ii) placing sub-resolution assist features on opposite sides of each line of each line/space pair, selection of said sub-resolution assist features based on linewidth and space values of said line/space pair;
(iii) generating a set of linewidth biases;
(iv) applying said a different linewidth bias of said set of linewidth biases to the line of each line/space pair to generate a set of biased-line/space pairs;
(v) determining for each biased-line/width pair, a deviation from a design linewidth of said line/space pair when said set of biased-line/space pairs are printed or simulated; and
(vi) determining from said deviations, a set of optical proximity correction rules corresponding to each line/space pair, each rule comprising a correction bias;
selecting a feature from said primary features;
(d) determining a corresponding line/space pair from said set of line/space pairs representative of said feature;
(e) placing sub-resolution assist features on opposite sides of said feature, selection of said sub-resolution assist feature based on linewidth and space values of said line/space pair representative of said feature;
(f) selecting a correction bias from said set of optical proximity correction rules, selection of said correction bias based on linewidth and space values of said line/space pair representative of said feature; and
(g) applying said correction bias to said feature.
16. The method of claim 15, wherein the step of placing sub-resolution assist features next to said line/space pair is performed before the step applying said different linewidth bias to said line/space pair.
17. The method of claim 15, wherein said set of linewidth biases includes zero bias and biases of positive and negative multiples of a constant incremental value.
18. The method of claim 15, wherein the step of determining from said deviations a linewidth bias to apply to said line/space pair includes determining a linewidth bias that results in substantially zero deviation.
19. The method of claim 15, wherein the step of determining from said deviations a linewidth bias includes generating a function of said deviations versus said set of applied linewidth biases and solving said function for the correction bias having zero deviation.
20. The method of claim 15, wherein said feature are lines including material selected from the group consisting of chrome, light attenuating material, light blocking material and combinations thereof.
21. A computer program in a computer readable medium, said program comprising computer-executable code for carrying out the steps of claim 1.
22. A computer program in a computer readable medium, said program comprising computer-executable code for carrying out the steps of claim 7.
23. A computer program in a computer readable medium, said program comprising computer-executable code for carrying out the steps of claim 15.
US10/248,815 2003-02-21 2003-02-21 Method of optical proximity correction with sub-resolution assists Expired - Fee Related US6777146B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/248,815 US6777146B1 (en) 2003-02-21 2003-02-21 Method of optical proximity correction with sub-resolution assists

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/248,815 US6777146B1 (en) 2003-02-21 2003-02-21 Method of optical proximity correction with sub-resolution assists

Publications (2)

Publication Number Publication Date
US6777146B1 US6777146B1 (en) 2004-08-17
US20040166418A1 true US20040166418A1 (en) 2004-08-26

Family

ID=32849402

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/248,815 Expired - Fee Related US6777146B1 (en) 2003-02-21 2003-02-21 Method of optical proximity correction with sub-resolution assists

Country Status (1)

Country Link
US (1) US6777146B1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060046160A1 (en) * 2004-09-02 2006-03-02 Intel Corporation Sub-resolution assist features
US20060070018A1 (en) * 2004-09-24 2006-03-30 Armin Semmler Method for producing a mask layout avoiding imaging errors for a mask
US20080054392A1 (en) * 2006-08-29 2008-03-06 International Business Machines Corporation Bridge for semiconductor internal node
US20080113280A1 (en) * 2005-07-22 2008-05-15 Fujitsu Limited Creating method of photomask pattern data, photomask created by using the photomask pattern data, and manufacturing method of semiconductor apparatus using the photomask
US20090276750A1 (en) * 2008-05-05 2009-11-05 Promos Technologies Inc. Method for establishing scattering bar rule
US7682757B2 (en) 2005-04-12 2010-03-23 Kabushiki Kaisha Toshiba Pattern layout for forming integrated circuit
US20100099032A1 (en) * 2008-10-20 2010-04-22 Advanced Micro Devices, Inc. System for generating and optimizing mask assist features based on hybrid (model and rules) methodology
DE102005034669B4 (en) * 2005-07-25 2010-08-12 Qimonda Ag Photolithographic mask and method of forming a pattern on the mask

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7743359B2 (en) * 2005-05-02 2010-06-22 Cadence Design Systems, Inc. Apparatus and method for photomask design
US7512928B2 (en) * 2005-08-12 2009-03-31 Texas Instruments Incorporated Sub-resolution assist feature to improve symmetry for contact hole lithography
US20070048669A1 (en) * 2005-08-26 2007-03-01 Te-Hung Wu Method of forming the photo resist feature
JP2007164084A (en) * 2005-12-16 2007-06-28 Toshiba Corp Method for manufacturing photomask and method for manufacturing semiconductor device
JP5050365B2 (en) * 2006-02-23 2012-10-17 富士通セミコンダクター株式会社 Photomask manufacturing method
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8225239B2 (en) * 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US7932545B2 (en) * 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US7979829B2 (en) * 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US7888705B2 (en) 2007-08-02 2011-02-15 Tela Innovations, Inc. Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
KR100880232B1 (en) * 2007-08-20 2009-01-28 주식회사 동부하이텍 Fineness mask, and method of forming mask pattern using the same
US20090121357A1 (en) * 2007-11-08 2009-05-14 International Business Machines Corporation Design structure for bridge of a seminconductor internal node
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
WO2010008948A2 (en) 2008-07-16 2010-01-21 Tela Innovations, Inc. Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US20100325591A1 (en) * 2009-06-22 2010-12-23 Mentor Graphics Corporation Generation and Placement Of Sub-Resolution Assist Features
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
CN106292174B (en) * 2016-09-27 2019-12-20 上海华力微电子有限公司 Method for improving optical proximity correction accuracy
US10761430B2 (en) * 2018-09-13 2020-09-01 Applied Materials, Inc. Method to enhance the resolution of maskless lithography while maintaining a high image contrast
US11080458B2 (en) 2018-09-28 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography simulation method

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5725974A (en) * 1995-06-30 1998-03-10 Sony Corporation Method and apparatus for producing scanning data used to produce a photomask
US5821014A (en) * 1997-02-28 1998-10-13 Microunity Systems Engineering, Inc. Optical proximity correction method for intermediate-pitch features using sub-resolution scattering bars on a mask
US5862058A (en) * 1996-05-16 1999-01-19 International Business Machines Corporation Optical proximity correction method and system
US5866913A (en) * 1995-12-19 1999-02-02 International Business Machines Corporation Proximity correction dose modulation for E-beam projection lithography
US5900340A (en) * 1997-03-03 1999-05-04 Motorola, Inc. One dimensional lithographic proximity correction using DRC shape functions
US5965306A (en) * 1997-10-15 1999-10-12 International Business Machines Corporation Method of determining the printability of photomask defects
US6022644A (en) * 1998-03-18 2000-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Mask containing subresolution line to minimize proximity effect of contact hole
US6077310A (en) * 1995-12-22 2000-06-20 Kabushiki Kaisha Toshiba Optical proximity correction system
US6081658A (en) * 1997-12-31 2000-06-27 Avant! Corporation Proximity correction system for wafer lithography
US6114071A (en) * 1997-11-24 2000-09-05 Asml Masktools Netherlands B.V. Method of fine feature edge tuning with optically-halftoned mask
US6120953A (en) * 1999-04-23 2000-09-19 United Microelectronics Corp. Method of optical proximity correction
US6120952A (en) * 1998-10-01 2000-09-19 Micron Technology, Inc. Methods of reducing proximity effects in lithographic processes
US6139994A (en) * 1999-06-25 2000-10-31 Broeke; Doug Van Den Use of intersecting subresolution features for microlithography
US6171731B1 (en) * 1999-01-20 2001-01-09 Lsi Logic Corporation Hybrid aerial image simulation
US6175953B1 (en) * 1998-03-03 2001-01-16 Lsi Logic Corporation Method and apparatus for general systematic application of proximity correction
US6183916B1 (en) * 1999-09-13 2001-02-06 Taiwan Semiconductor Manufacturing Company Method for proximity effect compensation on alternative phase-shift masks with bias and optical proximity correction
US6194104B1 (en) * 1999-10-12 2001-02-27 Taiwan Semiconductor Manufacturing Company Optical proximity correction (OPC) method for improving lithography process window
US6197456B1 (en) * 1999-01-19 2001-03-06 Lsi Logic Corporation Mask having an arbitrary complex transmission function
US6214494B1 (en) * 1998-10-07 2001-04-10 International Business Machines Corporation Serif mask design methodology based on enhancing high spatial frequency contribution for improved printability

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5725974A (en) * 1995-06-30 1998-03-10 Sony Corporation Method and apparatus for producing scanning data used to produce a photomask
US5866913A (en) * 1995-12-19 1999-02-02 International Business Machines Corporation Proximity correction dose modulation for E-beam projection lithography
US6077310A (en) * 1995-12-22 2000-06-20 Kabushiki Kaisha Toshiba Optical proximity correction system
US5862058A (en) * 1996-05-16 1999-01-19 International Business Machines Corporation Optical proximity correction method and system
US5821014A (en) * 1997-02-28 1998-10-13 Microunity Systems Engineering, Inc. Optical proximity correction method for intermediate-pitch features using sub-resolution scattering bars on a mask
US5900340A (en) * 1997-03-03 1999-05-04 Motorola, Inc. One dimensional lithographic proximity correction using DRC shape functions
US5965306A (en) * 1997-10-15 1999-10-12 International Business Machines Corporation Method of determining the printability of photomask defects
US6114071A (en) * 1997-11-24 2000-09-05 Asml Masktools Netherlands B.V. Method of fine feature edge tuning with optically-halftoned mask
US6081658A (en) * 1997-12-31 2000-06-27 Avant! Corporation Proximity correction system for wafer lithography
US6175953B1 (en) * 1998-03-03 2001-01-16 Lsi Logic Corporation Method and apparatus for general systematic application of proximity correction
US6022644A (en) * 1998-03-18 2000-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Mask containing subresolution line to minimize proximity effect of contact hole
US6120952A (en) * 1998-10-01 2000-09-19 Micron Technology, Inc. Methods of reducing proximity effects in lithographic processes
US6214494B1 (en) * 1998-10-07 2001-04-10 International Business Machines Corporation Serif mask design methodology based on enhancing high spatial frequency contribution for improved printability
US6197456B1 (en) * 1999-01-19 2001-03-06 Lsi Logic Corporation Mask having an arbitrary complex transmission function
US6171731B1 (en) * 1999-01-20 2001-01-09 Lsi Logic Corporation Hybrid aerial image simulation
US6120953A (en) * 1999-04-23 2000-09-19 United Microelectronics Corp. Method of optical proximity correction
US6139994A (en) * 1999-06-25 2000-10-31 Broeke; Doug Van Den Use of intersecting subresolution features for microlithography
US6183916B1 (en) * 1999-09-13 2001-02-06 Taiwan Semiconductor Manufacturing Company Method for proximity effect compensation on alternative phase-shift masks with bias and optical proximity correction
US6194104B1 (en) * 1999-10-12 2001-02-27 Taiwan Semiconductor Manufacturing Company Optical proximity correction (OPC) method for improving lithography process window

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7759028B2 (en) 2004-09-02 2010-07-20 Intel Corporation Sub-resolution assist features
US7632610B2 (en) 2004-09-02 2009-12-15 Intel Corporation Sub-resolution assist features
US20100068633A1 (en) * 2004-09-02 2010-03-18 Intel Corporation Sub-resolution assist features
US20060046160A1 (en) * 2004-09-02 2006-03-02 Intel Corporation Sub-resolution assist features
US7346885B2 (en) * 2004-09-24 2008-03-18 Qimonda Ag Method for producing a mask layout avoiding imaging errors for a mask
US20060070018A1 (en) * 2004-09-24 2006-03-30 Armin Semmler Method for producing a mask layout avoiding imaging errors for a mask
US7682757B2 (en) 2005-04-12 2010-03-23 Kabushiki Kaisha Toshiba Pattern layout for forming integrated circuit
US20080113280A1 (en) * 2005-07-22 2008-05-15 Fujitsu Limited Creating method of photomask pattern data, photomask created by using the photomask pattern data, and manufacturing method of semiconductor apparatus using the photomask
US7971160B2 (en) 2005-07-22 2011-06-28 Fujitsu Semiconductor Limited Creating method of photomask pattern data, photomask created by using the photomask pattern data, and manufacturing method of semiconductor apparatus using the photomask
DE112005003638B4 (en) 2005-07-22 2018-10-25 Fujitsu Semiconductor Ltd. Method for producing photomask structure data and method for producing a semiconductor device
DE102005034669B4 (en) * 2005-07-25 2010-08-12 Qimonda Ag Photolithographic mask and method of forming a pattern on the mask
US20080054392A1 (en) * 2006-08-29 2008-03-06 International Business Machines Corporation Bridge for semiconductor internal node
US20090096101A1 (en) * 2006-08-29 2009-04-16 International Business Machines Corporation Bridge for semiconductor internal node
US8178931B2 (en) 2006-08-29 2012-05-15 International Business Machines Corporation Bridge for semiconductor internal node
US7510960B2 (en) 2006-08-29 2009-03-31 International Business Machines Corporation Bridge for semiconductor internal node
US20090276750A1 (en) * 2008-05-05 2009-11-05 Promos Technologies Inc. Method for establishing scattering bar rule
US8103978B2 (en) * 2008-05-05 2012-01-24 Promos Technologies Inc. Method for establishing scattering bar rule
US20100099032A1 (en) * 2008-10-20 2010-04-22 Advanced Micro Devices, Inc. System for generating and optimizing mask assist features based on hybrid (model and rules) methodology
US8103979B2 (en) * 2008-10-20 2012-01-24 Advanced Micro Devices, Inc. System for generating and optimizing mask assist features based on hybrid (model and rules) methodology

Also Published As

Publication number Publication date
US6777146B1 (en) 2004-08-17

Similar Documents

Publication Publication Date Title
US6777146B1 (en) Method of optical proximity correction with sub-resolution assists
US7172838B2 (en) Chromeless phase mask layout generation
US5801954A (en) Process for designing and checking a mask layout
US7512928B2 (en) Sub-resolution assist feature to improve symmetry for contact hole lithography
US8102408B2 (en) Computer-implemented methods and systems for determining different process windows for a wafer printing process for different reticle designs
KR100714480B1 (en) systems and methods for detecting focus variation in photolithograph process using test features printed from photomask test pattern images
US6785879B2 (en) Model-based data conversion
WO1999014638A1 (en) Design rule checking system and method
JP2011076119A (en) Multivariable solver for optical proximity correction
TW200527120A (en) A method for performing transmission tuning of a mask pattern to improve process latitude
US7131100B2 (en) Identifying phantom images generated by side-lobes
US6757886B2 (en) Alternating phase shift mask design with optimized phase shapes
US20080320435A1 (en) Optical proximity correction improvement by fracturing after pre-optical proximity correction
US6800406B2 (en) Method of generating optical assist features for two-mask exposure lithography
US20030121021A1 (en) System and method for determining manufacturing error enhancement factor
JP2002351051A (en) Optical proximity correction method using gray bar as sub-resolution assist feature
Maurer Mask specifications for 193-nm lithography
JP5579755B2 (en) Optical lithography equipment
US9213233B2 (en) Photolithography scattering bar structure and method
US7732103B2 (en) Photomask, focus measurement apparatus and focus measurement method
US6787272B2 (en) Assist feature for random, isolated, semi-dense, and other non-dense contacts
WO2006133729A1 (en) Method and system for photolithography
US7251806B2 (en) Model-based two-dimensional interpretation filtering
EP1197802B1 (en) Method of optical proximity correction
US6413685B1 (en) Method of reducing optical proximity effect

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SAMUELS, DONALD J.;REEL/FRAME:013434/0826

Effective date: 20030212

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20080817

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910