US20040185637A1 - Method to preserve alignment mark optical integrity - Google Patents

Method to preserve alignment mark optical integrity Download PDF

Info

Publication number
US20040185637A1
US20040185637A1 US10/394,089 US39408903A US2004185637A1 US 20040185637 A1 US20040185637 A1 US 20040185637A1 US 39408903 A US39408903 A US 39408903A US 2004185637 A1 US2004185637 A1 US 2004185637A1
Authority
US
United States
Prior art keywords
alignment mark
trenches
material layer
lines
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/394,089
Other versions
US6803291B1 (en
Inventor
Shih-Chi Fu
Feng-Jia Shiu
Chia-Tung Ho
Chih-Ta Wu
Ching-sen Kuo
Jieh-Jang Chen
Gwo-Yuh Shiau
Chia-Shiung Tsia
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US10/394,089 priority Critical patent/US6803291B1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, JIEH-JANG, FU, SHIH-CHI, HO, CHIA-TUNG, KUO, CHING-SEN, SHIAU, GWO-YUH, SHIU, FENG-JIA, TSAI, CHIA-CHUNG, WU, CHIH-TA
Priority to TW092118451A priority patent/TW590849B/en
Publication of US20040185637A1 publication Critical patent/US20040185637A1/en
Application granted granted Critical
Publication of US6803291B1 publication Critical patent/US6803291B1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54453Marks applied to semiconductor devices or parts for use prior to dicing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • This invention generally relates to semiconductor processing methods including photolithographic patterning and more particularly to a method for replicating alignment marks and preserving the optical signal integrity of alignment marks following an oxide CMP process.
  • semiconductor wafer alignment for positioning the semiconductor wafer for subsequent device feature patterning is critical.
  • an automated stepper for example, an ASM Lithography photo system sequentially positions the wafer beneath a photoimaging system for transferring a patterned photoimage of device features formed a reticle to expose a photoresist material overlying the semiconductor wafer surface.
  • ASM Lithography photo system sequentially positions the wafer beneath a photoimaging system for transferring a patterned photoimage of device features formed a reticle to expose a photoresist material overlying the semiconductor wafer surface.
  • methods for forming and preserving alignment marks to provide the necessary optical contrast have evolved to reduce optical alignment errors during the optical signal sensing and alignment process during photolithography.
  • At least two areas at the wafer periphery are selected, typically located on opposite sides of the wafer diameter and include a series of parallel trenches covering a rectangular or square area of about 50 square microns to about 400 square microns referred to as zero-level alignment marks that are etched into the silicon wafer before other processing steps.
  • the global alignment marks are subsequently replicated in each subsequent level of manufacturing a multi-level semiconductor device.
  • Shallow trench isolation is a preferred electrical isolation technique especially for a semiconductor chip with high integration.
  • STI features can be made using a variety of methods including, for example, the Buried Oxide (BOX) isolation method for shallow trenches.
  • the BOX method involves filling the trenches with a chemical vapor deposition (CVD) silicon oxide (SiO 2 ), also referred to as an STI oxide which is then chemically mechanically polished (CMP) to remove the overlying layer of STI oxide to yield a planar surface.
  • CVD chemical vapor deposition
  • SiO 2 silicon oxide
  • CMP chemically mechanically polished
  • the shallow trenches etched for the BOX process are anisotropically plasma etched into the substrate, for example, silicon, and are typically between 0.3 and 1.0 microns deep.
  • conventional methods of producing a shallow trench isolation feature include forming a hard mask, for example silicon nitride, over a semiconductor substrate followed by photolithographically patterning and anisotropically etching STI trench features into the semiconductor substrate. Subsequently, the STI trenches are backfilled with SiO 2 also referred to as an STI oxide by a CVD process followed by a chemical mechanical polish (CMP) process to polish back the STI oxide to define oxide filled STI trenches. Alignment mark areas on the wafer process surface undergo parallel processing including deposition of an STI oxide layer and are replicated for subsequent wafer alignment according to prior art processes by clearing out the STI oxide over the alignment mark areas prior to CMP.
  • CMP chemical mechanical polish
  • the relative contrast of the alignment marks which are detected by an auto-imaging system using for example, a Helium-Neon laser having a wavelength between about 500 nm to about 630 nm is generally unaffected by dielectric films transparent in this wavelength range which present little interference with reflections from an underlying alignment mark area, typically having a higher extinction coefficient material to provide contrast producing reflections.
  • the alignment mark areas frequently are covered with high extinction coefficient materials such as SiGe, silicon carbide (e.g., SiC), silicon oxynitride (e.g. SiON), metal salicides, polysilicon, and metallic layers.
  • STI trenches e.g., 12 A, 12 B, and 12 C formed through the thickness of a hard mask layer 14 , for example silicon nitride (e.g., Si 3 N 4 ), and underlying pad oxide layer (not shown), and into a silicon substrate 10 .
  • Adjacent the STI trenches is shown a portion of an alignment mark area including alignment mark trenches e.g., 16 A and a portion of alignment mark trench 16 B, the trenches formed by etching the silicon substrate 10 prior to other processing steps including forming the overlying silicon nitride layer 14 .
  • alignment mark trenches e.g., 16 A and a portion of alignment mark trench 16 B
  • an STI oxide layer 18 is deposited over the process surface followed by a photolithographic patterning process to form a protective photoresist layer e.g., 20 to cover active areas including STI trench areas overlying the STI trenches e.g., 12 A, 12 B, and 12 C and exposing areas of the STI oxide layer on the process surface including the wafer alignment mark areas.
  • a reverse mask etch process is carried out to remove a portion of the STI oxide layer 18 overlying relatively featureless areas of the process surface to improve a subsequent CMP polishing uniformity.
  • the STI oxide overlying the alignment mark areas including trenches 16 A and 16 B is frequently removed in the reverse mask etch process while leaving the STI oxide layer 18 and protective photoresist layer e.g. 20 overlying the STI trench area.
  • an oxide CMP process is then carried out to remove the STI oxide layer 18 overlying the STI trench areas.
  • the silicon nitride layer 14 is either thinned or completely polished through in areas around the alignment trenches, for example at corner portions, e.g., 16 C of the alignment trenches, undesirably degrading the optical contrast of the alignment marks.
  • a subsequent hot phosphoric acid etching process to remove the silicon nitride layer 14 may result in undesired etching of an underlying material, for example a silicon substrate or other etching susceptible layer, for example if an underlying pad oxide layer overlying a silicon substrate is partially removed in the CMP process.
  • an etching susceptible layer underlying a relatively thinner silicon nitride to maintain an STI trench aspect ratio.
  • hard mask layers such as silicon nitride are required to be thick enough to survive the STI oxide CMP process.
  • the thicker the oxide layer and the longer the oxide polishing process required the greater the potential of preferential polishing over the alignment mark area requiring a thicker hard mask layer.
  • methods of the prior art for preserving and replicating alignment marks limits the design thickness of layers, for example both hard mask layers and oxide layers in the design of various logic and memory devices in order to prevent degrading the alignment mark area optical contrast during and following a CMP process.
  • the present invention provides a method for protecting an alignment mark area during a CMP process.
  • the method includes forming at least a first material layer over a process surface of a semiconductor wafer including active areas and alignment mark trenches formed in the at least one alignment mark area; forming at least a second material layer over the first material layer including the active areas and the at least one alignment mark area; lithographically patterning and etching the at least a second material layer to form at least a plurality lines of the at least a second material layer adjacent to the alignment mark trenches; and, carrying out a CMP process to remove at least a portion of the at least a second material layer.
  • FIGS. 1A-1D are side view representations of an STI trench area and an alignment mark area undergoing an STI trench formation process according to the prior art.
  • FIG. 2A is a top planar view of an exemplary semiconductor wafer alignment mark area according to an embodiment of the present invention.
  • FIG. 2B is an expanded to planar view of an exemplary semiconductor wafer alignment mark area according to an embodiment of the present invention.
  • FIG. 2C is an expanded cross sectional side view of an exemplary semiconductor wafer alignment mark area according to an embodiment of the present invention.
  • FIGS. 3A-3D are cross sectional side views of an exemplary alignment mark area shown in juxtaposition to an active device area at different stages of an exemplary semiconductor device manufacture process according to an embodiment to the present invention.
  • FIG. 4 is an exemplary process flow diagram including several embodiments of the present invention.
  • the method of the present invention is explained in exemplary implementation with respect to a process for forming flash memory devices, it will be appreciated that the method of forming protective dummy lines within and around alignment mark areas according to embodiments of the present invention may be used in any semiconductor manufacturing process where the alignment marks are advantageously protected from preferential polishing in a CMP process.
  • the method of the present invention of forming at least one of guard rings and dummy alignment mark lines, preferably at least one guard ring and dummy alignment mark lines may advantageously be used in the formation of for example, CMOS image sensors and DRAM/MIM stacked capacitor packages where a relatively thick oxide layer is at least partially removed, preferably substantially removed over at least the alignment mark area prior to a CMP process.
  • the method of the present invention is explained by illustrating the parallel effect of exemplary processing steps of active areas of a semiconductor process wafer on alignment mark areas, for example global alignment mark areas (fields) disposed at peripheral portions of the semiconductor process wafer.
  • alignment mark areas for example global alignment mark areas (fields) disposed at peripheral portions of the semiconductor process wafer.
  • the method of the present invention is explained with reference to the parallel effects of active area processing on alignment mark areas in connection with the formation of shallow trench isolation (STI) features and subsequent processing steps used for forming CMOS semiconductor features.
  • active areas refers to areas of the semiconductor process surface where electrically active areas are formed for forming integrated circuit components of a semiconductor device.
  • anisotropic etching refers to plasma enhanced etching, for example reactive ion etching (RIE).
  • FIG. 2A a top planar view an exemplary alignment mark area, 24 , having four quadrants of parallel trenches e.g., 24 A, 24 B, 24 C, 24 D the trenches in each quadrant formed at right angles with respect to adjacent trenches within the alignment mark area.
  • the alignment mark area 24 comprises a rectangular area having a dimension of about 0.4 mm by 0.4 mm located in non-active areas of the process wafer surface, for example global alignment mark areas disposed at the process wafer periphery.
  • the quadrants of the alignment mark area e.g., 24 A, 24 B, 24 C, 24 D may contain varying numbers of parallel trenches depending on the lithographic stepper and optical sensing method used. It will also be appreciated that the linewidth (trench width) and the pitch (spacing between individual lines) of the lines (trenches) e.g., 26 A, 26 B may vary depending on the optical sensing and illuminating wavelength used.
  • an alignment mark area size may vary depending on the optical stepper or optical sensing method in use over an area of about 50 square microns to about 400 square microns and may formed in configurations other than four quadrants, for example adjacently disposed segments of trenches preferably having the parallel trenches in each segment oriented at right angles with respect to the trenches in an adjacent segment.
  • the alignment mark area 24 may optionally include intersecting trenches e.g., 26 C and 26 D separating the four alignment mark quadrants e.g., 24 A, 24 B, 24 C, 24 D.
  • a Michelson laser heterodyne interferometer method using a He—Ne laser as the light source is used for wafer alignment.
  • the linewidths and pitch of lines (trenches) e.g., 26 A, 26 B are about 10 microns to about 20 microns, for example having a linewidth of about 16 microns and a pitch of about 17.6 microns.
  • the alignment mark trenches e.g., 26 A, 26 B, making up the alignment marks are preferably zero level alignment marks, formed by a photolithographic patterning and anisotropic etching process carried out on the semiconductor wafer process surface prior to other processes, for example the deposition of hardmask or polysilicon layers overlying the semiconductor substrate.
  • at least one guard ring according to an embodiment of the invention, more preferably at least two guard rings e.g., 28 A and 28 B are formed in an overlying oxide layer surrounding the alignment mark area 24 to surround e.g., alignment mark quadrants 24 A, 24 B, 24 C, 24 D, as detailed in an exemplary formation process below.
  • Alignment mark dummy lines are alternatively, more preferably formed in addition to the guard rings e.g., 28 A and 28 B in an overlying oxide layer adjacent to the alignment mark trenches e.g., 26 A, 26 B as detailed in an exemplary formation process below.
  • FIG. 2B is shown a top planar view of an expanded portion of the exemplary alignment mark area 24 showing e.g., alignment mark trenches e.g., 26 A and 26 B, and a plurality of alignment mark dummy lines e.g., 27 A and 27 B formed adjacent to alignment mark trenches 26 A and 26 B.
  • the alignment mark dummy lines and the guard rings e.g., 28 A an 28 B are photolithographically patterned and formed in an oxide layer, for example an STI oxide layer, overlying the alignment mark area prior to an oxide CMP process to remove at least a portion of the oxide layer.
  • the line width of the guard ring lines and the alignment mark dummy lines are preferably formed having a linewidth of from about 0.2 microns to about 0.6 microns, more preferably about 0.4 microns with a pitch having a factor of about 2 to about 4 times the linewidth, for example about 1.2 microns.
  • the linewidth of the dummy lines may be varied depending on the line width of the alignment mark trenches.
  • the linewidths and pitch of the alignment mark dummy lines are sized to allow the formation of from about 2 to 8 of the alignment dummy marks between and adjacent to each alignment mark trench.
  • the guard rings e.g., 28 A and 28 B may be formed with the same linewidth and pitch or a different linewidth and pitch as the alignment mark dummy lines e.g., 27 A and 27 B.
  • the alignment mark dummy lines may are preferably formed as a single set of parallel lines but may be formed as multiple segments of parallel lines formed adjacent to one another and adjacent to the alignment trenches.
  • the alignment mark dummy lines are formed as a single segment of parallel lines extending adjacent the alignment trenches along least one-half of the length of the alignment trenches, more preferably at least three-quarters of the length of the alignment mark trenches.
  • the alignment mark dummy lines are formed by a conventional photolithographic process by patterning a photoresist layer and anisotropically etching an underlying material layer, for example, an oxide layer overlying the alignment mark area prior to a subsequent CMP planarization process to remove at least a portion of the underlying material layer.
  • the patterns for the alignment dummy marks and guard rings may be formed in a photomask (reticle) by conventional means, for example direct writing of the patterns onto pre-existing or newly developed photomasks.
  • FIG. 2C is shown an expanded cross sectional side view representation of a portion of the alignment mark area 24 showing e.g., alignment mark trenches 26 A and 26 B formed in substrate 29 and showing alignment mark dummy lines, e.g., 27 A, 27 B, formed adjacent to the alignment mark trenches and guard rings e.g., 28 A and 28 B surrounding the alignment mark area 24 and formed in an overlying layer, for example an oxide layer overlying the alignment mark area according to a photolithographic patterning and anisotropic etching process prior to carrying out a CMP process to remove at least a portion of the oxide layer.
  • alignment mark trenches 26 A and 26 B formed in substrate 29 and showing alignment mark dummy lines, e.g., 27 A, 27 B, formed adjacent to the alignment mark trenches and guard rings e.g., 28 A and 28 B surrounding the alignment mark area 24 and formed in an overlying layer, for example an oxide layer overlying the alignment mark area according to a photolithographic patterning and anis
  • STI shallow trench isolation
  • FIG. 3A Shown is a shallow trench isolation (STI) area 30 A having an anisotropically etched trenches e.g., 32 A, 32 B, and 32 C formed in an active area of the wafer process surface, for example formed by a conventional photolithographic and anisotropic etching process to form trenches extending through the thickness of silicon nitride layer 36 B (e.g., Si 3 N 4 ) and underlying polysilicon layer 36 A into semiconductor substrate, e.g., silicon wafer 38 .
  • silicon nitride layer 36 B e.g., Si 3 N 4
  • a pad oxide layer (not shown) is preferably formed over the silicon substrate 38 prior to forming the polysilicon layer 36 A.
  • a silicon oxynitride (e.g., SiON) layer (not shown) is optionally formed over the silicon nitride layer 36 B.
  • the thickness of the polysilicon layer 36 A is preferably formed at a thickness of about 500 Angstroms to about 800 Angstroms and the silicon nitride layer 36 B including an optional overlying silicon oxynitride layer is formed at a thickness of from about 1500 Angstroms to about 2500 Angstroms.
  • the thickness of the silicon nitride layer 36 B is thinner in the formation of a flash memory device according to an embodiment of the invention, for example about 1600 Angstroms, compared to a conventional logic device due to the formation of underlying polysilicon layer 36 A which limits the thickness of the silicon nitride layer 36 B in order to achieve a desired aspect ratio of the subsequently etched STI trenches e.g., 32 A, 32 B, and 32 C.
  • FIG. 3A shown adjacent to the STI area 30 A is shown a portion of an alignment mark area 30 B showing an exemplary alignment mark trench 34 A and a portion of an adjacent alignment mark trench 34 B.
  • the lines e.g., 31 indicate that the STI trench area 30 A and the alignment mark area 30 B are separated in space on the wafer surface although shown juxtaposed to one another other to illustrate parallel processing effects.
  • a layer 40 of CVD silicon oxide (e.g., SiO 2 ) also referred to as an STI oxide is deposited by a plasma enhanced CVD process, for example HDP-CVD, to a thickness of about 5000 Angstroms to about 8000 Angstroms over the silicon nitride layer 36 A filling the STI trenches and the alignment mark trenches.
  • a plasma enhanced CVD process for example HDP-CVD
  • other deposition methods such as spin on methods or APCVD processes may be used as well to form the STI oxide layer.
  • a photolithographic patterning process is carried out to form a protective photolithographic layer 42 patterned to cover the STI trench area 30 B and cover alignment mark dummy line areas e.g., 42 A and guard ring areas e.g., 43 A adjacent to the alignment trenches e.g., 34 A and 34 B, according to preferred embodiments.
  • a wet or dry etching process preferably a dry etching process is carried out to anisotropically etch the STI oxide layer 40 according to the patterned photoresist layer 42 to form alignment mark dummy lines e.g., 42 B and guard rings, e.g., 43 B over the alignment mark area 30 B.
  • the dry etching process is carried out in parallel with a reverse mask process carried out to remove at least a portion of the STI oxide layer over non-active areas of the process wafer to speed a subsequent oxide CMP process and to improve a polishing uniformity over active regions of the wafer.
  • the photoresist layer 42 is subsequently removed and an oxide CMP process is carried out to remove excess STI oxide overlying the STI trenches to define the STI trenches and to planarize the wafer process surface for further processing.
  • the alignment mark dummy lines e.g., 42 B and the guard rings e.g., 43 B serve to protect the alignment mark areas e.g., 30 B from preferential polishing due to a loading effect as is known in the art where, where relatively dense feature regions polish at a relatively slower rate compared to relatively less dense feature regions thereby resulting in preferential polishing of the relatively less dense feature region, for example the alignment mark areas.
  • the present invention such preferential polishing over alignment mark areas is avoided, thereby preserving the definition and consequently optical contrast, including signal intensity, and phase, of the alignment mark trenches and preventing damage to underlying layers susceptible to a subsequent wet etching process, for example a hot phosphoric acid etching process to remove the silicon nitride hardmask layer 36 B.
  • the thickness of a hardmask layer such as a silicon nitride or silicon oxynitride layer may be varied in design considerations, for example made thinner without being limited in design by the necessity of avoiding damage to the alignment mark areas due to preferential CMP polishing considerations over the alignment mark area.
  • the silicon nitride layer 36 B may be made thinner to preserve an aspect ratio of the STI trenches thereby allowing a greater degree of freedom in semiconductor device design.
  • the guard rings and alignment mark dummy lines may be applied to a variety of semiconductor device manufacturing processes where an relatively thick deposited layer is at least partially removed in a CMP planarization process over non-active areas of a wafer process surface including alignment mark areas prior to the CMP planarization process.
  • CMOS image sensors and DRAM/MIM stacked capacitor formation employ a relatively thick oxide layer that must be at least partially removed in a CMP planarization process whereby the guard rings and alignment mark dummy lines may be advantageously used according to preferred embodiments to protect alignment mark areas from undesired removal of a layer underlying the oxide layer, for example a hardmask layer, during the oxide CMP planarization process.
  • a semiconductor wafer comprising an uppermost hardmask layer or CMP polishing stop layer overlying active areas and alignment mark areas.
  • a material layer for example an oxide containing layer is deposited over the hardmask layer including the active areas and alignment mark areas.
  • a photolithographic patterning and anisotropic etching process is carried out form at least alignment mark dummy lines in the oxide containing layer in the alignment mark areas, preferably both guard rings and alignment mark dummy lines are formed according to preferred embodiments.
  • the anisotropic etching process to form the alignment mark dummy lines is carried out in parallel with an etching process to remove at least a portion of the oxide containing layer over non-active regions of the wafer, for example in a reverse mask etch process.
  • a CMP process is carried out to remove at least a portion of the oxide containing layer to planarize the active areas of the wafer.

Abstract

A method for protecting an alignment mark area during a CMP process including forming at least a first material layer over a process surface of a semiconductor wafer including active areas and alignment mark trenches formed in the at least one alignment mark area; forming at least a second material layer over the first material layer including the active areas and the at least one alignment mark area; lithographically patterning and etching the at least a second material layer to form at least a plurality lines of the at least a second material layer adjacent to the alignment mark trenches; and, carrying out a CMP process to remove at least a portion of the at least a second material layer.

Description

    FIELD OF THE INVENTION
  • This invention generally relates to semiconductor processing methods including photolithographic patterning and more particularly to a method for replicating alignment marks and preserving the optical signal integrity of alignment marks following an oxide CMP process. [0001]
  • BACKGROUND OF THE INVENTION
  • In the integrated circuit industry today, hundreds of thousands of semiconductor devices are built on a single chip. Every device on the chip must be electrically isolated to ensure that it operates independently without interfering with another. With the high integration of the semiconductor devices, the accuracy of formation of feature patterns overlying a previously defined semiconductor device level is increasingly difficult as critical dimensions shrink. Overlay accuracy, also referred to as registration is critical to proper functioning of a semiconductor device. To successfully pattern an overlying feature level on the wafer, the wafer feature pattern must be accurately aligned with a newly applied pattern image included in a reticle for proper transfer of the image to the photoresist layer on the wafer. [0002]
  • In forming the various levels of a multi-level semiconductor device including shallow trench isolation features, semiconductor wafer alignment for positioning the semiconductor wafer for subsequent device feature patterning is critical. In a typical photolithographic patterning procedure, an automated stepper, for example, an ASM Lithography photo system sequentially positions the wafer beneath a photoimaging system for transferring a patterned photoimage of device features formed a reticle to expose a photoresist material overlying the semiconductor wafer surface. As positioning of the process wafer is critical for forming semiconductor features, methods for forming and preserving alignment marks to provide the necessary optical contrast have evolved to reduce optical alignment errors during the optical signal sensing and alignment process during photolithography. [0003]
  • Several wafer alignment strategies exist for using different patterns and locations to achieve the alignment of a semiconductor wafer to a reticle containing an image to be transferred to the wafer. These strategies vary from alignment marks located between shot sites (also known as chip sites) to global alignment marks located in two shot sites at the periphery of the wafer. There are also global strategies in which the alignment marks are located between shot sites in the more peripheral regions of the wafer. The overlay accuracy required for proper alignment, frequently referred to as an overlay budget is about one-third of the critical dimension. As device technologies scale to about 0.10 microns and below, conventional method for forming and replicating alignment marks are no longer sufficiently accurate. [0004]
  • In one approach for global alignment, at least two areas at the wafer periphery are selected, typically located on opposite sides of the wafer diameter and include a series of parallel trenches covering a rectangular or square area of about 50 square microns to about 400 square microns referred to as zero-level alignment marks that are etched into the silicon wafer before other processing steps. The global alignment marks are subsequently replicated in each subsequent level of manufacturing a multi-level semiconductor device. [0005]
  • Shallow trench isolation (STI) is a preferred electrical isolation technique especially for a semiconductor chip with high integration. STI features can be made using a variety of methods including, for example, the Buried Oxide (BOX) isolation method for shallow trenches. The BOX method involves filling the trenches with a chemical vapor deposition (CVD) silicon oxide (SiO[0006] 2), also referred to as an STI oxide which is then chemically mechanically polished (CMP) to remove the overlying layer of STI oxide to yield a planar surface. The shallow trenches etched for the BOX process are anisotropically plasma etched into the substrate, for example, silicon, and are typically between 0.3 and 1.0 microns deep.
  • Broadly speaking, conventional methods of producing a shallow trench isolation feature include forming a hard mask, for example silicon nitride, over a semiconductor substrate followed by photolithographically patterning and anisotropically etching STI trench features into the semiconductor substrate. Subsequently, the STI trenches are backfilled with SiO[0007] 2 also referred to as an STI oxide by a CVD process followed by a chemical mechanical polish (CMP) process to polish back the STI oxide to define oxide filled STI trenches. Alignment mark areas on the wafer process surface undergo parallel processing including deposition of an STI oxide layer and are replicated for subsequent wafer alignment according to prior art processes by clearing out the STI oxide over the alignment mark areas prior to CMP. For example, the relative contrast of the alignment marks which are detected by an auto-imaging system using for example, a Helium-Neon laser having a wavelength between about 500 nm to about 630 nm is generally unaffected by dielectric films transparent in this wavelength range which present little interference with reflections from an underlying alignment mark area, typically having a higher extinction coefficient material to provide contrast producing reflections. During the processing of several levels in a multi-level semiconductor device, the alignment mark areas frequently are covered with high extinction coefficient materials such as SiGe, silicon carbide (e.g., SiC), silicon oxynitride (e.g. SiON), metal salicides, polysilicon, and metallic layers. While the alignment mark trenches are frequently not completely covered thereby losing their definition, the sharpness of the definition is decreased. As overlay budgets approach 20 to 30 nanometers for 0.10 micron critical dimensions and lower, a small decrease in the definition of the alignment marks by overlayers of high extinction coefficient materials is increasingly detrimental to overlay accuracy. In many cases an additional step to photolithographically pattern and etch the alignment mark area is economically prohibitive in terms of process cycle time and material cost.
  • For example referring to FIG. 1A are shown STI trenches e.g., [0008] 12A, 12B, and 12C formed through the thickness of a hard mask layer 14, for example silicon nitride (e.g., Si3N4), and underlying pad oxide layer (not shown), and into a silicon substrate 10. Adjacent the STI trenches is shown a portion of an alignment mark area including alignment mark trenches e.g., 16A and a portion of alignment mark trench 16B, the trenches formed by etching the silicon substrate 10 prior to other processing steps including forming the overlying silicon nitride layer 14. It will be appreciated that although the structures are depicted as adjacent one another, that the STI trenches and alignment mark trenches are typically separated on the wafer process surface as indicated by lines e.g., 13.
  • Referring to FIG. 1B, an [0009] STI oxide layer 18 is deposited over the process surface followed by a photolithographic patterning process to form a protective photoresist layer e.g., 20 to cover active areas including STI trench areas overlying the STI trenches e.g., 12A, 12B, and 12C and exposing areas of the STI oxide layer on the process surface including the wafer alignment mark areas. For example, frequently a reverse mask etch process is carried out to remove a portion of the STI oxide layer 18 overlying relatively featureless areas of the process surface to improve a subsequent CMP polishing uniformity. Referring to FIG. 1C, the STI oxide overlying the alignment mark areas including trenches 16A and 16B is frequently removed in the reverse mask etch process while leaving the STI oxide layer 18 and protective photoresist layer e.g. 20 overlying the STI trench area.
  • Referring to FIG. 1D, after removing the protective photoresist layer e.g., [0010] 20, an oxide CMP process is then carried out to remove the STI oxide layer 18 overlying the STI trench areas. During the oxide CMP process, however, the silicon nitride layer 14 is either thinned or completely polished through in areas around the alignment trenches, for example at corner portions, e.g., 16C of the alignment trenches, undesirably degrading the optical contrast of the alignment marks. Further, a subsequent hot phosphoric acid etching process to remove the silicon nitride layer 14 may result in undesired etching of an underlying material, for example a silicon substrate or other etching susceptible layer, for example if an underlying pad oxide layer overlying a silicon substrate is partially removed in the CMP process. For example, designs of various memory and logic devices, for example flash memory, incorporate an etching susceptible layer underlying a relatively thinner silicon nitride to maintain an STI trench aspect ratio. To prevent the STI oxide CMP process from polishing through or unacceptably thinning the corner portions of the alignment trenches, hard mask layers such as silicon nitride are required to be thick enough to survive the STI oxide CMP process. For example, the thicker the oxide layer and the longer the oxide polishing process required, the greater the potential of preferential polishing over the alignment mark area requiring a thicker hard mask layer. As a result, methods of the prior art for preserving and replicating alignment marks limits the design thickness of layers, for example both hard mask layers and oxide layers in the design of various logic and memory devices in order to prevent degrading the alignment mark area optical contrast during and following a CMP process.
  • Therefore, there is a need in the semiconductor processing art to develop an improved method for replicating alignment marks to preserve optical contrast integrity thereby improving overlay accuracy in semiconductor wafer photolithography and allowing a greater degree of freedom in design of film stacks in a semiconductor device design process. [0011]
  • It is therefore an object of the invention to an improved method for replicating alignment marks to preserve optical contrast integrity thereby improving overlay accuracy in semiconductor wafer photolithography and allowing a greater degree of freedom in design of film stacks in a semiconductor device design process including overcoming other shortcomings of the prior art. [0012]
  • SUMMARY OF THE INVENTION
  • To achieve the foregoing and other objects, and in accordance with the purposes of the present invention, as embodied and broadly described herein, the present invention provides a method for protecting an alignment mark area during a CMP process. [0013]
  • In a first embodiment, the method includes forming at least a first material layer over a process surface of a semiconductor wafer including active areas and alignment mark trenches formed in the at least one alignment mark area; forming at least a second material layer over the first material layer including the active areas and the at least one alignment mark area; lithographically patterning and etching the at least a second material layer to form at least a plurality lines of the at least a second material layer adjacent to the alignment mark trenches; and, carrying out a CMP process to remove at least a portion of the at least a second material layer. [0014]
  • These and other embodiments, aspects and features of the invention will be better understood from a detailed description of the preferred embodiments of the invention which are further described below in conjunction with the accompanying Figures.[0015]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-1D are side view representations of an STI trench area and an alignment mark area undergoing an STI trench formation process according to the prior art. [0016]
  • FIG. 2A is a top planar view of an exemplary semiconductor wafer alignment mark area according to an embodiment of the present invention. [0017]
  • FIG. 2B is an expanded to planar view of an exemplary semiconductor wafer alignment mark area according to an embodiment of the present invention. [0018]
  • FIG. 2C is an expanded cross sectional side view of an exemplary semiconductor wafer alignment mark area according to an embodiment of the present invention. [0019]
  • FIGS. 3A-3D are cross sectional side views of an exemplary alignment mark area shown in juxtaposition to an active device area at different stages of an exemplary semiconductor device manufacture process according to an embodiment to the present invention. [0020]
  • FIG. 4 is an exemplary process flow diagram including several embodiments of the present invention.[0021]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Although the method of the present invention is explained in exemplary implementation with respect to a process for forming flash memory devices, it will be appreciated that the method of forming protective dummy lines within and around alignment mark areas according to embodiments of the present invention may be used in any semiconductor manufacturing process where the alignment marks are advantageously protected from preferential polishing in a CMP process. For example, the method of the present invention of forming at least one of guard rings and dummy alignment mark lines, preferably at least one guard ring and dummy alignment mark lines may advantageously be used in the formation of for example, CMOS image sensors and DRAM/MIM stacked capacitor packages where a relatively thick oxide layer is at least partially removed, preferably substantially removed over at least the alignment mark area prior to a CMP process. [0022]
  • The method of the present invention is explained by illustrating the parallel effect of exemplary processing steps of active areas of a semiconductor process wafer on alignment mark areas, for example global alignment mark areas (fields) disposed at peripheral portions of the semiconductor process wafer. For example, the method of the present invention is explained with reference to the parallel effects of active area processing on alignment mark areas in connection with the formation of shallow trench isolation (STI) features and subsequent processing steps used for forming CMOS semiconductor features. The term ‘active areas’ as used herein refers to areas of the semiconductor process surface where electrically active areas are formed for forming integrated circuit components of a semiconductor device. The term ‘anisotropic etching’ refers to plasma enhanced etching, for example reactive ion etching (RIE). [0023]
  • For example, referring to FIG. 2A is shown a top planar view an exemplary alignment mark area, [0024] 24, having four quadrants of parallel trenches e.g., 24A, 24B, 24C, 24D the trenches in each quadrant formed at right angles with respect to adjacent trenches within the alignment mark area. For example, the alignment mark area 24 comprises a rectangular area having a dimension of about 0.4 mm by 0.4 mm located in non-active areas of the process wafer surface, for example global alignment mark areas disposed at the process wafer periphery. It will be appreciated that the quadrants of the alignment mark area e.g., 24A, 24B, 24C, 24D may contain varying numbers of parallel trenches depending on the lithographic stepper and optical sensing method used. It will also be appreciated that the linewidth (trench width) and the pitch (spacing between individual lines) of the lines (trenches) e.g., 26A, 26B may vary depending on the optical sensing and illuminating wavelength used. It will further be appreciated that an alignment mark area size may vary depending on the optical stepper or optical sensing method in use over an area of about 50 square microns to about 400 square microns and may formed in configurations other than four quadrants, for example adjacently disposed segments of trenches preferably having the parallel trenches in each segment oriented at right angles with respect to the trenches in an adjacent segment. The alignment mark area 24 may optionally include intersecting trenches e.g., 26C and 26D separating the four alignment mark quadrants e.g., 24A, 24B, 24C, 24D.
  • Preferably, a Michelson laser heterodyne interferometer method using a He—Ne laser as the light source is used for wafer alignment. For example, the linewidths and pitch of lines (trenches) e.g., [0025] 26A, 26B, are about 10 microns to about 20 microns, for example having a linewidth of about 16 microns and a pitch of about 17.6 microns. The alignment mark trenches e.g., 26A, 26B, making up the alignment marks, are preferably zero level alignment marks, formed by a photolithographic patterning and anisotropic etching process carried out on the semiconductor wafer process surface prior to other processes, for example the deposition of hardmask or polysilicon layers overlying the semiconductor substrate. Preferably, at least one guard ring, according to an embodiment of the invention, more preferably at least two guard rings e.g., 28A and 28B are formed in an overlying oxide layer surrounding the alignment mark area 24 to surround e.g., alignment mark quadrants 24A, 24B, 24C, 24D, as detailed in an exemplary formation process below. Alignment mark dummy lines are alternatively, more preferably formed in addition to the guard rings e.g., 28A and 28B in an overlying oxide layer adjacent to the alignment mark trenches e.g., 26A, 26B as detailed in an exemplary formation process below.
  • For example, referring to FIG. 2B, is shown a top planar view of an expanded portion of the exemplary [0026] alignment mark area 24 showing e.g., alignment mark trenches e.g., 26A and 26B, and a plurality of alignment mark dummy lines e.g., 27A and 27B formed adjacent to alignment mark trenches 26A and 26B. The alignment mark dummy lines and the guard rings e.g., 28A an 28B are photolithographically patterned and formed in an oxide layer, for example an STI oxide layer, overlying the alignment mark area prior to an oxide CMP process to remove at least a portion of the oxide layer. For example, the line width of the guard ring lines and the alignment mark dummy lines are preferably formed having a linewidth of from about 0.2 microns to about 0.6 microns, more preferably about 0.4 microns with a pitch having a factor of about 2 to about 4 times the linewidth, for example about 1.2 microns. It will be appreciated that the linewidth of the dummy lines may be varied depending on the line width of the alignment mark trenches. Preferably, the linewidths and pitch of the alignment mark dummy lines are sized to allow the formation of from about 2 to 8 of the alignment dummy marks between and adjacent to each alignment mark trench. The guard rings e.g., 28A and 28B may be formed with the same linewidth and pitch or a different linewidth and pitch as the alignment mark dummy lines e.g., 27A and 27B.
  • The alignment mark dummy lines may are preferably formed as a single set of parallel lines but may be formed as multiple segments of parallel lines formed adjacent to one another and adjacent to the alignment trenches. Preferably, the alignment mark dummy lines are formed as a single segment of parallel lines extending adjacent the alignment trenches along least one-half of the length of the alignment trenches, more preferably at least three-quarters of the length of the alignment mark trenches. Preferably, the alignment mark dummy lines are formed by a conventional photolithographic process by patterning a photoresist layer and anisotropically etching an underlying material layer, for example, an oxide layer overlying the alignment mark area prior to a subsequent CMP planarization process to remove at least a portion of the underlying material layer. For example, the patterns for the alignment dummy marks and guard rings may be formed in a photomask (reticle) by conventional means, for example direct writing of the patterns onto pre-existing or newly developed photomasks. [0027]
  • Referring to FIG. 2C, is shown an expanded cross sectional side view representation of a portion of the [0028] alignment mark area 24 showing e.g., alignment mark trenches 26A and 26B formed in substrate 29 and showing alignment mark dummy lines, e.g., 27A, 27B, formed adjacent to the alignment mark trenches and guard rings e.g., 28A and 28B surrounding the alignment mark area 24 and formed in an overlying layer, for example an oxide layer overlying the alignment mark area according to a photolithographic patterning and anisotropic etching process prior to carrying out a CMP process to remove at least a portion of the oxide layer.
  • In an exemplary implementation of an embodiment of the present invention, referring to FIG. 3A, is shown an exemplary process for forming STI features in connection with the formation of a flash memory device, referred to as self-aligned floating gate flash device. Shown is a shallow trench isolation (STI) [0029] area 30A having an anisotropically etched trenches e.g., 32A, 32B, and 32C formed in an active area of the wafer process surface, for example formed by a conventional photolithographic and anisotropic etching process to form trenches extending through the thickness of silicon nitride layer 36B (e.g., Si3N4) and underlying polysilicon layer 36A into semiconductor substrate, e.g., silicon wafer 38. A pad oxide layer (not shown) is preferably formed over the silicon substrate 38 prior to forming the polysilicon layer 36A. It will further be appreciated that a silicon oxynitride (e.g., SiON) layer (not shown) is optionally formed over the silicon nitride layer 36B. For example the thickness of the polysilicon layer 36A is preferably formed at a thickness of about 500 Angstroms to about 800 Angstroms and the silicon nitride layer 36B including an optional overlying silicon oxynitride layer is formed at a thickness of from about 1500 Angstroms to about 2500 Angstroms. For example, the thickness of the silicon nitride layer 36B is thinner in the formation of a flash memory device according to an embodiment of the invention, for example about 1600 Angstroms, compared to a conventional logic device due to the formation of underlying polysilicon layer 36A which limits the thickness of the silicon nitride layer 36B in order to achieve a desired aspect ratio of the subsequently etched STI trenches e.g., 32A, 32B, and 32C.
  • Still referring to FIG. 3A, shown adjacent to the [0030] STI area 30A is shown a portion of an alignment mark area 30B showing an exemplary alignment mark trench 34A and a portion of an adjacent alignment mark trench 34B. The lines e.g., 31 indicate that the STI trench area 30A and the alignment mark area 30B are separated in space on the wafer surface although shown juxtaposed to one another other to illustrate parallel processing effects.
  • Referring to FIG. 3B, a layer [0031] 40 of CVD silicon oxide (e.g., SiO2) also referred to as an STI oxide is deposited by a plasma enhanced CVD process, for example HDP-CVD, to a thickness of about 5000 Angstroms to about 8000 Angstroms over the silicon nitride layer 36A filling the STI trenches and the alignment mark trenches. It will be appreciated that other deposition methods such as spin on methods or APCVD processes may be used as well to form the STI oxide layer.
  • Referring to FIG. 3C, in an embodiment of the method according to the present invention a photolithographic patterning process is carried out to form a protective [0032] photolithographic layer 42 patterned to cover the STI trench area 30B and cover alignment mark dummy line areas e.g., 42A and guard ring areas e.g., 43A adjacent to the alignment trenches e.g., 34A and 34B, according to preferred embodiments.
  • Referring to FIG. 3D, a wet or dry etching process, preferably a dry etching process is carried out to anisotropically etch the STI oxide layer [0033] 40 according to the patterned photoresist layer 42 to form alignment mark dummy lines e.g., 42B and guard rings, e.g., 43B over the alignment mark area 30B. Preferably, the dry etching process is carried out in parallel with a reverse mask process carried out to remove at least a portion of the STI oxide layer over non-active areas of the process wafer to speed a subsequent oxide CMP process and to improve a polishing uniformity over active regions of the wafer.
  • Referring to FIG. 3E, the [0034] photoresist layer 42 is subsequently removed and an oxide CMP process is carried out to remove excess STI oxide overlying the STI trenches to define the STI trenches and to planarize the wafer process surface for further processing. During the oxide CMP process, the alignment mark dummy lines e.g., 42B and the guard rings e.g., 43B serve to protect the alignment mark areas e.g., 30B from preferential polishing due to a loading effect as is known in the art where, where relatively dense feature regions polish at a relatively slower rate compared to relatively less dense feature regions thereby resulting in preferential polishing of the relatively less dense feature region, for example the alignment mark areas.
  • According to the present invention, such preferential polishing over alignment mark areas is avoided, thereby preserving the definition and consequently optical contrast, including signal intensity, and phase, of the alignment mark trenches and preventing damage to underlying layers susceptible to a subsequent wet etching process, for example a hot phosphoric acid etching process to remove the silicon [0035] nitride hardmask layer 36B. In a further advantage of the present invention, the thickness of a hardmask layer such as a silicon nitride or silicon oxynitride layer may be varied in design considerations, for example made thinner without being limited in design by the necessity of avoiding damage to the alignment mark areas due to preferential CMP polishing considerations over the alignment mark area. For example, as shown in the illustrated embodiment of a portion of a flash memory device design, the silicon nitride layer 36B may be made thinner to preserve an aspect ratio of the STI trenches thereby allowing a greater degree of freedom in semiconductor device design. For example, the guard rings and alignment mark dummy lines according to preferred embodiments of the invention may be applied to a variety of semiconductor device manufacturing processes where an relatively thick deposited layer is at least partially removed in a CMP planarization process over non-active areas of a wafer process surface including alignment mark areas prior to the CMP planarization process. For example, the formation of CMOS image sensors and DRAM/MIM stacked capacitor formation employ a relatively thick oxide layer that must be at least partially removed in a CMP planarization process whereby the guard rings and alignment mark dummy lines may be advantageously used according to preferred embodiments to protect alignment mark areas from undesired removal of a layer underlying the oxide layer, for example a hardmask layer, during the oxide CMP planarization process.
  • Referring to FIG. 4 is an exemplary process flow diagram including several embodiments of the present invention. In [0036] process 401, a semiconductor wafer is provided comprising an uppermost hardmask layer or CMP polishing stop layer overlying active areas and alignment mark areas. In process 403 a material layer, for example an oxide containing layer is deposited over the hardmask layer including the active areas and alignment mark areas. In process 405, a photolithographic patterning and anisotropic etching process is carried out form at least alignment mark dummy lines in the oxide containing layer in the alignment mark areas, preferably both guard rings and alignment mark dummy lines are formed according to preferred embodiments. Preferably, the anisotropic etching process to form the alignment mark dummy lines is carried out in parallel with an etching process to remove at least a portion of the oxide containing layer over non-active regions of the wafer, for example in a reverse mask etch process. In process 407, a CMP process is carried out to remove at least a portion of the oxide containing layer to planarize the active areas of the wafer.
  • The preferred embodiments, aspects, and features of the invention having been described, it will be apparent to those skilled in the art that numerous variations, modifications, and substitutions may be made without departing from the spirit of the invention as disclosed and further claimed below. [0037]

Claims (20)

What is claimed is:
1. A method for protecting an alignment mark area during a CMP process comprising the steps of:
forming at least a first material layer over a process surface of a semiconductor wafer comprising active areas and alignment mark trenches formed in the at least one alignment mark area;
forming at least a second material layer over the first material layer including the active areas and the at least one alignment mark area;
lithographically patterning and etching the at least a second material layer to form at least a plurality lines of the at least a second material layer adjacent to the alignment mark trenches; and,
carrying out a CMP process to remove at least a portion of the at least a second material layer.
2. The method of claim 1, wherein the step of lithographically patterning and etching further comprises forming at least one guard ring surrounding the at least one alignment mark area.
3. The method of claim 1, wherein the at least a first material layer comprises a nitride containing layer and the at least a second material layer comprises an oxide containing layer.
4. The method of claim 3, wherein the nitride containing material is selected from the group of silicon nitride and silicon oxynitride and the oxide containing layer comprises silicon oxide.
5. The method of claim 1, wherein the plurality of lines are formed parallel to the alignment mark trenches extending at least one-half of the length of the alignment mark trenches.
6. The method of claim 1, wherein the plurality of lines comprises from about 2 to about 8 lines formed between alignment mark trenches.
7. The method of claim 2, wherein the plurality of lines and the at least one guard ring are formed having a line width of from about 0.2 microns to about 0.6 microns and a pitch of about 0.4 microns to about 1.8 microns.
8. The method of claim 1, wherein the step of lithographically patterning is carried out in parallel with a reverse mask etch process to remove at least a portion of the at least a second material layer over active device areas.
9. The method of claim 1, wherein the active areas comprise integrated circuit portions of devices selected from the group consisting of self-aligned floating gate flash memory, CMOS image sensors, and DRAM/MIM devices.
10. The method of claim 1, wherein the at least a first material layer comprises a nitride layer overlying a polysilicon layer.
11. The method of claim 1, wherein the step of carrying out a CMP process comprises removing an STI oxide layer over STI trenches to define oxide filled STI trenches.
12. A method for protecting an alignment mark area from optical degradation during a CMP process comprising the steps of:
forming at least a first material layer comprising a hardmask layer over a semiconductor wafer process surface comprising active areas and at least one alignment mark area comprising alignment mark trenches formed parallel to one another;
forming an oxide containing layer over the hardmask layer including the active areas and the at least one alignment mark area;
lithographically patterning and etching the oxide containing layer to form a plurality lines in the oxide containing layer disposed at least adjacently and parallel to the alignment mark trenches; and,
carrying out a CMP process to remove at least a portion of the oxide containing layer.
13. The method of claim 12, wherein the step of lithographically patterning and etching further comprises forming at least one guard ring surrounding the at least one alignment mark area.
14. The method of claim 12, wherein the hardmask layer comprises a silicon nitride containing layer.
15. The method of claim 14, wherein the at least a first material layer comprises a polysilicon layer underlying the silicon nitride containing layer.
16. The method of claim 12, wherein at least a portion of the plurality of lines are formed parallel to the alignment mark trenches extending at least one-half of the length of the alignment mark trenches.
17. The method of claim 12, wherein at least a portion of the plurality of lines comprises from about 2 to about 8 lines formed between the alignment mark trenches.
18. The method of claim 12, wherein the plurality of lines and are formed having a line width of from about 0.2 microns to about 0.6 microns and a pitch of about 0.4 microns to about 1.8 microns.
19. The method of claim 12, wherein the step of lithographically patterning is carried out in parallel with an etching process to remove at least a portion of the oxide containing layer over active areas.
20. The method of claim 12, wherein the active areas comprise integrated circuit portions of devices selected from the group consisting of self-aligned floating gate flash memory, CMOS image sensors, and DPAM/MIM devices.
US10/394,089 2003-03-20 2003-03-20 Method to preserve alignment mark optical integrity Expired - Lifetime US6803291B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/394,089 US6803291B1 (en) 2003-03-20 2003-03-20 Method to preserve alignment mark optical integrity
TW092118451A TW590849B (en) 2003-03-20 2003-07-07 Method for maintaining post-CMP alignment mark

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/394,089 US6803291B1 (en) 2003-03-20 2003-03-20 Method to preserve alignment mark optical integrity

Publications (2)

Publication Number Publication Date
US20040185637A1 true US20040185637A1 (en) 2004-09-23
US6803291B1 US6803291B1 (en) 2004-10-12

Family

ID=32988292

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/394,089 Expired - Lifetime US6803291B1 (en) 2003-03-20 2003-03-20 Method to preserve alignment mark optical integrity

Country Status (2)

Country Link
US (1) US6803291B1 (en)
TW (1) TW590849B (en)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050133940A1 (en) * 2003-12-23 2005-06-23 Mou-Jung Chen Method and structure for protecting an alignment mark
US20050277287A1 (en) * 2004-06-09 2005-12-15 Nanya Technology Corporation Contact etching utilizing multi-layer hard mask
US20050286052A1 (en) * 2004-06-23 2005-12-29 Kevin Huggins Elongated features for improved alignment process integration
US20060040511A1 (en) * 2004-08-17 2006-02-23 Jason Lu [method of fabricating shallow trench isolation structure for reducing wafer scratch]
US20060183318A1 (en) * 2005-02-15 2006-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Magnetic memory cells and manufacturing methods
US20070015368A1 (en) * 2005-07-15 2007-01-18 You-Di Jhang Method of reducing silicon damage around laser marking region of wafers in sti cmp process
US20100053616A1 (en) * 2008-09-03 2010-03-04 Macronix International Co., Ltd. Alignment mark and method of getting position reference for wafer
CN103246156A (en) * 2012-02-14 2013-08-14 南亚科技股份有限公司 Method for forming self-aligned overlay mark
US20130293890A1 (en) * 2011-07-19 2013-11-07 Kla-Tencor Corporation Overlay Targets with Orthogonal Underlayer Dummyfill
US20140293258A1 (en) * 2013-03-27 2014-10-02 Tdk Corporation Manufacturing apparatus of electronic component and manufacturing method thereof
US20150115993A1 (en) * 2013-10-25 2015-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for testing stacked cmos structure
EP2553720A4 (en) * 2010-03-26 2015-05-06 Altera Corp Integrated circuit guard rings
US20160163790A1 (en) * 2014-12-04 2016-06-09 Rohm Co., Ltd. Semiconductor device
CN107665884A (en) * 2016-07-28 2018-02-06 格罗方德半导体公司 When integrated circuit is imaged the structure and method of interdependent pattern displacement are focused on to measure
US10566290B2 (en) * 2017-08-28 2020-02-18 United Microelectronics Corp. Alignment mark and measurement method thereof
US20200075318A1 (en) * 2018-08-31 2020-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a semiconductor device
CN112309943A (en) * 2020-09-30 2021-02-02 晶科能源有限公司 Silicon wafer identification recognition method, silicon wafer marking method and silicon wafer
CN113675074A (en) * 2020-05-15 2021-11-19 中芯国际集成电路制造(上海)有限公司 Semiconductor layout and forming method thereof, formed semiconductor structure and method
CN113675174A (en) * 2021-08-17 2021-11-19 青岛佳恩半导体科技有限公司 Preparation method for improving Mark point morphology of power device
US11482517B2 (en) * 2015-10-22 2022-10-25 United Microelectronics Corp. Integrated circuit

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100521966B1 (en) * 2003-04-29 2005-10-17 매그나칩 반도체 유한회사 Method of manufacturing cmos image sensor
TWI233660B (en) * 2003-10-06 2005-06-01 Macronix Int Co Ltd Overlay mark and method of fabricating the same
US7172948B2 (en) * 2004-01-20 2007-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method to avoid a laser marked area step height
JP4876215B2 (en) * 2005-01-21 2012-02-15 独立行政法人産業技術総合研究所 CMP polishing method, CMP polishing apparatus, and semiconductor device manufacturing method
US8193591B2 (en) 2006-04-13 2012-06-05 Freescale Semiconductor, Inc. Transistor and method with dual layer passivation
KR100850144B1 (en) * 2006-08-31 2008-08-04 동부일렉트로닉스 주식회사 Method for protecting an alignment mark
US7510899B2 (en) * 2007-08-10 2009-03-31 United Microelectronics Corp. Methods for fabricating a CMOS image sensor
US8896136B2 (en) 2010-06-30 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment mark and method of formation
US8148232B2 (en) 2010-08-11 2012-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Overlay mark enhancement feature
US9252061B2 (en) 2014-04-02 2016-02-02 Globalfoundries Inc. Overlay mark dependent dummy fill to mitigate gate height variation
US10504851B2 (en) * 2018-02-26 2019-12-10 Globalfoundries Inc. Structure and method to improve overlay performance in semiconductor devices
CN112825315B (en) * 2019-11-20 2022-04-12 长鑫存储技术有限公司 Semiconductor structure and forming method thereof
CN112420718A (en) * 2020-11-18 2021-02-26 长江存储科技有限责任公司 Semiconductor structure and forming method and alignment method thereof

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5889335A (en) * 1997-09-09 1999-03-30 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5889335A (en) * 1997-09-09 1999-03-30 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing the same

Cited By (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050133940A1 (en) * 2003-12-23 2005-06-23 Mou-Jung Chen Method and structure for protecting an alignment mark
US20050277287A1 (en) * 2004-06-09 2005-12-15 Nanya Technology Corporation Contact etching utilizing multi-layer hard mask
US7064044B2 (en) * 2004-06-09 2006-06-20 Nanya Technology Corporation Contact etching utilizing multi-layer hard mask
US20050286052A1 (en) * 2004-06-23 2005-12-29 Kevin Huggins Elongated features for improved alignment process integration
US20060040511A1 (en) * 2004-08-17 2006-02-23 Jason Lu [method of fabricating shallow trench isolation structure for reducing wafer scratch]
US20060183318A1 (en) * 2005-02-15 2006-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Magnetic memory cells and manufacturing methods
US7172908B2 (en) 2005-02-15 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Magnetic memory cells and manufacturing methods
US20070015368A1 (en) * 2005-07-15 2007-01-18 You-Di Jhang Method of reducing silicon damage around laser marking region of wafers in sti cmp process
US7319073B2 (en) * 2005-07-15 2008-01-15 United Microelectronics Corp. Method of reducing silicon damage around laser marking region of wafers in STI CMP process
US7916295B2 (en) * 2008-09-03 2011-03-29 Macronix International Co., Ltd. Alignment mark and method of getting position reference for wafer
US20100053616A1 (en) * 2008-09-03 2010-03-04 Macronix International Co., Ltd. Alignment mark and method of getting position reference for wafer
TWI487002B (en) * 2008-09-03 2015-06-01 Macronix Int Co Ltd Alignment mark and method of getting position reference for wafer
EP2553720A4 (en) * 2010-03-26 2015-05-06 Altera Corp Integrated circuit guard rings
US20130293890A1 (en) * 2011-07-19 2013-11-07 Kla-Tencor Corporation Overlay Targets with Orthogonal Underlayer Dummyfill
US10890436B2 (en) * 2011-07-19 2021-01-12 Kla Corporation Overlay targets with orthogonal underlayer dummyfill
CN103246156A (en) * 2012-02-14 2013-08-14 南亚科技股份有限公司 Method for forming self-aligned overlay mark
US20130210213A1 (en) * 2012-02-14 2013-08-15 Vinay Nair Method for forming self-aligned overlay mark
US8664077B2 (en) * 2012-02-14 2014-03-04 Nanya Technology Corp. Method for forming self-aligned overlay mark
TWI483289B (en) * 2012-02-14 2015-05-01 Nanya Technology Corp Method for forming self-aligned overlay mark
US9532461B2 (en) * 2013-03-27 2016-12-27 Tdk Corporation Manufacturing apparatus of electronic component and manufacturing method thereof
US20140293258A1 (en) * 2013-03-27 2014-10-02 Tdk Corporation Manufacturing apparatus of electronic component and manufacturing method thereof
US20150115993A1 (en) * 2013-10-25 2015-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for testing stacked cmos structure
US9568543B2 (en) * 2013-10-25 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for testing stacked CMOS structure
US9685505B2 (en) * 2014-12-04 2017-06-20 Rohm Co., Ltd. Semiconductor device with guard rings
US20160163790A1 (en) * 2014-12-04 2016-06-09 Rohm Co., Ltd. Semiconductor device
US11482517B2 (en) * 2015-10-22 2022-10-25 United Microelectronics Corp. Integrated circuit
CN107665884A (en) * 2016-07-28 2018-02-06 格罗方德半导体公司 When integrated circuit is imaged the structure and method of interdependent pattern displacement are focused on to measure
US10566290B2 (en) * 2017-08-28 2020-02-18 United Microelectronics Corp. Alignment mark and measurement method thereof
US20200075318A1 (en) * 2018-08-31 2020-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a semiconductor device
US10943783B2 (en) * 2018-08-31 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a semiconductor device
CN113675074A (en) * 2020-05-15 2021-11-19 中芯国际集成电路制造(上海)有限公司 Semiconductor layout and forming method thereof, formed semiconductor structure and method
CN112309943A (en) * 2020-09-30 2021-02-02 晶科能源有限公司 Silicon wafer identification recognition method, silicon wafer marking method and silicon wafer
CN113675174A (en) * 2021-08-17 2021-11-19 青岛佳恩半导体科技有限公司 Preparation method for improving Mark point morphology of power device

Also Published As

Publication number Publication date
TW200418611A (en) 2004-10-01
TW590849B (en) 2004-06-11
US6803291B1 (en) 2004-10-12

Similar Documents

Publication Publication Date Title
US6803291B1 (en) Method to preserve alignment mark optical integrity
US7709275B2 (en) Method of forming a pattern for a semiconductor device and method of forming the related MOS transistor
US8951918B2 (en) Method for fabricating patterned structure of semiconductor device
KR100517100B1 (en) Semiconductor device and manufacturing method thereof
US5733801A (en) Method of making a semiconductor device with alignment marks
US10276395B2 (en) Method for manufacturing semiconductor device
US5902752A (en) Active layer mask with dummy pattern
US6271602B1 (en) Method for reducing the susceptibility to chemical-mechanical polishing damage of an alignment mark formed in a semiconductor substrate
US6465897B1 (en) Method for photo alignment after CMP planarization
US7307002B2 (en) Non-critical complementary masking method for poly-1 definition in flash memory device fabrication
US7611961B2 (en) Method for fabricating semiconductor wafer with enhanced alignment performance
TW201926409A (en) Method for manufacturing a semiconductor structure
US7332405B2 (en) Method of forming alignment marks for semiconductor device fabrication
CN109755107B (en) Self-aligned double patterning method
US6417076B1 (en) Automated combi deposition apparatus and method
KR100579687B1 (en) Semiconductor device and method of manufacturing the same
CN114823448A (en) Method for forming alignment mark in semiconductor process
US6475879B2 (en) Semiconductor wafer, method for processing the same and method for manufacturing semiconductor device
JP2003243293A (en) Manufacturing method for semiconductor device
US10032631B1 (en) Method of fabricating mask pattern
JP3651344B2 (en) Manufacturing method of semiconductor device
US11682557B2 (en) Recognition method for photolithography process and semiconductor device
US6979651B1 (en) Method for forming alignment features and back-side contacts with fewer lithography and etch steps
US8324743B2 (en) Semiconductor device with a structure to protect alignment marks from damage in a planarization process
TWI688012B (en) Method for manufacturing a semiconductor structure

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIW

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FU, SHIH-CHI;SHIU, FENG-JIA;HO, CHIA-TUNG;AND OTHERS;REEL/FRAME:013906/0405

Effective date: 20021210

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12