US20040261946A1 - Plasma processing apparatus, focus ring, and susceptor - Google Patents

Plasma processing apparatus, focus ring, and susceptor Download PDF

Info

Publication number
US20040261946A1
US20040261946A1 US10/828,437 US82843704A US2004261946A1 US 20040261946 A1 US20040261946 A1 US 20040261946A1 US 82843704 A US82843704 A US 82843704A US 2004261946 A1 US2004261946 A1 US 2004261946A1
Authority
US
United States
Prior art keywords
focus ring
electrostatic chuck
plasma processing
processing apparatus
material portion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/828,437
Inventor
Shosuke Endoh
Noriyuki Iwabuchi
Shigeaki Kato
Tomoya Okubo
Jun Hirose
Koichi Nagakura
Chishio Koshimizu
Kazuki Denpoh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2003271975A external-priority patent/JP4439853B2/en
Priority claimed from JP2004115807A external-priority patent/JP4547182B2/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DENPOH, KAZUKI, ENDOH, SHOSUKE, HIROSE, JUN, IWABUCHI, NORIYUKI, KATO, SHIGEAKI, KOSHIMIZU, CHISHIO, NAGAKURA, KOICHI, OKUBO, TOMOYA
Publication of US20040261946A1 publication Critical patent/US20040261946A1/en
Priority to US12/850,391 priority Critical patent/US8124539B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Definitions

  • the present invention relates to a plasma processing apparatus, a focus ring, and a susceptor.
  • Such a plasma processing apparatus has a plasma processing chamber in which is installed a susceptor on which a wafer W, i.e. an object to be processed, is mounted.
  • the susceptor is comprised of a disk-shaped electrostatic chuck 51 on which the wafer W is mounted, and a focus ring 52 that is made of only a conductive material or only a dielectric material and is disposed on an outer peripheral edge of an upper surface of the electrostatic chuck 51 .
  • the wafer W When carrying out plasma processing on a wafer W, the wafer W is mounted on the electrostatic chuck 51 , and then while maintaining the processing chamber at a predetermined degree of vacuum, in a state with the processing chamber filled with a processing gas, for example a processing gas comprised of C 4 F 8 , O 2 and Ar, the wafer W is fixed onto the electrostatic chuck 51 using electrostatic attraction, and high-frequency electrical power is applied to the electrostatic chuck 51 , thus producing a plasma from the processing gas in the processing chamber.
  • the plasma is focused over the wafer W by the focus ring 52 on the electrostatic chuck 51 , and hence predetermined plasma processing (e.g.
  • dry etching reactive ion etching: RIE
  • RIE reactive ion etching
  • a gap of which a width is several microns exists between the upper surface of the outer peripheral edge of the electrostatic chuck 51 and a rear surface of the focus ring 52 due to undulations on the rear surface of the focus ring 52 caused by surface roughness thereof.
  • this gap becomes in a vacuum state, and thus forms a vacuum thermal insulation layer; the heat transfer ability between the electrostatic chuck 51 and the focus ring 52 thus becomes low, and hence the focus ring 52 cannot be cooled efficiently as with the wafer W, and as a result the temperature of the focus ring 52 rises more than the temperature of the wafer W.
  • an outer peripheral portion of the wafer W becomes hotter than an inside portion thereof, and hence at the outer peripheral portion the etching characteristics become poor, i.e. the hole penetration property (the perpendicular degree of a hole formed by etching relative to a surface of the wafer W) deteriorates, the etching selectivity drops and so on.
  • a susceptor 66 comprised of an electrostatic chuck 62 having coolant channels 61 built therein, a focus ring 63 disposed on an outer peripheral edge of a wafer W mounting surface of the electrostatic chuck 62 , a heat transfer medium 64 interposed between the electrostatic chuck 62 and the focus ring 63 , and a fixing jig 65 that presses and thus fixes the focus ring 63 against the electrostatic chuck 62 (see Japanese Laid-open Patent Publication (Kokai) No. 2002-16126 (FIG. 1)).
  • the heat transfer medium 64 is deformed by a load applied thereto from the fixing jig 65 via the focus ring 63 , and thus fills the gap between the electrostatic chuck 62 and the focus ring 63 , and hence the degree of close contact between the electrostatic chuck 62 and the focus ring 63 is increased, whereby the heat transfer ability between the electrostatic chuck 62 and the focus ring 63 is improved.
  • an etching apparatus 75 comprised of an electrostatic chuck 72 provided inside a reaction chamber 71 , a focus ring 73 provided at a periphery of an upper portion of the electrostatic chuck 72 , and cooling means (a cooling unit) 74 provided along a lower surface of the focus ring 73 , wherein the cooling unit 74 has a substrate 74 a that is made of a material having good thermal conductivity and is provided in close contact with the lower surface of the focus ring 73 , and a coolant pipe 74 b that is provided inside the substrate 74 a and through which a coolant is circulated (see Japanese Laid-open Patent Publication (Kokai) No. H11-330047 (FIG. 1)).
  • etching apparatus there is known an apparatus in which a backside gas such as helium (He) gas having excellent heat transfer ability is made to flow from an upper surface of an electrostatic chuck toward a rear surface of a focus ring, thus diffusing the backside gas through a vacuum gap existing between the electrostatic chuck and the focus ring and hence filling this vacuum gap with the backside gas, whereby the heat transfer ability between the electrostatic chuck and the focus ring is improved.
  • a backside gas such as helium (He) gas having excellent heat transfer ability
  • the degree of close contact between the focus ring and the electrostatic chuck may be improved.
  • an etching apparatus having an electrode built into the electrostatic chuck in a manner facing the focus ring. According to this apparatus, a voltage is applied to the electrode, whereby the electrode attracts the focus ring to the electrostatic chuck by electrostatic attraction, thus improving the degree of close contact between the focus ring and the electrostatic chuck.
  • the heat transfer medium 64 and the fixing jig 65 are necessary, and hence the initial cost increases. Furthermore, the fixing jig 65 is exposed to the plasma, and hence is consumed as plasma processing is carried out repeatedly, resulting in regular maintenance becoming necessary. There is thus also a problem of the maintenance cost increasing.
  • the coolant channels 61 built into the electrostatic chuck 62 collect not only heat from the focus ring 63 but also heat from the fixing jig 65 , and hence there is a problem that the efficiency of cooling of the focus ring 63 cannot be improved as much as hoped.
  • the vacuum gap that exists between the electrostatic chuck and the focus ring has low thickness, and hence it is not possible to make the backside gas diffuse through the vacuum gap sufficiently, and as a result the heat transfer ability between the electrostatic chuck and the focus ring cannot be improved sufficiently. There is thus a problem that the efficiency of cooling of the focus ring cannot be improved as much as hoped.
  • plasma processing is comprised of a plurality of steps, and the magnitude of the high-frequency electrical power used to generate the plasma may be changed from step to step, and hence the temperature of the focus ring may change.
  • the pressure of the backside gas and the voltage applied to the electrode built into the electrostatic chuck are not changed from step to step but rather are constant throughout the plasma processing, and hence the heat transfer ability between the focus ring and the electrostatic chuck does not change.
  • changes in the temperature of the focus ring caused by changes in the magnitude of the high-frequency electrical power cannot be suppressed, and hence the efficiency of cooling of the focus ring cannot be improved.
  • a plasma processing apparatus comprising, a susceptor having an electrostatic chuck on which is mounted an object to be processed that is to be subjected to plasma processing, and a focus ring having a contact portion disposed in contact with the electrostatic chuck, wherein the focus ring has a dielectric material portion that forms the contact portion, and a conductive material portion that faces the electrostatic chuck with the dielectric material portion therebetween.
  • the focus ring has a dielectric material portion that forms a contact portion disposed in contact with an electrostatic chuck, and a conductive material portion that faces the electrostatic chuck with the dielectric material portion therebetween.
  • the dielectric material portion has a constant thickness in a radial direction of the focus ring.
  • the dielectric material portion has a constant thickness in a radial direction of the focus ring.
  • the dielectric material portion is made of an oxide of a material constituting the conductive material portion.
  • the dielectric material portion is made of an oxide of a material constituting the conductive material portion.
  • the dielectric material portion can be formed by oxidizing the conductive material portion.
  • the focus ring can thus be formed easily, and moreover the occurrence of gaps between the dielectric material portion and the conductive material portion can be reliably prevented.
  • the material constituting the conductive material portion is silicon.
  • the material constituting the conductive material portion is silicon.
  • the material can be easily procured, and hence an increase in the cost of the susceptor can be further prevented.
  • the material constituting the dielectric material portion is silicon dioxide.
  • the material constituting the dielectric material portion is silicon dioxide.
  • the dielectric material portion can be formed easily, and hence an increase in the cost of the susceptor can be reliably prevented.
  • a focus ring having a contact portion to be disposed in contact with an electrostatic chuck on which is mounted an object to be processed that is to be subjected to plasma processing, the focus ring comprising, a dielectric material portion that forms the contact portion, and a conductive material portion that faces the electrostatic chuck with the dielectric material portion therebetween.
  • the focus ring has a dielectric material portion that forms a contact portion to be disposed in contact with an electrostatic chuck, and a conductive material portion that faces the electrostatic chuck with the dielectric material portion therebetween.
  • a susceptor comprising, an electrostatic chuck on which is mounted an object to be processed that is to be subjected to plasma processing, and a focus ring having a contact portion disposed in contact with the electrostatic chuck, wherein the focus ring has a dielectric material portion that forms the contact portion, and a conductive material portion that faces the electrostatic chuck with the dielectric material portion therebetween.
  • the focus ring has a dielectric material portion that forms a contact portion disposed in contact with an electrostatic chuck, and a conductive material portion that faces the electrostatic chuck with the dielectric material portion therebetween.
  • a plasma processing apparatus comprising, a susceptor having an electrostatic chuck on which is mounted an object to be processed that is to be subjected to plasma processing, and a focus ring having a contact surface disposed in contact with the electrostatic chuck around a periphery of the object to be processed, and heat exchange means provided at the contact surface, for carrying out heat exchange with the focus ring.
  • the plasma processing apparatus has heat exchange means at a contact surface between the electrostatic chuck and the focus ring.
  • a cooling unit is not required between the electrostatic chuck and the focus ring, and moreover the heat transfer ability between the electrostatic chuck and the focus ring can be improved sufficiently, whereby the efficiency of cooling of the focus ring can be greatly improved, while preventing an increase in cost.
  • the heat exchange means comprises a groove provided in the contact surface and filled with a heat transfer medium.
  • the heat exchange means comprises a groove provided in the contact surface and filled with a heat transfer medium.
  • the heat transfer medium can be made to diffuse reliably between the electrostatic chuck and the focus ring, and hence the efficiency of cooling of the focus ring can be greatly improved.
  • the heat transfer medium is a Galden fluid.
  • the heat transfer medium is a Galden fluid.
  • the heat transfer medium can be easily procured, and hence an increase in cost can be reliably prevented.
  • the groove is formed in the focus ring.
  • the groove is formed in the focus ring.
  • the area of contact between the focus ring and the heat transfer medium can be increased, and moreover the rigidity of the focus ring can be suitably reduced, whereby the focus ring can be deformed to follow the shape of the electrostatic chuck, whereby the degree of close contact between the electrostatic chuck and the focus ring can be improved.
  • the efficiency of cooling of the focus ring can be improved yet more greatly.
  • the groove is formed in the electrostatic chuck.
  • the groove is alternatively formed in the electrostatic chuck. As a result, there is no need to form a groove in the focus ring, whereby the initial cost of the focus ring can be reduced, and hence an increase in cost can be prevented.
  • the groove has a depth of not less than 0.1 mm.
  • the groove has a depth of not less than 0.1 mm.
  • the conductance can be made large (the flow resistance for the heat transfer medium can be decreased), and hence the heat transfer medium can be filled into the groove rapidly., whereby the efficiency of cooling of the focus ring can be improved markedly.
  • the groove has corners thereof rounded off.
  • corners of the groove are rounded off.
  • the occurrence of cracks in the groove can be prevented, and hence the durability of the focus ring can be improved, whereby an increase in the maintenance cost can be prevented.
  • the groove comprises at least one groove having an annular shape concentric with the focus ring.
  • the groove comprises at least one groove having an annular shape concentric with the focus ring.
  • the heat exchange means comprises cooling means for cooling the focus ring.
  • the focus ring is cooled.
  • a deposit generated during the etching treatment will become attached to the focus ring, and can thus be prevented from becoming attached to the object to be processed.
  • the occurrence of particle contamination due to such deposit detaching from the object to be processed when the object to be processed is moved can thus be prevented.
  • the heat exchange means comprises a supply path that supplies a heat transfer gas to the contact surface
  • the plasma processing apparatus further comprising a controller that controls a pressure of the heat transfer gas supplied from the heat exchange means, and wherein the plasma processing comprises a plurality of steps, and the controller changes the pressure of the heat transfer gas supplied in accordance with each of the steps.
  • the controller advantageously changes the pressure of the heat transfer gas supplied in accordance with each of the steps of the plasma processing.
  • the heat transfer ability between the focus ring and the electrostatic chuck can be changed in accordance with the change in the high-frequency voltage, and hence the cooling of the focus ring can be carried out stably.
  • the occurrence of local deterioration of the etching characteristics of the object to be processed can thus be prevented.
  • the plasma processing apparatus further comprises an electrode built into the electrostatic chuck in a manner facing the focus ring, and a controller that controls a voltage applied to the electrode, wherein the electrode attracts the focus ring to the electrostatic chuck by electrostatic attraction, the plasma processing comprises a plurality of steps, and the controller changes the voltage applied to the electrode in accordance with each of the steps.
  • the controller advantageously changes the voltage applied to an electrode built into the electrostatic chuck in accordance with each of the steps of the plasma processing.
  • the heat transfer ability between the focus ring and the electrostatic chuck can be changed in accordance with the change in the high-frequency voltage, and hence the cooling of the focus ring can be carried out stably. The occurrence of local deterioration of the etching characteristics of the object to be processed can thus be prevented.
  • the heat exchange means reduces a temperature of the focus ring to at least 20K below a temperature of the electrostatic chuck.
  • the temperature of the focus ring is reduced to at least 20K below the temperature of the electrostatic chuck. As a result, the deposit can be attached to the focus ring reliably.
  • the heat exchange means reduces the temperature of the focus ring to not more than 0° C.
  • the temperature of the focus ring is reduced to not more than 0° C.
  • the deposit can be attached to the focus ring yet more reliably.
  • the heat exchange means comprises heating means for heating the focus ring.
  • the focus ring is advantageously heated. As a result, attached deposit can be removed. The focus ring replacement cycle can thus be lengthened, and hence the maintenance cost can be reduced.
  • the focus ring further comprises second heating means for heating the focus ring.
  • the focus ring alternatively comprises second heating means for heating the focus ring.
  • the structure of the heat exchange means can be simplified, and hence the initial cost of the apparatus can be reduced.
  • the focus ring is exposed to a cleaning gas.
  • the focus ring may be exposed to a cleaning gas. As a result, deposit attached to the focus ring can be easily removed.
  • the focus ring is exposed to a plasma.
  • the focus ring may be exposed to the plasma.
  • deposit attached to the focus ring can be removed during the plasma processing. The deposit can thus be removed without reducing the efficiency of the plasma processing.
  • the heat exchange means comprises a Peltier device.
  • the heat exchange means alternatively comprises a Peltier device.
  • a heat transfer medium is not required.
  • the structure of the heat exchange means can thus be simplified, and hence the initial cost of the apparatus can be reduced.
  • a focus ring having a contact surface to be disposed in contact with an electrostatic chuck on which is mounted an object to be processed that is to be subjected to plasma processing, around a periphery of the object to be processed, the focus ring comprising, heat exchange means provided at the contact surface, for carrying out heat exchange with the focus ring.
  • the focus ring has heat exchange means at a contact surface between the electrostatic chuck and the focus ring.
  • a cooling unit is not required between the electrostatic chuck and the focus ring, and moreover the heat transfer ability between the electrostatic chuck and the focus ring can be improved sufficiently, whereby the efficiency of cooling of the focus ring can be greatly improved, while preventing an increase in cost.
  • a susceptor comprising, an electrostatic chuck on which is mounted an object to be processed that is to be subjected to plasma processing, a focus ring having a contact surface disposed in contact with the electrostatic chuck around a periphery of the object to be processed, and heat exchange means provided at the contact surface, for carrying out heat exchange with the focus ring.
  • the susceptor has heat exchange means at a contact surface between the electrostatic chuck and the focus ring.
  • a cooling unit is not required between the electrostatic chuck and the focus ring, and moreover the heat transfer ability between the electrostatic chuck and the focus ring can be improved sufficiently, whereby the efficiency of cooling of the focus ring can be greatly improved, while preventing an increase in cost.
  • FIG. 1 is a schematic sectional view showing the construction of a plasma processing apparatus in which can be used a susceptor according to a first embodiment of the present invention
  • FIG. 2 is a schematic sectional view showing the construction of the susceptor according to the first embodiment
  • FIG. 3 is a schematic sectional view showing the construction of a susceptor according to a variation of the first embodiment
  • FIG. 4 is a schematic sectional view showing the construction of a susceptor according to another variation of the first embodiment
  • FIG. 5 is a schematic sectional view showing the construction of a susceptor according to a second embodiment of the present invention.
  • FIG. 6 is a schematic sectional view showing the construction of a susceptor according to a third embodiment of the present invention.
  • FIGS. 7A and 7B are schematic views showing the construction of a heat transfer gas introduction groove appearing in FIG. 6; specifically:
  • FIG. 7A is a view showing a focus ring as viewed from a contact surface thereof.
  • FIG. 7B is a sectional view taken along line III-III in FIG. 7A;
  • FIGS. 8A and 8B are sequence diagrams showing changes in He pressure and F/R chuck voltage during continuous dry etching processing
  • FIG. 9 is a schematic sectional view showing the construction of a susceptor according to a fourth embodiment of the present invention.
  • FIG. 10 is a schematic sectional view showing the construction of a heating member that heats a focus ring, and the heated focus ring;
  • FIG. 11 is a schematic sectional view showing the construction of a susceptor having a Peltier device provided therein;
  • FIG. 12 is a schematic sectional view showing the construction of a plasma processing apparatus in which can be used a susceptor according to a fifth embodiment of the present invention.
  • FIG. 13 is a schematic sectional view showing the construction of essential parts of the plasma processing apparatus shown in FIG. 12;
  • FIG. 14 is a graph showing changes in an etching state with changes in a focus ring gap width G;
  • FIG. 15 is a graph showing changes in an etching state with changes in a focus ring gap width G
  • FIG. 16 is a schematic sectional view showing the construction of a conventional susceptor used in a plasma processing apparatus
  • FIG. 17 is a schematic sectional view showing the construction of a conventional susceptor in which the heat transfer ability between a focus ring and an electrostatic chuck are improved.
  • FIG. 18 is a schematic sectional view showing the construction of a conventional etching apparatus.
  • FIG. 1 is a schematic sectional view showing the construction of a plasma processing apparatus in which can be used the susceptor according to a first embodiment of the present invention.
  • the plasma processing apparatus which is constructed as an RIE type plasma processing apparatus, has a cylindrical chamber 10 that is made of a metal, for example aluminum or stainless steel, and is grounded for safety; the chamber 10 has provided therein a disk-shaped lower electrode 11 on which a wafer W is mounted as an object to be processed.
  • the lower electrode 11 is made, for example, of aluminum, and is supported via an insulating cylindrical holding member 12 by a cylindrical holding portion 13 that extends perpendicularly upwards from a base of the chamber 10 .
  • An exhaust path 14 is formed between a side wall of the chamber 10 and the cylindrical holding portion 13 ; an annular baffle plate 15 is provided at an inlet of the exhaust path 14 or in the exhaust path 14 , and an exhaust port 16 is provided in a bottom portion of the exhaust path 14 , and an exhauster 18 is connected to the exhaust port 16 via an exhaust pipe 17 .
  • the exhauster 18 has a vacuum pump, and reduces the pressure of a processing space in the chamber 10 down to a predetermined degree of vacuum.
  • the exhaust pipe 17 has an automatic pressure control valve (hereinafter referred to as the “APC”), (not shown), which is a variable butterfly valve, and this APC automatically controls the pressure inside the chamber 10 .
  • a gate valve 20 that opens and closes a wafer W conveying in/out port 19 is installed on a side wall of the chamber 10 .
  • a high-frequency power source 21 for plasma production and RIE is electrically connected to the lower electrode 11 via a matching unit 22 and a power-feeding rod 23 .
  • the high-frequency power source 21 applies high-frequency electrical power at a predetermined high frequency, for example 60 MHz, to the lower electrode 11 .
  • a shower head 24 is provided, as an upper electrode at a ground potential, described later, in a ceiling portion of the chamber 10 . A high-frequency voltage from the high-frequency power source 21 is thus applied between the lower electrode 11 and the shower head 24 .
  • An electrostatic chuck 25 that attracts the wafer W thereto through electrostatic attraction is provided on an upper surface of the lower electrode 11 .
  • the electrostatic chuck 25 is comprised of a disk-shaped central portion 25 a , and an annular outer peripheral portion 25 b ; the central portion 25 a projects out (upward in FIG. 1) relative to the outer peripheral portion 25 b .
  • the central portion 25 a is constructed by sandwiching an electrode plate 25 c comprised of a conductive film between a pair of dielectric films
  • the outer peripheral portion 25 b is constructed by sandwiching an electrode plate 25 d comprised of a conductive film between a pair of dielectric films; furthermore, a DC power source 26 is electrically connected to the electrode plate 25 c via a switch 27 , and a DC power source 28 is electrically connected to the electrode plate 25 d via a switch 29 .
  • the electrostatic chuck 25 attracts and holds the wafer W through a Johnsen-Rahbek force or a Coulomb force due to the DC voltage from the DC power source 26 .
  • a focus ring 30 that annularly surrounds the central portion 25 a of the electrostatic chuck 25 is mounted on an upper surface of the outer peripheral portion 25 b of the electrostatic chuck 25 .
  • the lower electrode 11 , the electrostatic chuck 25 and the focus ring 30 together constitute a susceptor.
  • annular coolant chamber 31 that, for example, extends in a circumferential direction.
  • a coolant for example cooling water
  • a heat transfer gas for example He gas
  • a heat transfer gas supply unit 35 is supplied into a gap between an upper surface of the electrostatic chuck 25 and a rear surface of the wafer W via a gas supply line 36 , thus improving heat transfer ability between the wafer W and the electrostatic chuck 25 .
  • the shower head 24 in the ceiling portion has a lower surface electrode plate 37 having a large number of gas vents 37 a therein, and an electrode support 38 that detachably supports the electrode plate 37 .
  • a buffer chamber 39 is provided inside the electrode support 38 , and gas supply piping 41 from a processing gas supply unit 40 is connected to a gas introduction port 38 a of the buffer chamber 39 .
  • a magnet 42 that extends annularly or concentrically is disposed around the chamber 10 .
  • the component elements of the plasma processing apparatus for example the exhauster 18 , the high-frequency power source 21 , the switches 27 and 29 for the electrostatic chuck 25 , the chiller unit 32 , the heat transfer gas supply unit 35 , the processing gas supply unit 40 and so on, are connected to a controller 43 that controls the operation of these component elements.
  • a horizontal magnetic field oriented in one direction is formed by the magnet 42 , and moreover an RF (radio-frequency, i.e. high-frequency) electric field is formed in a perpendicular direction by the high-frequency voltage applied between the lower electrode 11 and the shower head 24 ; as a result, magnetron discharge occurs via the processing gas in the chamber 10 , and hence a high-density plasma is produced from the processing gas in the vicinity of the surface of the lower electrode 11 .
  • RF radio-frequency
  • a processing gas e.g. a mixed gas comprised of C 4 F 8 gas, O 2 gas and Ar gas with a predetermined flow rate ratio therebetween
  • a processing gas e.g. a mixed gas comprised of C 4 F 8 gas, O 2 gas and Ar gas with a predetermined flow rate ratio therebetween
  • the pressure inside the chamber 10 is set to a predetermined value using the exhauster 18 and so on.
  • high-frequency electrical power is supplied to the lower electrode 11 from the high-frequency power source 21 , and a DC voltage is applied to the electrode plate 25 c of the electrostatic chuck 25 from the DC power source 26 , thus attracting the wafer W to the electrostatic chuck 25 .
  • the processing gas discharged from the shower head 24 is made into a plasma as described above, and a surface of the wafer W is etched by radicals, ions or the like produced through this plasma.
  • the processing gas is dissociated into a desirable state.
  • the dissociated processing gas is made into a plasma, and hence a high-density plasma can be formed even at a low pressure.
  • oxidation and nitriding processing can be carried out with little damage to the wafer W, and thus the high-density plasma greatly contributes to realization of high performance and low power consumption of semiconductor devices.
  • the plasma processing apparatus can solve technical problems which may arise with development of ultrafine processing for the wafer W.
  • FIG. 2 is a schematic sectional view showing the construction of the susceptor according to the first embodiment.
  • the susceptor according to the first embodiment can be used in a plasma processing apparatus in which a film to be etched of the wafer W is an oxide film.
  • the susceptor according to the first embodiment is comprised of a lower electrode 11 , the electrostatic chuck 25 , which is disposed on the upper surface of the lower electrode 11 , and the focus ring 30 , which is mounted on the upper surface of the outer peripheral portion 25 b of the electrostatic chuck 25 .
  • the lower electrode 11 has the coolant chamber 31 therein, the electrostatic chuck 25 has the electrode plate 25 c inside the central portion 25 a thereof and has the electrode plate 25 d inside the outer peripheral portion 25 b thereof, and the focus ring 30 has a dielectric material portion 30 a that forms a contact portion disposed in contact with the outer peripheral portion 25 b , and a conductive material portion 30 b that faces the outer peripheral portion 25 b with the dielectric material portion 30 a therebetween.
  • the film to be etched of the wafer W is an oxide film
  • a part of the focus ring 30 that will be exposed to the plasma is preferably made of silicon (Si), and hence the conductive material portion 30 b is made of silicon, and the dielectric material portion 30 a is made of silicon dioxide (SiO 2 ), which is an oxide of silicon.
  • the whole of the focus ring becomes at a negative potential as with the plasma, but because nothing exists to block the flow of charge between the focus ring and the electrostatic chuck, the negative charge on the focus ring flows out to the electrostatic chuck via the contact surface between the focus ring and the electrostatic chuck. The charge that gives rise to the electrostatic attraction between the focus ring and the electrostatic chuck is thus reduced.
  • the conductive material portion 30 b becomes at a negative potential as with the plasma, and hence a positive charge is induced in the dielectric material portion 30 a at the interface of the dielectric material portion 30 a with the conductive material portion 30 b , and thus a negative charge arises through dielectric polarization in the dielectric material portion 30 a at the interface of the dielectric material portion 30 a with the electrostatic chuck 25 .
  • a positive charge will arise through dielectric polarization in the surface portion of the electrostatic chuck 25 at the interface of the surface portion of the electrostatic chuck 25 with the dielectric material portion 30 a .
  • the electrostatic attraction between the electrostatic chuck 25 and the focus ring 30 can be increased through the action of these charges.
  • the voltage applied to the electrode plate 25 d by the DC power source 28 is determined by the specific resistance of the dielectric material portion 30 a .
  • the specific resistance is at least 1013 ⁇
  • the electrostatic attraction generated by the charge induced in the conductive material portion 30 b will be a Coulomb force, and hence the applied voltage will be approximately 1.5 to 4.0 kV
  • the specific resistance is less than 1013 ⁇
  • the above electrostatic attraction will be a Johnsen-Rahbek force, and hence the applied voltage will be approximately 0 to 1.0 kV.
  • the thickness of the dielectric material portion 30 a is constant in the radial direction of the focus ring 30 ; the greater the thickness of the dielectric material portion 30 a , the worse the heat transfer ability between the electrostatic chuck 25 and the conductive material portion 30 b , and hence it is preferable for this thickness to be low.
  • the film to be etched of the wafer W is an oxide film, and hence the dielectric material portion 30 a , which is made of silicon dioxide, will be consumed as the plasma processing is carried out repeatedly. It is thus necessary for the thickness of the dielectric material portion 30 a to be at least the thickness that will be consumed during one maintenance cycle.
  • the focus ring 30 is comprised of a dielectric material portion 30 a that forms a contact portion disposed in contact with the outer peripheral portion 25 b , and a conductive material portion 30 b that faces the outer peripheral portion 25 b of the electrostatic chuck 25 with the dielectric material portion 30 a therebetween.
  • the thickness of the dielectric material portion 30 a is constant in the radial direction of the focus ring 30 , and hence the electrostatic attraction between the electrostatic chuck 25 and the focus ring 30 can be made constant and thus the degree of close contact between the electrostatic chuck 25 and the focus ring 30 can be made uniform; the focus ring 30 can thus be cooled uniformly, and hence the occurrence of local deterioration of the etching characteristics can be prevented.
  • the material constituting the conductive material portion 30 b is silicon, and hence procuring the material is easy, and thus an increase in the cost of the susceptor can be further prevented.
  • the material constituting the dielectric material portion 30 a is silicon dioxide, and hence the dielectric material portion 30 a can easily be formed by sputtering or the like, and thus an increase in the cost of the susceptor can be reliably prevented; moreover, with a dielectric material portion 30 a formed by sputtering, the surface of the contact portion with the focus ring 30 can be made smooth, and hence the degree of close contact between the electrostatic chuck 25 and the focus ring 30 can be further improved.
  • the thickness of the dielectric material portion 30 a is constant in the radial direction of the focus ring 30 ; however, the dielectric material portion 30 a may be constructed such that the thickness thereof increases from the inside of the focus ring 30 outward as shown in FIG. 3, or may be constructed such that the thickness thereof increases from the outside of the focus ring 30 inward as shown in FIG. 4.
  • the dielectric material portion 30 a may be constructed such that the dielectric constant thereof increases from the inside of the focus ring 30 outward, or may be constructed such that the dielectric constant thereof increases from the outside of the focus ring 30 inward.
  • the susceptor according to the second embodiment has basically the same construction and operation as in the first embodiment described above, and hence description of aspects of the construction and operation that overlap with the first embodiment will be omitted, and in the following only aspects of the construction and operation that differ to the first embodiment will be described.
  • FIG. 5 is a schematic sectional view showing the construction of the susceptor according to the second embodiment.
  • the susceptor according to the second embodiment can be used in a plasma processing apparatus in which a film to be etched of the wafer W is a polysilicon film.
  • the focus ring 30 is comprised of a dielectric material portion 30 c that forms a contact portion disposed in contact with the outer peripheral portion 25 b of the electrostatic chuck 25 , a conductive material portion 30 d that faces the outer peripheral portion 25 b with the dielectric material portion 30 c therebetween, and another dielectric material portion 30 e that is disposed on the conductive material portion 30 d.
  • the lower electrode 11 and the electrostatic chuck 25 are identical in construction with the first embodiment.
  • the film to be etched of the wafer W is a polysilicon film
  • a part of the focus ring 30 that will be exposed to the plasma is preferably made of a material other than silicon, and hence the other dielectric material portion 30 e is made of silicon dioxide.
  • the dielectric material portion 30 c is also made of silicon dioxide
  • the conductive material portion 30 d is made of silicon; part of the conductive material portion 30 d is exposed to and contacts the plasma.
  • the DC power source 28 applies a high voltage to the electrode plate 25 d .
  • the conductive material portion 30 d disposed in contact with the plasma becomes at a negative potential as with the plasma, and hence a positive charge is induced in the dielectric material portion 30 c at the interface of the dielectric material portion 30 c with the conductive material portion 30 d , and thus a negative charge arises through dielectric polarization in the dielectric material portion 30 c at the interface of the dielectric material portion 30 c with the electrostatic chuck 25 .
  • a positive charge will arise through dielectric polarization in the surface portion of the electrostatic chuck 25 at the interface of the surface portion of the electrostatic chuck 25 with the dielectric material portion 30 c .
  • the electrostatic attraction between the electrostatic chuck 25 and the focus ring 30 can be increased through the action of these charges.
  • the electrode plate 25 d and the plasma are two electrodes of a capacitor, even if it is considered that charge will be accumulated in the dielectric material (focus ring) interposed between the two electrodes, because the thickness of the dielectric material is too great, the capacitance of the capacitor will be insufficient, i.e. it will not be possible to accumulate a large amount of charge for generating electrostatic attraction.
  • the dielectric material interposed between the two electrodes is the dielectric material portion 30 c , which is sufficiently thin compared with the conventional focus ring, and hence the capacitance of the capacitor can be made large, i.e. a large amount of charge for generating electrostatic attraction can be accumulated.
  • the thicknesses of the dielectric material portion 30 c and the conductive material portion 30 d are constant in the radial direction of the focus ring 30 , and it is preferable for each of these thicknesses to be low.
  • the film to be etched of the wafer W is a polysilicon film, and hence the conductive material portion 30 d , which is made of silicon, will be consumed as the plasma processing is carried out repeatedly. It is thus necessary for the thickness of the conductive material portion 30 d to be at least the thickness that will be consumed during one maintenance cycle.
  • the focus ring 30 has a dielectric material portion 30 c that forms a contact portion disposed in contact with the outer peripheral portion 25 b of the electrostatic chuck 25 , and a conductive material portion 30 d that faces the outer peripheral portion 25 b with the dielectric material portion 30 c therebetween.
  • the amount of charge for generating electrostatic attraction can be made high, and thus the electrostatic attraction between the electrostatic chuck 25 and the focus ring 30 can be increased, and hence the degree of close contact between the electrostatic chuck 25 and the focus ring 30 can be increased, and thus the heat transfer ability between the electrostatic chuck 25 and the focus ring 30 can be improved.
  • the efficiency of cooling of the focus ring 30 can be greatly improved, while preventing an increase in the cost of the susceptor.
  • the thickness of the dielectric material portion 30 c is constant in the radial direction of the focus ring 30 ; however, as with the susceptor according to the first embodiment described earlier, the dielectric material portion 30 c may be constructed such that the thickness thereof increases from the inside of the focus ring 30 outward or from the outside of the focus ring 30 inward, and moreover the dielectric material portion 30 c may be constructed such that the dielectric constant thereof increases from the inside of the focus ring 30 outward or from the outside of the focus ring 30 inward.
  • silicon is used as the material constituting the conductive material portion, but any material that will become negatively charged upon contacting the plasma may be used as the material constituting the conductive material portion, for example aluminum (Al), a semiconductor, or the like may be used.
  • Al aluminum
  • the charge induced in the conductive material portion can be further increased, and hence the degree of close contact between the electrostatic chuck 25 and the focus ring 30 can be further increased, and thus the heat transfer ability between the electrostatic chuck 25 and the focus ring 30 can be further improved.
  • silicon dioxide is used as the material constituting the dielectric material portion(s), but any insulating material (especially a material having a high dielectric constant) may be used as the material constituting the dielectric material portion(s), for example silicon nitride (SiN), alumite, or the like may be used.
  • SiN silicon nitride
  • alumite or the like may be used.
  • the dielectric material portion(s) can be formed by oxidizing the conductive material portion.
  • the focus ring 30 can thus be formed easily, and moreover the occurrence of gaps between the dielectric material portion(s) and the conductive material portion can be prevented, and hence the charge induced in the conductive material portion can be further increased.
  • the method of forming the dielectric material portion(s) is not limited to sputtering, but rather CVD, dipping and so on may be used as appropriate in accordance with the material.
  • the electrostatic chuck 25 and the dielectric material portion 30 a or 30 c contact one another directly, but a heat-resistant elastic member made of conductive silicone rubber or the like may be interposed between the electrostatic chuck 25 and the dielectric material portion 30 a or 30 c , whereby the heat transfer ability between the electrostatic chuck 25 and the focus ring 30 can be further improved.
  • helium gas may be filled between the electrostatic chuck 25 and the dielectric material portion 30 a or 30 c as a backside gas, whereby again the heat transfer ability can be further improved.
  • the susceptor according to the third embodiment has basically the same construction and operation as in the first embodiment described earlier, and hence description of aspects of the construction and operation that overlap with the first embodiment will be omitted, and in the following only aspects of the construction and operation that differ to the first embodiment will be described.
  • the heat transfer gas (heat transfer medium), for example He gas, from the heat transfer gas supply unit 35 is supplied via a gas supply line 46 into a gap between an upper surface of the central portion 25 a of the electrostatic chuck 25 and a rear surface of the wafer W, a gap between an upper surface of the outer peripheral portion 25 b of the electrostatic chuck 25 and a rear surface of the focus ring 30 , and a gap between the lower electrode 11 and the electrostatic chuck 25 , thus improving the heat transfer ability between the wafer W and the electrostatic chuck 25 , between the focus ring 30 and the electrostatic chuck 25 , and between the electrostatic chuck 25 and the lower electrode 11 .
  • He gas heat transfer medium
  • FIG. 6 is a schematic sectional view showing the construction of the susceptor according to the third embodiment.
  • the susceptor according to the third embodiment is comprised of a lower electrode 11 , an electrostatic chuck 25 disposed on an upper surface of the lower electrode 11 , and a focus ring 30 mounted on an upper surface of an outer peripheral portion 25 b of the electrostatic chuck 25 .
  • the gas supply line 46 has a wafer section line 46 a that opens out at the upper surface of the central portion 25 a , and a focus ring section line 46 b that opens out at two places in the upper surface of the outer peripheral portion 25 b ; the two openings of the focus ring section line 46 b are disposed in the upper surface of the outer peripheral portion 25 b symmetrically such that the center of the central portion 25 a is midway between the two openings (see FIG. 7A).
  • the wafer section line 46 a has a PCV (pressure control valve) 80 and an opening/closing valve 81 ; the PCV 80 and the opening/closing valve 81 are connected to the controller 43 , which controls the operation of the PCV 80 and the opening/closing valve 81 .
  • the PCV 80 controls the pressure of the He gas supplied onto the rear surface of the wafer W from the wafer section line 46 a , and the opening/closing valve 81 closes off the wafer section line 46 a from the heat transfer gas supply unit 35 in response to a command from the controller 43 .
  • the focus ring section line 46 b also has a PCV 82 and an opening/closing valve 83 ; the PCV 82 and the opening/closing valve 83 are connected to the controller 43 , which controls the operation of the PCV 82 and the opening/closing valve 83 .
  • the PCV 82 controls the pressure of the He gas supplied into a heat transfer gas introduction groove 44 , described below, by the focus ring section line 46 b , and the opening/closing valve 83 closes off the focus ring section line 46 b from the heat transfer gas supply unit 35 in response to a command from the controller 43 .
  • the focus ring section line 46 b has a chamber release system 84 between the openings of the focus ring section line 46 b and the opening/closing valve 83 .
  • the chamber release system 84 is comprised of two lines 85 a and 85 b .
  • the lines 85 a and 85 b are each communicated at one end thereof with the focus ring section line 46 b , and are connected at the other end thereof to one another to form a single line, which is communicated with the interior of the chamber 10 .
  • the line 85 a has an opening/closing valve 86
  • the line 85 b has an opening/closing valve 87 and a constriction 88 .
  • the opening/closing valves 86 and 87 are connected to the controller 43 , which controls the operation of the opening/closing valves 86 and 87 .
  • the wafer section line 46 a may also have a system similar to the chamber release system 84 , as shown in FIG. 6.
  • a plurality of wafer section lines 46 a are provided, each opening in the upper surface of the central portion 25 a in a manner facing a central portion and a peripheral portion of the rear surface of the wafer W, whereby the temperature of the wafer W can be appropriately controlled.
  • the focus ring 30 has a heat transfer gas introduction groove 44 formed in a contact surface (contact portion) thereof disposed in contact with the outer peripheral portion 25 b ;
  • the material of the focus ring 30 is selected as appropriate in accordance with the type of the film to be etched of the wafer W, for example silicon (Si) can be used in the case that the film to be etched of the wafer W is an oxide film, and silicon dioxide (SiO 2 ) can be used in the case that the film to be etched of the wafer W is a polysilicon film.
  • Silicon nitride (SiN), alumite-treated aluminum (Al), silicon carbide (SiC), and so on can also be used.
  • FIGS. 7A and 7B are schematic views showing the construction of the heat transfer gas introduction groove 44 appearing in FIG. 6; specifically, FIG. 7A is a view showing the focus ring 30 as viewed from the contact surface, and FIG. 7B is a sectional view taken along line III-III in FIG. 7A.
  • the heat transfer gas introduction groove 44 has formed in the contact surface an inner introduction groove 44 a that has an annular shape concentric with the focus ring 30 , an outer introduction groove 44 b that has an annular shape concentric with the focus ring 30 and is disposed so as to surround the inner introduction groove 44 a , and radial introduction grooves 44 c that join the inner introduction groove 44 a and the outer introduction groove 44 b together; the diameter of the outer introduction groove 44 b is approximately equal to the distance between the two openings of the focus ring section line 46 b in the upper surface of the outer peripheral portion 25 b.
  • the center of the focus ring 30 coincides with the center of the central portion 25 a of the electrostatic chuck 25 , and hence when the focus ring 30 is mounted on the upper surface of the outer peripheral portion 25 b , the openings of the focus ring section line 46 b face the outer introduction groove 44 b , whereby He gas supplied from the openings of the focus ring section line 46 b is filled into the heat transfer gas introduction groove 44 .
  • the inner introduction groove 44 a , the outer introduction groove 44 b and the radial introduction grooves 44 c have a substantially rectangular cross-sectional shape, a width of, for example, 1 mm, and a depth of 0.1 to 11.0 mm, preferably at least 0.5 mm, and moreover have corners thereof rounded off.
  • He pressure the supply pressure of He gas into the heat transfer gas introduction groove 44
  • F/R chuck voltage the high voltage applied to the electrode plate 25 d to attract the focus ring 30 to the outer peripheral portion 25 b of the electrostatic chuck 25
  • FIGS. 8A and 8B are sequence diagrams showing changes in the He pressure and the F/R chuck voltage during continuous dry etching processing.
  • the continuous dry etching processing is comprised of a PCV zero point adjusting sequence in which adjustment of the zero point of the PCV 82 is carried out, a leakage checking sequence in which leakage of the He gas supplied into the heat transfer gas introduction groove 44 is checked for, a conveying-in sequence in which a wafer W is conveyed into the chamber 10 , a processing sequence in which the wafer W that has been conveyed in is subjected to dry etching, a conveying-out sequence in which the wafer W that has been subjected to the dry etching is conveyed out from the chamber 10 , and a lower electrode decharging sequence in which the lower electrode 11 , which has become charged during the dry etching, is decharged; the continuous dry etching processing is carried out through a suitable combination of these sequences.
  • N 2 gas is introduced into the chamber 10 (N 2 purge on), and the APC is opened and the exhauster 18 is operated, thus reducing the pressure inside the chamber 10 .
  • the PCV 82 is closed, thus closing off the focus ring section line 46 b from the heat transfer gas supply unit 35 , and the opening/closing valves 83 , 86 and 87 are opened.
  • the focus ring section line 46 b is thus evacuated by the exhauster 18 via the chamber release system 84 .
  • the evacuation is continued for a predetermined time period, and then the adjustment of the zero point of the PCV 82 is carried out based on the pressure inside the focus ring section line 46 b (PCV zero point adjustment on).
  • the APC is closed, thus raising the pressure inside the chamber 10 controlled by the APC (hereinafter referred to as the “APC-controlled pressure”), whereby the APC-controlled pressure is set to an F/R chuck attraction pressure, which is the pressure inside the chamber 10 when checking for leakage.
  • the APC-controlled pressure is rising, once the APC-controlled pressure reaches 6.65 ⁇ 10 4 Pa (500 torr), a high voltage is applied to the electrode plate 25 d , whereby the F/R chuck voltage is set to an F/R chuck provisional attraction voltage for provisional attraction, and then after the lapse of 2.5 seconds, the F/R chuck voltage is set to an F/R chuck attraction voltage for main attraction.
  • He gas is then supplied into the heat transfer gas introduction groove 44 from the focus ring section line 46 b , and once the He pressure has reached an F/R leakage checking pressure, the PCV 82 is closed (the He pressure is put into an “off” state), and after a predetermined time period has passed, the pressure inside the focus ring section line 46 b is measured, and it is determined whether or not the measured pressure is within a predetermined range.
  • the leakage checking may alternatively be carried out not by measuring the pressure inside the focus ring section line 46 b , but rather by measuring the gas flow rate inside the focus ring section line 46 b.
  • the APC is opened, and at the same time the F/R chuck voltage is set to a conveying-in time F/R chuck voltage, and the He pressure is set to a conveying-in time F/R cooling pressure.
  • the He pressure is set to a conveying-in time F/R cooling pressure.
  • the APC is closed, thus raising the APC-controlled pressure to a processing pressure, which is the pressure inside the chamber 10 required for the dry etching, and the dry etching is commenced, and then in accordance with the respective steps in a recipe for the dry etching, the He pressure is, for example, changed to a step-1 F/R cooling pressure, a step-2 F/R cooling pressure and so on, and at the same time the F/R chuck voltage is, for example, changed to a step-1 F/R chuck voltage, a step-2 F/R chuck voltage and so on.
  • step-1 F/R cooling pressure and the step-1 F/R chuck voltage and so on are set in advance such that the temperature of the focus ring 30 will remain constant even when the high-frequency voltage applied to the lower electrode 11 and the high voltage applied to the electrode plate 25 c are changed from step to step.
  • the step-n F/R cooling pressure and the step-n F/R chuck voltage can each be set for 24 steps.
  • the APC is opened, the F/R chuck voltage is set to a conveying-out time F/R chuck voltage, and, as in the PCV zero point adjusting sequence described above, the PCV 82 is closed, and the opening/closing valves 83 , 86 and 87 are opened, and adjustment of the zero point of the PCV 82 is carried out (PCV zero point adjustment on).
  • N 2 gas is introduced into the chamber 10 (N 2 purge on), and the He pressure is set to a conveying-out time F/R cooling pressure, and the wafer W that has been subjected to the dry etching is conveyed out from the chamber 10 .
  • the APC is closed, thus setting the APC-controlled pressure to the processing pressure, and decharging of the lower electrode 11 is carried out. Then, in the following conveying-in sequence, the APC is opened, and the F/R chuck voltage is set to the conveying-in time F/R chuck voltage, the He pressure is set to the conveying-in time F/R cooling pressure, and the introduction of N 2 gas into the chamber 10 is suspended (N 2 purge off). The next wafer W (i.e. a second wafer W) is then conveyed into the chamber 10 , and is mounted on and attracted to the electrostatic chuck 25 .
  • the reason for not setting the F/R chuck voltage and the He pressure to zero but rather carrying out cooling of the focus ring 30 during the conveying-out sequence and the conveying-in sequence is to prepare for the dry etching of the next wafer W, i.e. to completely remove the heat from the focus ring 30 , and thus make the dry etching conditions uniform for all of the wafers W.
  • the APC is opened, and the F/R chuck voltage is set to the conveying-in time F/R chuck voltage, and the He pressure is set to the conveying-in time F/R cooling pressure; then, after a predetermined time period has passed, the PCV 82 is closed, and the opening/closing valves 83 , 86 and 87 are opened, thus carrying out evacuation of the focus ring section line 46 b .
  • the He pressure is once again set to the conveying-in time F/R cooling pressure, and furthermore the F/R chuck voltage is set to zero, thus releasing the electrostatic attraction of the focus ring 30 onto the electrostatic chuck 25 .
  • the set values of the F/R chuck voltage and the He pressure are changed for the conveying-in sequence, the processing sequence and the conveying-out sequence, and in particular are changed for each step of the processing sequence, and hence the cooling of the focus ring 30 can be carried out stably.
  • the occurrence of local deterioration of the etching characteristics of the wafer W can thus be prevented.
  • the focus ring 30 has a heat transfer gas introduction groove 44 formed in the contact surface thereof disposed in contact with the outer peripheral portion 25 b of the electrostatic chuck 25 , and when the focus ring 30 has been mounted on the upper surface of the outer peripheral portion 25 b , the openings of the focus ring section line 46 b face the heat transfer gas introduction groove 44 , and hence He gas from the focus ring section line 46 b is filled into the heat transfer gas introduction groove 44 ; consequently, a cooling unit is not required between the electrostatic chuck 25 and the focus ring 30 , and moreover the He gas can be made to diffuse reliably between the electrostatic chuck 25 and the focus ring 30 , and furthermore the area of contact between the focus ring 30 and the He gas can be increased.
  • the heat transfer ability between the electrostatic chuck 25 and the focus ring 30 can thus be improved sufficiently, whereby the efficiency of cooling of the focus ring 30 can be greatly improved, while preventing an increase in the cost of the plasma processing apparatus.
  • the heat transfer gas introduction groove 44 causes a suitable reduction in the rigidity of the focus ring 30 , whereby the focus ring 30 can be deformed to follow the shape of the electrostatic chuck 25 , whereby the degree of close contact between the electrostatic chuck 25 and the focus ring 30 can be improved.
  • the efficiency of cooling of the focus ring 30 can be improved yet more greatly.
  • the depth of the heat transfer gas introduction groove 44 is at least 0.1 mm, and hence the conductance can be made large, and thus the He gas can be filled into the heat transfer gas introduction groove 44 rapidly, whereby the efficiency of cooling of the focus ring 30 can be improved markedly.
  • corners of the heat transfer gas introduction groove 44 are rounded off, and hence the occurrence of cracks in the heat transfer gas introduction groove 44 can be prevented, and thus the durability of the focus ring 30 can be improved, whereby an increase in the maintenance cost can be prevented.
  • the heat transfer gas introduction groove 44 has formed in the contact surface an inner introduction groove 44 a that has an annular shape concentric with the focus ring 30 , an outer introduction groove 44 b that has an annular shape concentric with the focus ring 30 and is disposed so as to surround the inner introduction groove 44 a , and radial introduction grooves 44 c that join the inner introduction groove 44 a and the outer introduction groove 44 b together; as a result, the He gas can be made to diffuse uniformly between the electrostatic chuck 25 and the focus ring 30 (i.e. over the contact surface), and hence the focus ring 30 can be cooled uniformly.
  • the heat transfer gas introduction groove 44 has two annular sections in the contact surface; however, the structure of the heat transfer gas introduction groove 44 is not limited to this, and may be changed as appropriate in accordance with the size and rigidity of the focus ring 30 , having, for example, a single annular section, or three or more annular sections.
  • the heat transfer gas introduction groove 44 need not have the radial introduction grooves 44 c ; in the case that the heat transfer gas introduction groove 44 does not have radial introduction grooves 44 c , openings of the gas supply line 46 facing the inner introduction groove 44 a are preferably disposed in the upper surface of the outer peripheral portion 25 b.
  • the number of openings of the focus ring section line 46 b is not limited to being two, but rather openings of the focus ring section line 46 b may be disposed in three or more places in the upper surface of the outer peripheral portion 25 b.
  • the susceptor according to the fourth embodiment has basically the same construction and operation as in the third embodiment described above, and hence description of aspects of the construction and operation that overlap with the third embodiment will be omitted, and in the following only aspects of the construction and operation that differ to the third embodiment will be described.
  • FIG. 9 is a schematic sectional view showing the construction of the susceptor according to the fourth embodiment.
  • the susceptor according to the fourth embodiment is again comprised of a lower electrode 11 , an electrostatic chuck 25 disposed on an upper surface of the lower electrode 11 , and a focus ring 30 mounted on an upper surface of an outer peripheral portion 25 b of the electrostatic chuck 25 .
  • the electrostatic chuck 25 has a heat transfer gas introduction groove 45 formed in the upper surface of the outer peripheral portion 25 b ;
  • the heat transfer gas introduction groove 45 has formed in the upper surface of the outer peripheral portion 25 b an inner introduction groove 45 a that has an annular shape concentric with the central portion 25 a , an outer introduction groove 45 b that has an annular shape concentric with the central portion 25 a and is disposed so as to surround the inner introduction groove 45 a , and radial introduction grooves (not shown) that join the inner introduction groove 45 a and the outer introduction groove 45 b together;
  • a focus ring section line 46 b of a gas supply line 46 is joined to the outer introduction groove 45 b .
  • He gas supplied from the openings of the focus ring section line 46 b is filled into the heat transfer gas introduction groove 45 .
  • the center of the focus ring 30 coincides with the center of the central portion 25 a of the electrostatic chuck 25 , and hence when the focus ring 30 is mounted on the upper surface of the outer peripheral portion 25 b , the inner introduction groove 45 a and the outer introduction groove 45 b are disposed concentrically with the focus ring 30 .
  • the inner introduction groove 45 a , the outer introduction groove 45 b and the radial introduction grooves have a substantially rectangular cross-sectional shape, a width of, for example, 1 mm, and a depth of 0.1 to 11.0 mm, preferably at least 0.5 mm, and moreover have corners thereof rounded off.
  • the electrostatic chuck 25 has a heat transfer gas introduction groove 45 formed in the upper surface of the outer peripheral portion 25 b , and the focus ring section line 46 b of the gas supply line 46 is joined to the outer introduction groove 45 b and thus supplies He gas into the heat transfer gas introduction groove 45 ; consequently, a cooling unit is not required between the electrostatic chuck 25 and the focus ring 30 , and the need to form a heat transfer gas introduction groove in the focus ring 30 can be eliminated, and moreover the He gas can be made to diffuse reliably between the electrostatic chuck 25 and the focus ring 30 .
  • the heat transfer ability between the electrostatic chuck 25 and the focus ring 30 can thus be improved sufficiently, whereby the efficiency of cooling of the focus ring 30 can be greatly improved, while reducing the initial cost of the plasma processing apparatus.
  • the heat transfer gas introduction groove 45 has formed in the upper surface of the outer peripheral portion 25 b an inner introduction groove 45 a that has an annular shape concentric with the central portion 25 a , an outer introduction groove 45 b that has an annular shape concentric with the central portion 25 a and is disposed so as to surround the inner introduction groove 45 a , and radial introduction grooves that join the inner introduction groove 45 a and the outer introduction groove 45 b together; as a result, the He gas can be made to diffuse uniformly over the upper surface of the outer peripheral portion 25 b , which is the contact surface between the outer peripheral portion 25 b and the focus ring 30 , and hence the focus ring 30 can be cooled uniformly.
  • the heat transfer gas introduction groove 45 has two annular sections in the upper surface of the outer peripheral portion 25 b ; however, the structure of the heat transfer gas introduction groove 45 is not limited to this, and may be changed as appropriate in accordance with the size of the focus ring 30 , having, for example, a single annular section, or three or more annular sections.
  • the focus ring 30 and the electrostatic chuck 25 may each have a heat transfer gas introduction groove, whereby the efficiency of cooling of the focus ring 30 can be further improved.
  • Depositable radicals attached to the wafer beveled portion may detach from the wafer W when the wafer W is taken out from the plasma processing apparatus after the dry etching processing has been carried out on the wafer W.
  • the detached depositable radicals will remain inside the plasma processing apparatus, and during the next and subsequent times the dry etching processing is carried out, will be flung around by the flow of the N 2 gas. The flung around depositable radicals may become attached to the surface of a wafer W, thus causing particle contamination.
  • depositable radicals are not attached to the wafer beveled portion.
  • a method of preventing attachment of depositable radicals to the wafer beveled portion one can envisage, for example, making O 2 gas or He gas flow between the focus ring and the electrostatic chuck, thus generating a gas flow in the vicinity of the surface of the wafer beveled portion.
  • the gas flow will also sweep away etching radicals, and hence it will not be possible to maintain the uniformity of the etching at an edge part of the wafer W.
  • the temperature of the focus ring 30 When cooling the focus ring 30 , it is preferable to reduce the temperature of the focus ring 30 to at least 20K below the temperature of the electrostatic chuck 25 . Even during the dry etching processing, the temperature of the electrostatic chuck 25 is maintained at approximately 20° C. by the coolant chamber 31 inside the lower electrode 11 . Upon reducing the temperature of the focus ring 30 to at least 20K below the temperature of the electrostatic chuck 25 , the temperature of the focus ring 30 will thus be reduced to not more than 0° C. As a result, depositable radicals can be reliably attached to the focus ring 30 .
  • the focus ring 30 is thus heated by filling a high-temperature medium into the heat transfer gas introduction groove 44 or 45 .
  • the depositable radicals are sublimed by the high temperature, and hence by heating the focus ring 30 , the attached depositable radicals can be removed.
  • the focus ring 30 replacement cycle can be lengthened, and hence the maintenance cost for the plasma processing apparatus can be kept down.
  • the method of heating the focus ring is not limited to filling with a high-temperature heat transfer medium.
  • the focus ring may be heated by a heating member (second heating means) that covers an outer peripheral surface of the focus ring.
  • FIG. 10 is a schematic sectional view showing the construction of a heating member that heats a focus ring, and the heated focus ring.
  • the outside radius of the focus ring 48 is set to be smaller than that of the focus ring 30 described earlier, and an upper surface of the focus ring 48 is made to be stepped such that an outer peripheral side of the upper surface is lowered.
  • the heating member 47 is an annular member having an inverted L-shaped cross section; an inner peripheral surface of the heating member 47 covers the outer peripheral surface of the focus ring 48 , and moreover a projecting portion of the L shape loosely fits into the stepped portion of the upper surface of the focus ring 48 .
  • the heating member 47 is made of silicon (Si), silicon carbide (SiC), silicon dioxide (SiO 2 ) or the like, for which the temperature readily rises upon being subjected to collision by radicals.
  • a plasma is generated inside the plasma processing apparatus, whereby radicals are caused to collide with the heating member 47 , thus raising the temperature of the heating member 47 .
  • the heated heating member 47 transfers its heat to the focus ring 48 , thus heating the focus ring 48 .
  • the heating member 47 By using the heating member 47 , the necessity of filling a high-temperature medium into the heat transfer gas introduction groove 44 or 45 can be eliminated, and hence the structures of the heat transfer gas introduction groove 44 or 45 , the gas supply line 46 and the heat transfer gas supply unit 35 can be simplified.
  • the removal of depositable radicals may be realized not only by heating the focus ring, but also by another method.
  • depositable radicals may be removed by making a cleaning gas flow into the plasma processing apparatus. Specifically, a plasma is generated from a cleaning gas comprised of O 2 , NF 3 , SF 6 , CF 4 or the like, and hence radicals thus generated are caused to collide with the depositable radicals attached to the focus ring, thus scattering the depositable radicals, whereby the depositable radicals can easily be removed from the focus ring.
  • a cleaning gas comprised of O 2 , NF 3 , SF 6 , CF 4 or the like
  • the depositable radicals may be removed by, for example, exposing the focus ring to the plasma generated during the dry etching processing. Specifically, radicals generated from the processing gas are caused to collide with the depositable radicals attached to the focus ring. As a result, the deposit attached to the focus ring 30 can be removed during the continuous dry etching processing. The deposit can thus be removed without reducing the efficiency of the dry etching processing of the wafers W.
  • the methods of cooling and heating the focus ring are not limited to methods using a heat transfer medium as described above.
  • a Peltier device 49 may be provided at the contact surface between the focus ring 30 and the electrostatic chuck 25 .
  • the Peltier device 49 may be provided on either the focus ring 30 or the electrostatic chuck 25 .
  • the electrostatic chuck 25 is disk-shaped, and the focus ring 30 is annular in shape, but the shapes of the electrostatic chuck 25 and the focus ring 30 are not limited to these shapes; for example, in the case that the objects to be processed are LCDs or the like, in accordance with the shape of the LCDs, the electrostatic chuck 25 may have a square plate shape, and the focus ring 30 may have a square frame shape.
  • FIG. 12 is a schematic sectional view showing the construction of a plasma processing apparatus in which can be used the susceptor according to the fifth embodiment.
  • a vacuum chamber 101 that forms a processing vessel is made, for example, of aluminum or the like, and is formed in a cylindrical shape.
  • the vacuum chamber 101 has provided therein an susceptor 102 for mounting a wafer W, and this susceptor 102 also acts as a lower electrode.
  • a shower head 103 that also acts as an upper electrode is provided in a ceiling portion of the vacuum chamber 101 ; the susceptor 102 and the shower head 103 together constitute a pair of parallel plate electrodes.
  • a gas diffusion cavity 104 is provided above the shower head 103 , and moreover a large number of small holes 105 are provided in the shower head 103 , which are positioned below the gas diffusion cavity 104 .
  • the shower head 103 is constructed such that a predetermined processing gas (etching gas) supplied from a processing gas supply system 106 diffuses through the gas diffusion cavity 104 , and is supplied from the small holes 105 in the form of a shower toward the wafer W.
  • the shower head 103 is made to be at a ground potential, but a construction may be adopted in which a high-frequency power source is connected to the shower head 103 , and high-frequency voltages are applied to both the susceptor 102 and the shower head 103 .
  • a high-frequency power source 108 is connected to the susceptor 102 via a matching unit 107 , and high-frequency electrical power at a predetermined high frequency (e.g. a frequency of from approximately several hundred kHz to approximately one hundred MHz) is supplied to the susceptor 102 .
  • a predetermined high frequency e.g. a frequency of from approximately several hundred kHz to approximately one hundred MHz
  • an electrostatic chuck 109 for attracting and holding the wafer W is provided on a wafer W mounting surface of the susceptor 102 .
  • the electrostatic chuck 109 has a construction in which an electrostatic chuck electrode 109 b is provided in an insulating layer 109 a , and a DC power source 110 is connected to the electrostatic chuck electrode 109 b .
  • a focus ring 111 is provided on an upper surface of the susceptor 102 so as to surround the wafer W.
  • the focus ring 111 is constructed such as to have an annular shape overall, but as shown in FIG. 12 and also in more detail in FIG. 13, is comprised of a lower member 111 a that is mounted on the susceptor 102 , and an upper member 111 b that is disposed above the lower member 111 a.
  • the lower member 111 a and the upper member 111 b are each made of, for example, silicon or the like, and constructed in an annular shape. Moreover, a gap 111 c is formed between the lower member 111 a and the upper member 111 b , and as shown by arrows in FIG. 13, the gap 111 c acts as a flow path for the processing gas.
  • a plurality of pin insertion holes 113 (in the present embodiment, a total of three at 120° intervals along a circumferential direction) are provided in the lower member 111 a , and a pin 114 is inserted into each of the pin insertion holes 113 .
  • fixing holes 115 are provided in the upper member 111 b in correspondence with the pins 114 , and the upper member 111 b is supported on the pins 114 in a state in which a tip portion of each pin 114 is inserted into the corresponding fixing hole 115 .
  • the upper member 111 b is thus substantially mounted on the pins 114 , and hence the structure is such that the upper member 111 b can be detached from the lower member 111 a by being lifted up, whereby it is possible to replace only the upper member 111 b.
  • the pins 114 are detachable from the lower member 111 a , and by replacing the pins 114 with ones of a different length, the width of the gap 111 c between the lower member 111 a and the upper member 111 b (the gap width G shown in FIG. 13) can be changed.
  • Reference numeral 116 in FIG. 13 represents a positioning pin for positioning the focus ring 111 in a predetermined position on the susceptor 102 ; a total of two such positioning pins 116 are provided separated from one another by 180° in the circumferential direction on the susceptor 102 . Moreover, positioning holes 117 and 118 are provided in the lower member 111 a and the upper member 111 b respectively in correspondence with the positioning pins 116 .
  • a coolant flow path for coolant circulation is formed in the susceptor 102 , whereby the temperature of the susceptor 102 can be controlled to a predetermined temperature.
  • a gas supply mechanism not shown, that supplies a cooling gas, for example helium gas, between the susceptor 102 and a rear surface of the wafer W is provided, and through this cooling gas, heat exchange between the susceptor 102 and the wafer W is promoted, and hence the temperature of the wafer W can be controlled to a predetermined temperature.
  • an exhaust port 120 is provided in a base of the vacuum chamber 101 , and an exhaust system 121 comprised of a vacuum pump or the like is connected to the exhaust port 120 .
  • an exhaust ring 122 formed in an annular shape is provided around the susceptor 102 in a manner extending substantially parallel with the wafer W mounting surface. Exhaust paths comprised of a large number of holes are formed in the exhaust ring 122 , and by carrying out evacuation using the exhaust system 121 via these exhaust paths, a uniform processing gas flow is formed around the susceptor 102 . Moreover, the exhaust ring 122 is electrically connected to a ground potential, and hence a plasma formed in a processing space between the susceptor 102 and the shower head 103 is prevented from leaking out into a space below the exhaust ring 122 .
  • a magnetic field-forming mechanism 123 is provided around the vacuum chamber 101 , whereby a desired magnetic field can be formed in the processing space inside the vacuum chamber 101 .
  • a rotating mechanism 124 is provided for the magnetic field-forming mechanism 123 , whereby the magnetic field inside the vacuum chamber 101 can be rotated by rotating the magnetic field-forming mechanism 123 around the vacuum chamber 101 .
  • a gate valve, not shown, provided in a conveying in/out port, not shown, of the vacuum chamber 101 is opened, and a wafer W is conveyed into the vacuum chamber 101 using a conveyance mechanism or the like, and mounted on the susceptor 102 .
  • the wafer W mounted on the susceptor 102 is then attracted and held by applying a predetermined DC voltage from the DC power source 110 to the electrostatic chuck electrode 109 b of the electrostatic chuck 109
  • the conveyance mechanism is withdrawn from the vacuum chamber 101 , the gate valve is closed, and the interior of the vacuum chamber 101 is exhausted using the vacuum pump or the like of the exhaust system 121 .
  • a predetermined processing gas for etching processing is introduced into the vacuum chamber 101 from the processing gas supply system 106 via the gas diffusion cavity 104 and the small holes 105 , and the interior of the vacuum chamber 101 is maintained at a predetermined pressure, for example approximately 1 Pa to 100 Pa.
  • the processing gas supplied onto the surface of the wafer W from the small holes 105 of the shower head 103 flows from a central portion of the surface of the wafer W toward a peripheral portion thereof, passes from the periphery of the wafer W through the gap 111 c between the lower member 111 a and the upper member 111 b of the focus ring 111 , and then flows downward and is thus exhausted.
  • This flow of the processing gas is formed uniformly around the wafer W.
  • the processing gas supplied onto the surface of the wafer W would pass over the focus ring and flow out to the surroundings.
  • the flow of the processing gas would thus stagnate at the peripheral portion of the wafer W, and hence the etching rate for the wafer W as a whole may drop, and moreover the etching rate at the peripheral portion of the wafer W may be different to the etching rate at the central portion of the wafer W.
  • the flow of the processing gas at the peripheral portion of the wafer W can be made smooth, and hence the problems described above can be resolved, i.e. the etching rate for the wafer W as a whole can be improved, and the uniformity of the etching rate over the surface of the wafer W can be improved.
  • the supply of the high-frequency electrical power from the high-frequency power source 108 is stopped, thus stopping the etching processing, and then using a procedure opposite to that described earlier, the wafer W is conveyed out from the vacuum chamber 101 .
  • the graphs in FIGS. 14 and 15 show changes in the etching state at each portion of a wafer W with changes in the gap width G of the gap 111 c in the focus ring 111 ; in FIGS. 14 and 15, the axis of ordinate shows the etching rate (nm/min), and the axis of abscissa shows the distance (mm) from the center of the wafer.
  • the film to be etched was an SiN film, the etching gas used was CHF 3 /CF 4 /Ar/O 2 at flow rates of 30, 75, 600 and 15 sccm respectively, and the etching was carried out under conditions of a pressure of 23.3 Pa (175 mTorr), and a high-frequency electrical power of 1000 W (frequency: 13.56 MHz).
  • FIG. 14 shows the case that the thickness of the upper member 111 b of the focus ring 111 was 1.5 mm
  • FIG. 15 shows the case that the thickness of the upper member 111 b of the focus ring 111 was 2.8 mm.
  • the thickness of the lower member 111 a of the focus ring 111 was 1.5 mm.
  • FIG. 15 shows the case that the thickness of the upper member 111 b is 2.8 mm
  • FIG. 14 shows the case that the thickness of the upper member 111 b is 1.5 mm
  • the results shown in FIG. 14 thus substantially show the results for the state that 1.3 mm of the upper member 111 b has been consumed from the state shown in FIG. 15.
  • the effect of consumption of the focus ring on the uniformity of the etching processing over the surface of the wafer W can be reduced in the case that the gap width G is 2.5 mm compared with the case that the gap width G is zero.
  • the maintenance frequency i.e. the frequency of replacing the focus ring, can thus be reduced in the case that the gap width G is 2.5 mm compared with the case that the gap width G is zero.
  • the gap width G is made to be narrower than 0.5 mm, then the operation of the gap 111 c as a processing gas flow path is impaired due to a drop in the conductance, and hence the marked difference in terms of operating effects described above disappears. It is thus preferable to make the gap width G at least 0.5 mm.
  • the etching rate for the wafer W as a whole can be increased, and hence the throughput can be increased, and thus the productivity can be improved.
  • the etching rate at a peripheral portion of the wafer W becomes lower than the etching rate at a central portion of the wafer W if the gap width G is zero
  • the etching rate at the peripheral portion of the wafer W can be increased more than the etching rate at the central portion of the wafer W, and hence the uniformity of the etching rate over the surface of the wafer W can be improved, and thus high-precision etching processing can be carried out.
  • the frequency of maintenance such as replacing the focus ring 111 can be reduced, and hence the uptime ratio for the apparatus can be improved, and thus the productivity can be improved; furthermore, when consumption of the focus ring 111 has occurred, by replacing only the upper member 111 b , i.e. only part of the focus ring 111 , the running cost can be reduced.
  • the present invention is applied to the etching of semiconductor wafers; however, the present invention is not limited to this case, but rather can also be similarly applied in the case of carrying out etching on other substrates such as glass substrates for liquid crystal display apparatuses.
  • the values of the focus ring cooling pressure and the focus ring chuck voltage may be set in advance in accordance with the thickness of the focus ring that will be consumed over time during one maintenance cycle.
  • the extent of consumption of the focus ring may be detected using detection means such as an optical sensor, and the detected values may be fed back into the set values of the focus ring cooling pressure and the focus ring chuck voltage, whereby the extent of consumption of the focus ring can be reflected in the processing recipe for the next time of carrying out processing.
  • the present invention can be applied not only to etching apparatuses, but also to other plasma processing apparatuses such as CVD apparatuses and ashing apparatuses.

Abstract

A plasma processing apparatus having a focus ring, enables the efficiency of cooling of the focus ring to be greatly improved, while preventing an increase in cost thereof. The plasma processing apparatus is comprised of a susceptor which has an electrostatic chuck and the focus ring. A wafer W to be subjected to plasma processing is mounted on the electrostatic chuck. The focus ring has a dielectric material portion and a conductive material portion. The dielectric material portion forms a contact portion disposed in contact with the electrostatic chuck. The conductive material portion faces the electrostatic chuck with the dielectric material portion therebetween.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates to a plasma processing apparatus, a focus ring, and a susceptor. [0002]
  • 2. Description of the Related Art [0003]
  • Widely known examples of plasma processing apparatuses include CVD apparatuses, etching apparatuses, ashing apparatuses and so on. Such a plasma processing apparatus has a plasma processing chamber in which is installed a susceptor on which a wafer W, i.e. an object to be processed, is mounted. As shown in FIG. 16, the susceptor is comprised of a disk-shaped [0004] electrostatic chuck 51 on which the wafer W is mounted, and a focus ring 52 that is made of only a conductive material or only a dielectric material and is disposed on an outer peripheral edge of an upper surface of the electrostatic chuck 51.
  • When carrying out plasma processing on a wafer W, the wafer W is mounted on the [0005] electrostatic chuck 51, and then while maintaining the processing chamber at a predetermined degree of vacuum, in a state with the processing chamber filled with a processing gas, for example a processing gas comprised of C4F8, O2 and Ar, the wafer W is fixed onto the electrostatic chuck 51 using electrostatic attraction, and high-frequency electrical power is applied to the electrostatic chuck 51, thus producing a plasma from the processing gas in the processing chamber. The plasma is focused over the wafer W by the focus ring 52 on the electrostatic chuck 51, and hence predetermined plasma processing (e.g. dry etching (reactive ion etching: RIE) processing) is carried out on the wafer W. At this time, the temperature of the wafer W increases due to being subjected to the dry etching processing, but the wafer W is cooled by a cooling mechanism built into the electrostatic chuck 51. In this cooling, a backside gas such as helium gas having excellent heat transfer ability is made to flow from the upper surface of the electrostatic chuck 51 toward the rear surface of the wafer W, thus improving the heat transfer ability between the electrostatic chuck 51 and the wafer W, whereby the wafer W is cooled efficiently.
  • On the other hand, a gap of which a width is several microns exists between the upper surface of the outer peripheral edge of the [0006] electrostatic chuck 51 and a rear surface of the focus ring 52 due to undulations on the rear surface of the focus ring 52 caused by surface roughness thereof. When the processing chamber is put into a vacuum state by reducing the pressure therein, this gap becomes in a vacuum state, and thus forms a vacuum thermal insulation layer; the heat transfer ability between the electrostatic chuck 51 and the focus ring 52 thus becomes low, and hence the focus ring 52 cannot be cooled efficiently as with the wafer W, and as a result the temperature of the focus ring 52 rises more than the temperature of the wafer W. Due to this increase in the temperature of the focus ring 52, an outer peripheral portion of the wafer W becomes hotter than an inside portion thereof, and hence at the outer peripheral portion the etching characteristics become poor, i.e. the hole penetration property (the perpendicular degree of a hole formed by etching relative to a surface of the wafer W) deteriorates, the etching selectivity drops and so on.
  • Moreover, in recent years, there have been rapid advances in increasing the diameter of wafers W and in ultrafine processing, and hence it has become that a large number of devices are produced from a single wafer W. There are thus cases in which devices are also produced from the outer peripheral portion of a wafer W. It is thus necessary to prevent the temperature of the [0007] focus ring 52 from increasing, thus preventing the etching characteristics at the outer peripheral portion of the wafer W from deteriorating.
  • To prevent the temperature of the [0008] focus ring 52 from increasing, it is necessary to improve the heat transfer ability between the focus ring and the electrostatic chuck; as a susceptor in which this heat transfer ability is improved, as shown in FIG. 17, there is known a susceptor 66 comprised of an electrostatic chuck 62 having coolant channels 61 built therein, a focus ring 63 disposed on an outer peripheral edge of a wafer W mounting surface of the electrostatic chuck 62, a heat transfer medium 64 interposed between the electrostatic chuck 62 and the focus ring 63, and a fixing jig 65 that presses and thus fixes the focus ring 63 against the electrostatic chuck 62 (see Japanese Laid-open Patent Publication (Kokai) No. 2002-16126 (FIG. 1)).
  • According to the [0009] susceptor 66, the heat transfer medium 64 is deformed by a load applied thereto from the fixing jig 65 via the focus ring 63, and thus fills the gap between the electrostatic chuck 62 and the focus ring 63, and hence the degree of close contact between the electrostatic chuck 62 and the focus ring 63 is increased, whereby the heat transfer ability between the electrostatic chuck 62 and the focus ring 63 is improved.
  • Moreover, as an etching apparatus in which the temperature of the focus ring is prevented from increasing, as shown in FIG. 18, there is known an [0010] etching apparatus 75 comprised of an electrostatic chuck 72 provided inside a reaction chamber 71, a focus ring 73 provided at a periphery of an upper portion of the electrostatic chuck 72, and cooling means (a cooling unit) 74 provided along a lower surface of the focus ring 73, wherein the cooling unit 74 has a substrate 74 a that is made of a material having good thermal conductivity and is provided in close contact with the lower surface of the focus ring 73, and a coolant pipe 74 b that is provided inside the substrate 74 a and through which a coolant is circulated (see Japanese Laid-open Patent Publication (Kokai) No. H11-330047 (FIG. 1)).
  • Moreover, as another etching apparatus, there is known an apparatus in which a backside gas such as helium (He) gas having excellent heat transfer ability is made to flow from an upper surface of an electrostatic chuck toward a rear surface of a focus ring, thus diffusing the backside gas through a vacuum gap existing between the electrostatic chuck and the focus ring and hence filling this vacuum gap with the backside gas, whereby the heat transfer ability between the electrostatic chuck and the focus ring is improved. [0011]
  • Furthermore, to improve the heat transfer ability between a focus ring and an electrostatic chuck, the degree of close contact between the focus ring and the electrostatic chuck may be improved. To this purpose, there is known an etching apparatus having an electrode built into the electrostatic chuck in a manner facing the focus ring. According to this apparatus, a voltage is applied to the electrode, whereby the electrode attracts the focus ring to the electrostatic chuck by electrostatic attraction, thus improving the degree of close contact between the focus ring and the electrostatic chuck. [0012]
  • However, with the [0013] susceptor 66 described above, in addition to the component parts of a conventional susceptor, the heat transfer medium 64 and the fixing jig 65 are necessary, and hence the initial cost increases. Furthermore, the fixing jig 65 is exposed to the plasma, and hence is consumed as plasma processing is carried out repeatedly, resulting in regular maintenance becoming necessary. There is thus also a problem of the maintenance cost increasing.
  • Moreover, the [0014] coolant channels 61 built into the electrostatic chuck 62 collect not only heat from the focus ring 63 but also heat from the fixing jig 65, and hence there is a problem that the efficiency of cooling of the focus ring 63 cannot be improved as much as hoped.
  • Moreover, with the [0015] etching apparatus 75 described above, again the cooling unit 74 is necessary, and hence the initial cost increases; furthermore, if the cooling unit 74 is exposed to the plasma, then the cooling unit 74 will be consumed as plasma processing is carried out repeatedly, resulting in regular maintenance becoming necessary, and hence there is also a problem of the maintenance cost increasing.
  • Furthermore, with the other etching apparatus, the vacuum gap that exists between the electrostatic chuck and the focus ring has low thickness, and hence it is not possible to make the backside gas diffuse through the vacuum gap sufficiently, and as a result the heat transfer ability between the electrostatic chuck and the focus ring cannot be improved sufficiently. There is thus a problem that the efficiency of cooling of the focus ring cannot be improved as much as hoped. [0016]
  • Moreover, in general, plasma processing is comprised of a plurality of steps, and the magnitude of the high-frequency electrical power used to generate the plasma may be changed from step to step, and hence the temperature of the focus ring may change. However, the pressure of the backside gas and the voltage applied to the electrode built into the electrostatic chuck are not changed from step to step but rather are constant throughout the plasma processing, and hence the heat transfer ability between the focus ring and the electrostatic chuck does not change. There is thus a problem that changes in the temperature of the focus ring caused by changes in the magnitude of the high-frequency electrical power cannot be suppressed, and hence the efficiency of cooling of the focus ring cannot be improved. [0017]
  • SUMMARY OF THE INVENTION
  • It is an object of the present invention to provide a plasma processing apparatus, a focus ring, and a susceptor, which enable the efficiency of cooling of the focus ring to be greatly improved, while preventing an increase in cost. [0018]
  • To attain the above object, in a first aspect of the present invention, there is provided a plasma processing apparatus comprising, a susceptor having an electrostatic chuck on which is mounted an object to be processed that is to be subjected to plasma processing, and a focus ring having a contact portion disposed in contact with the electrostatic chuck, wherein the focus ring has a dielectric material portion that forms the contact portion, and a conductive material portion that faces the electrostatic chuck with the dielectric material portion therebetween. [0019]
  • According to the first aspect of the present invention, the focus ring has a dielectric material portion that forms a contact portion disposed in contact with an electrostatic chuck, and a conductive material portion that faces the electrostatic chuck with the dielectric material portion therebetween. As a result, when an object to be processed is subjected to plasma processing, the amount of charge for generating electrostatic attraction between the electrostatic chuck and the focus ring can be made high, and thus the electrostatic attraction between the electrostatic chuck and the focus ring can be increased, and hence the degree of close contact between the electrostatic chuck and the focus ring can be increased, and thus the heat transfer ability between the electrostatic chuck and the focus ring can be improved. The efficiency of cooling of the focus ring can thus be greatly improved, while preventing an increase in the cost of the susceptor. [0020]
  • Preferably, the dielectric material portion has a constant thickness in a radial direction of the focus ring. [0021]
  • According to this preferred form, the dielectric material portion has a constant thickness in a radial direction of the focus ring. As a result, the electrostatic attraction between the electrostatic chuck and the conductive material portion can be made constant and thus the degree of close contact between the electrostatic chuck and the focus ring can be made uniform. The focus ring can thus be cooled uniformly, and hence the occurrence of local deterioration of the etching characteristics can be prevented. [0022]
  • Preferably, the dielectric material portion is made of an oxide of a material constituting the conductive material portion. [0023]
  • According to this preferred form, the dielectric material portion is made of an oxide of a material constituting the conductive material portion. As a result, the dielectric material portion can be formed by oxidizing the conductive material portion. The focus ring can thus be formed easily, and moreover the occurrence of gaps between the dielectric material portion and the conductive material portion can be reliably prevented. [0024]
  • Preferably, the material constituting the conductive material portion is silicon. [0025]
  • According to this preferred form, the material constituting the conductive material portion is silicon. As a result, the material can be easily procured, and hence an increase in the cost of the susceptor can be further prevented. [0026]
  • Preferably, the material constituting the dielectric material portion is silicon dioxide. [0027]
  • According to this preferred form, the material constituting the dielectric material portion is silicon dioxide. As a result, the dielectric material portion can be formed easily, and hence an increase in the cost of the susceptor can be reliably prevented. [0028]
  • To attain the above object, in a second aspect of the present invention, there is provided a focus ring having a contact portion to be disposed in contact with an electrostatic chuck on which is mounted an object to be processed that is to be subjected to plasma processing, the focus ring comprising, a dielectric material portion that forms the contact portion, and a conductive material portion that faces the electrostatic chuck with the dielectric material portion therebetween. [0029]
  • According to the second aspect of the present invention, the focus ring has a dielectric material portion that forms a contact portion to be disposed in contact with an electrostatic chuck, and a conductive material portion that faces the electrostatic chuck with the dielectric material portion therebetween. As a result, when an object to be processed is subjected to plasma processing, the amount of charge for generating electrostatic attraction between the electrostatic chuck and the focus ring can be made high, and thus the electrostatic attraction between the electrostatic chuck and the focus ring can be increased, and hence the degree of close contact between the electrostatic chuck and the focus ring can be increased, and thus the heat transfer ability between the electrostatic chuck and the focus ring can be improved. The efficiency of cooling of the focus ring can thus be greatly improved, while preventing an increase in the cost of the susceptor. [0030]
  • To attain the above object, in a third aspect of the present invention, there is provided a susceptor comprising, an electrostatic chuck on which is mounted an object to be processed that is to be subjected to plasma processing, and a focus ring having a contact portion disposed in contact with the electrostatic chuck, wherein the focus ring has a dielectric material portion that forms the contact portion, and a conductive material portion that faces the electrostatic chuck with the dielectric material portion therebetween. [0031]
  • According to the third aspect of the present invention, the focus ring has a dielectric material portion that forms a contact portion disposed in contact with an electrostatic chuck, and a conductive material portion that faces the electrostatic chuck with the dielectric material portion therebetween. As a result, when an object to be processed is subjected to plasma processing, the amount of charge for generating electrostatic attraction between the electrostatic chuck and the focus ring can be made high, and thus the electrostatic attraction between the electrostatic chuck and the focus ring can be increased, and hence the degree of close contact between the electrostatic chuck and the focus ring can be increased, and thus the heat transfer ability between the electrostatic chuck and the focus ring can be improved. The efficiency of cooling of the focus ring can thus be greatly improved, while preventing an increase in the cost of the susceptor. [0032]
  • To attain the above object, in a fourth aspect of the present invention, there is provided a plasma processing apparatus comprising, a susceptor having an electrostatic chuck on which is mounted an object to be processed that is to be subjected to plasma processing, and a focus ring having a contact surface disposed in contact with the electrostatic chuck around a periphery of the object to be processed, and heat exchange means provided at the contact surface, for carrying out heat exchange with the focus ring. [0033]
  • According to the fourth aspect of the present invention, the plasma processing apparatus has heat exchange means at a contact surface between the electrostatic chuck and the focus ring. As a result, a cooling unit is not required between the electrostatic chuck and the focus ring, and moreover the heat transfer ability between the electrostatic chuck and the focus ring can be improved sufficiently, whereby the efficiency of cooling of the focus ring can be greatly improved, while preventing an increase in cost. [0034]
  • Preferably, the heat exchange means comprises a groove provided in the contact surface and filled with a heat transfer medium. [0035]
  • According to this preferred form, the heat exchange means comprises a groove provided in the contact surface and filled with a heat transfer medium. As a result, the heat transfer medium can be made to diffuse reliably between the electrostatic chuck and the focus ring, and hence the efficiency of cooling of the focus ring can be greatly improved. [0036]
  • More preferably, the heat transfer medium is a Galden fluid. [0037]
  • According to this preferred form, the heat transfer medium is a Galden fluid. As a result, the heat transfer medium can be easily procured, and hence an increase in cost can be reliably prevented. [0038]
  • More preferably, the groove is formed in the focus ring. [0039]
  • According to this preferred form, the groove is formed in the focus ring. As a result, the area of contact between the focus ring and the heat transfer medium can be increased, and moreover the rigidity of the focus ring can be suitably reduced, whereby the focus ring can be deformed to follow the shape of the electrostatic chuck, whereby the degree of close contact between the electrostatic chuck and the focus ring can be improved. As a result, the efficiency of cooling of the focus ring can be improved yet more greatly. [0040]
  • Alternatively, the groove is formed in the electrostatic chuck. [0041]
  • According to this preferred form, the groove is alternatively formed in the electrostatic chuck. As a result, there is no need to form a groove in the focus ring, whereby the initial cost of the focus ring can be reduced, and hence an increase in cost can be prevented. [0042]
  • More preferably, the groove has a depth of not less than 0.1 mm. [0043]
  • According to this preferred form, the groove has a depth of not less than 0.1 mm. As a result, the conductance can be made large (the flow resistance for the heat transfer medium can be decreased), and hence the heat transfer medium can be filled into the groove rapidly., whereby the efficiency of cooling of the focus ring can be improved markedly. [0044]
  • More preferably, the groove has corners thereof rounded off. [0045]
  • According to this preferred form, corners of the groove are rounded off. As a result, the occurrence of cracks in the groove can be prevented, and hence the durability of the focus ring can be improved, whereby an increase in the maintenance cost can be prevented. [0046]
  • More preferably, the groove comprises at least one groove having an annular shape concentric with the focus ring. [0047]
  • According to this preferred form, the groove comprises at least one groove having an annular shape concentric with the focus ring. As a result, the heat transfer medium can be made to diffuse uniformly over the contact surface between the focus ring and the electrostatic chuck, and hence the focus ring can be cooled uniformly. [0048]
  • Preferably, the heat exchange means comprises cooling means for cooling the focus ring. [0049]
  • According to this preferred form, the focus ring is cooled. As a result, a deposit generated during the etching treatment will become attached to the focus ring, and can thus be prevented from becoming attached to the object to be processed. The occurrence of particle contamination due to such deposit detaching from the object to be processed when the object to be processed is moved can thus be prevented. [0050]
  • Advantageously, the heat exchange means comprises a supply path that supplies a heat transfer gas to the contact surface, the plasma processing apparatus further comprising a controller that controls a pressure of the heat transfer gas supplied from the heat exchange means, and wherein the plasma processing comprises a plurality of steps, and the controller changes the pressure of the heat transfer gas supplied in accordance with each of the steps. [0051]
  • According to this preferred form, the controller advantageously changes the pressure of the heat transfer gas supplied in accordance with each of the steps of the plasma processing. As a result, even if a high-frequency voltage for plasma generation is changed from step to step, the heat transfer ability between the focus ring and the electrostatic chuck can be changed in accordance with the change in the high-frequency voltage, and hence the cooling of the focus ring can be carried out stably. The occurrence of local deterioration of the etching characteristics of the object to be processed can thus be prevented. [0052]
  • Advantageously, the plasma processing apparatus further comprises an electrode built into the electrostatic chuck in a manner facing the focus ring, and a controller that controls a voltage applied to the electrode, wherein the electrode attracts the focus ring to the electrostatic chuck by electrostatic attraction, the plasma processing comprises a plurality of steps, and the controller changes the voltage applied to the electrode in accordance with each of the steps. [0053]
  • According to this preferred form, the controller advantageously changes the voltage applied to an electrode built into the electrostatic chuck in accordance with each of the steps of the plasma processing. As a result, even if a high-frequency voltage for plasma generation is changed from step to step, the heat transfer ability between the focus ring and the electrostatic chuck can be changed in accordance with the change in the high-frequency voltage, and hence the cooling of the focus ring can be carried out stably. The occurrence of local deterioration of the etching characteristics of the object to be processed can thus be prevented. [0054]
  • More preferably, the heat exchange means reduces a temperature of the focus ring to at least 20K below a temperature of the electrostatic chuck. [0055]
  • According to this preferred form, the temperature of the focus ring is reduced to at least 20K below the temperature of the electrostatic chuck. As a result, the deposit can be attached to the focus ring reliably. [0056]
  • Still more preferably, the heat exchange means reduces the temperature of the focus ring to not more than 0° C. [0057]
  • According to this preferred form, the temperature of the focus ring is reduced to not more than 0° C. As a result, the deposit can be attached to the focus ring yet more reliably. [0058]
  • Advantageously, the heat exchange means comprises heating means for heating the focus ring. [0059]
  • According to this preferred form, the focus ring is advantageously heated. As a result, attached deposit can be removed. The focus ring replacement cycle can thus be lengthened, and hence the maintenance cost can be reduced. [0060]
  • Alternatively, the focus ring further comprises second heating means for heating the focus ring. [0061]
  • According to this preferred form, the focus ring alternatively comprises second heating means for heating the focus ring. As a result, the structure of the heat exchange means can be simplified, and hence the initial cost of the apparatus can be reduced. [0062]
  • Alternatively, the focus ring is exposed to a cleaning gas. [0063]
  • According to this preferred form, the focus ring may be exposed to a cleaning gas. As a result, deposit attached to the focus ring can be easily removed. [0064]
  • Alternatively, the focus ring is exposed to a plasma. [0065]
  • According to this preferred form, the focus ring may be exposed to the plasma. As a result, deposit attached to the focus ring can be removed during the plasma processing. The deposit can thus be removed without reducing the efficiency of the plasma processing. [0066]
  • Alternatively, the heat exchange means comprises a Peltier device. [0067]
  • According to this preferred form, the heat exchange means alternatively comprises a Peltier device. As a result, a heat transfer medium is not required. The structure of the heat exchange means can thus be simplified, and hence the initial cost of the apparatus can be reduced. [0068]
  • To attain the above object, in a fifth aspect of the present invention, there is provided a focus ring having a contact surface to be disposed in contact with an electrostatic chuck on which is mounted an object to be processed that is to be subjected to plasma processing, around a periphery of the object to be processed, the focus ring comprising, heat exchange means provided at the contact surface, for carrying out heat exchange with the focus ring. [0069]
  • According to the fifth aspect of the present invention, the focus ring has heat exchange means at a contact surface between the electrostatic chuck and the focus ring. As a result, a cooling unit is not required between the electrostatic chuck and the focus ring, and moreover the heat transfer ability between the electrostatic chuck and the focus ring can be improved sufficiently, whereby the efficiency of cooling of the focus ring can be greatly improved, while preventing an increase in cost. [0070]
  • To attain the above object, in a sixth aspect of the present invention, there is provided a susceptor comprising, an electrostatic chuck on which is mounted an object to be processed that is to be subjected to plasma processing, a focus ring having a contact surface disposed in contact with the electrostatic chuck around a periphery of the object to be processed, and heat exchange means provided at the contact surface, for carrying out heat exchange with the focus ring. [0071]
  • According to the sixth aspect of the present invention, the susceptor has heat exchange means at a contact surface between the electrostatic chuck and the focus ring. As a result, a cooling unit is not required between the electrostatic chuck and the focus ring, and moreover the heat transfer ability between the electrostatic chuck and the focus ring can be improved sufficiently, whereby the efficiency of cooling of the focus ring can be greatly improved, while preventing an increase in cost. [0072]
  • The above and other objects, features, and advantages of the invention will become more apparent from the following detailed description taken in conjunction with the accompanying drawings.[0073]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic sectional view showing the construction of a plasma processing apparatus in which can be used a susceptor according to a first embodiment of the present invention; [0074]
  • FIG. 2 is a schematic sectional view showing the construction of the susceptor according to the first embodiment [0075]
  • FIG. 3 is a schematic sectional view showing the construction of a susceptor according to a variation of the first embodiment [0076]
  • FIG. 4 is a schematic sectional view showing the construction of a susceptor according to another variation of the first embodiment; [0077]
  • FIG. 5 is a schematic sectional view showing the construction of a susceptor according to a second embodiment of the present invention; [0078]
  • FIG. 6 is a schematic sectional view showing the construction of a susceptor according to a third embodiment of the present invention; [0079]
  • FIGS. 7A and 7B are schematic views showing the construction of a heat transfer gas introduction groove appearing in FIG. 6; specifically: [0080]
  • FIG. 7A is a view showing a focus ring as viewed from a contact surface thereof; and [0081]
  • FIG. 7B is a sectional view taken along line III-III in FIG. 7A; [0082]
  • FIGS. 8A and 8B are sequence diagrams showing changes in He pressure and F/R chuck voltage during continuous dry etching processing; [0083]
  • FIG. 9 is a schematic sectional view showing the construction of a susceptor according to a fourth embodiment of the present invention; [0084]
  • FIG. 10 is a schematic sectional view showing the construction of a heating member that heats a focus ring, and the heated focus ring; [0085]
  • FIG. 11 is a schematic sectional view showing the construction of a susceptor having a Peltier device provided therein; [0086]
  • FIG. 12 is a schematic sectional view showing the construction of a plasma processing apparatus in which can be used a susceptor according to a fifth embodiment of the present invention; [0087]
  • FIG. 13 is a schematic sectional view showing the construction of essential parts of the plasma processing apparatus shown in FIG. 12; [0088]
  • FIG. 14 is a graph showing changes in an etching state with changes in a focus ring gap width G; [0089]
  • FIG. 15 is a graph showing changes in an etching state with changes in a focus ring gap width G; [0090]
  • FIG. 16 is a schematic sectional view showing the construction of a conventional susceptor used in a plasma processing apparatus; [0091]
  • FIG. 17 is a schematic sectional view showing the construction of a conventional susceptor in which the heat transfer ability between a focus ring and an electrostatic chuck are improved; and [0092]
  • FIG. 18 is a schematic sectional view showing the construction of a conventional etching apparatus.[0093]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present invention will now be described in detail with reference to the drawings showing preferred embodiments thereof. [0094]
  • FIG. 1 is a schematic sectional view showing the construction of a plasma processing apparatus in which can be used the susceptor according to a first embodiment of the present invention. [0095]
  • In FIG. 1, the plasma processing apparatus, which is constructed as an RIE type plasma processing apparatus, has a [0096] cylindrical chamber 10 that is made of a metal, for example aluminum or stainless steel, and is grounded for safety; the chamber 10 has provided therein a disk-shaped lower electrode 11 on which a wafer W is mounted as an object to be processed. The lower electrode 11 is made, for example, of aluminum, and is supported via an insulating cylindrical holding member 12 by a cylindrical holding portion 13 that extends perpendicularly upwards from a base of the chamber 10.
  • An [0097] exhaust path 14 is formed between a side wall of the chamber 10 and the cylindrical holding portion 13; an annular baffle plate 15 is provided at an inlet of the exhaust path 14 or in the exhaust path 14, and an exhaust port 16 is provided in a bottom portion of the exhaust path 14, and an exhauster 18 is connected to the exhaust port 16 via an exhaust pipe 17. Here, the exhauster 18 has a vacuum pump, and reduces the pressure of a processing space in the chamber 10 down to a predetermined degree of vacuum. Moreover, the exhaust pipe 17 has an automatic pressure control valve (hereinafter referred to as the “APC”), (not shown), which is a variable butterfly valve, and this APC automatically controls the pressure inside the chamber 10. Furthermore, a gate valve 20 that opens and closes a wafer W conveying in/out port 19 is installed on a side wall of the chamber 10.
  • A high-[0098] frequency power source 21 for plasma production and RIE is electrically connected to the lower electrode 11 via a matching unit 22 and a power-feeding rod 23. The high-frequency power source 21 applies high-frequency electrical power at a predetermined high frequency, for example 60 MHz, to the lower electrode 11. Moreover, a shower head 24 is provided, as an upper electrode at a ground potential, described later, in a ceiling portion of the chamber 10. A high-frequency voltage from the high-frequency power source 21 is thus applied between the lower electrode 11 and the shower head 24.
  • An [0099] electrostatic chuck 25 that attracts the wafer W thereto through electrostatic attraction is provided on an upper surface of the lower electrode 11. The electrostatic chuck 25 is comprised of a disk-shaped central portion 25 a, and an annular outer peripheral portion 25 b; the central portion 25 a projects out (upward in FIG. 1) relative to the outer peripheral portion 25 b. Moreover, the central portion 25 a is constructed by sandwiching an electrode plate 25 c comprised of a conductive film between a pair of dielectric films, and the outer peripheral portion 25 b is constructed by sandwiching an electrode plate 25 d comprised of a conductive film between a pair of dielectric films; furthermore, a DC power source 26 is electrically connected to the electrode plate 25 c via a switch 27, and a DC power source 28 is electrically connected to the electrode plate 25 d via a switch 29. The electrostatic chuck 25 attracts and holds the wafer W through a Johnsen-Rahbek force or a Coulomb force due to the DC voltage from the DC power source 26.
  • A [0100] focus ring 30 that annularly surrounds the central portion 25 a of the electrostatic chuck 25 is mounted on an upper surface of the outer peripheral portion 25 b of the electrostatic chuck 25. The lower electrode 11, the electrostatic chuck 25 and the focus ring 30 together constitute a susceptor.
  • Moreover, inside the [0101] lower electrode 11 is provided an annular coolant chamber 31 that, for example, extends in a circumferential direction. A coolant, for example cooling water, at a predetermined temperature is supplied into the coolant chamber 31 from a chiller unit 32 and circulated via piping 33 and 34, and the processing temperature of the wafer W on the electrostatic chuck 25 is controlled through the temperature of this coolant. Furthermore, a heat transfer gas, for example He gas, from a heat transfer gas supply unit 35 is supplied into a gap between an upper surface of the electrostatic chuck 25 and a rear surface of the wafer W via a gas supply line 36, thus improving heat transfer ability between the wafer W and the electrostatic chuck 25.
  • The [0102] shower head 24 in the ceiling portion has a lower surface electrode plate 37 having a large number of gas vents 37 a therein, and an electrode support 38 that detachably supports the electrode plate 37. Moreover, a buffer chamber 39 is provided inside the electrode support 38, and gas supply piping 41 from a processing gas supply unit 40 is connected to a gas introduction port 38 a of the buffer chamber 39. Moreover, a magnet 42 that extends annularly or concentrically is disposed around the chamber 10.
  • The component elements of the plasma processing apparatus, for example the [0103] exhauster 18, the high-frequency power source 21, the switches 27 and 29 for the electrostatic chuck 25, the chiller unit 32, the heat transfer gas supply unit 35, the processing gas supply unit 40 and so on, are connected to a controller 43 that controls the operation of these component elements.
  • Inside the [0104] chamber 10 of the plasma processing apparatus, a horizontal magnetic field oriented in one direction is formed by the magnet 42, and moreover an RF (radio-frequency, i.e. high-frequency) electric field is formed in a perpendicular direction by the high-frequency voltage applied between the lower electrode 11 and the shower head 24; as a result, magnetron discharge occurs via the processing gas in the chamber 10, and hence a high-density plasma is produced from the processing gas in the vicinity of the surface of the lower electrode 11.
  • With this plasma processing apparatus, during dry etching processing, first the [0105] gate valve 20 is opened, and the wafer W to be processed is conveyed into the chamber 10, and mounted on the electrostatic chuck 25. A processing gas (e.g. a mixed gas comprised of C4F8 gas, O2 gas and Ar gas with a predetermined flow rate ratio therebetween) is introduced at a predetermined amount of flow and a predetermined flow rate from the processing gas supply unit 40 into the chamber 10, and the pressure inside the chamber 10 is set to a predetermined value using the exhauster 18 and so on. Furthermore, high-frequency electrical power is supplied to the lower electrode 11 from the high-frequency power source 21, and a DC voltage is applied to the electrode plate 25 c of the electrostatic chuck 25 from the DC power source 26, thus attracting the wafer W to the electrostatic chuck 25. The processing gas discharged from the shower head 24 is made into a plasma as described above, and a surface of the wafer W is etched by radicals, ions or the like produced through this plasma.
  • With this plasma processing apparatus, by applying a high frequency in a frequency range (at least 50 MHz) much higher than conventionally (generally not more than 27 MHz) to the [0106] lower electrode 11, the processing gas is dissociated into a desirable state. The dissociated processing gas is made into a plasma, and hence a high-density plasma can be formed even at a low pressure. With such a high-density plasma, oxidation and nitriding processing can be carried out with little damage to the wafer W, and thus the high-density plasma greatly contributes to realization of high performance and low power consumption of semiconductor devices. Specifically, it is possible to prevent breakage and contamination of the wafer W due to high energy particles in the plasma, and metallic atoms emitted, for example, from inner walls of the processing chamber, which is caused by collision by the high energy particles, and hence the plasma processing can be applied to a gate formation step which requires formation of high quality insulation films. Therefore, the plasma processing apparatus according to the present embodiment can solve technical problems which may arise with development of ultrafine processing for the wafer W.
  • FIG. 2 is a schematic sectional view showing the construction of the susceptor according to the first embodiment. [0107]
  • The susceptor according to the first embodiment can be used in a plasma processing apparatus in which a film to be etched of the wafer W is an oxide film. [0108]
  • As shown in FIG. 2 and as described above, the susceptor according to the first embodiment is comprised of a [0109] lower electrode 11, the electrostatic chuck 25, which is disposed on the upper surface of the lower electrode 11, and the focus ring 30, which is mounted on the upper surface of the outer peripheral portion 25 b of the electrostatic chuck 25.
  • The [0110] lower electrode 11 has the coolant chamber 31 therein, the electrostatic chuck 25 has the electrode plate 25 c inside the central portion 25 a thereof and has the electrode plate 25 d inside the outer peripheral portion 25 b thereof, and the focus ring 30 has a dielectric material portion 30 a that forms a contact portion disposed in contact with the outer peripheral portion 25 b, and a conductive material portion 30 b that faces the outer peripheral portion 25 b with the dielectric material portion 30 a therebetween.
  • Here, because the film to be etched of the wafer W is an oxide film, a part of the [0111] focus ring 30 that will be exposed to the plasma is preferably made of silicon (Si), and hence the conductive material portion 30 b is made of silicon, and the dielectric material portion 30 a is made of silicon dioxide (SiO2), which is an oxide of silicon.
  • When subjecting the wafer W to dry etching processing, high-frequency electrical power is supplied to the [0112] lower electrode 11 by the high-frequency power source 21 to produce a plasma, and a high voltage is applied to the electrode plate 25 c from the DC power source 26 to attract the wafer W to the central portion 25 a by electrostatic attraction, and a high voltage is applied to the electrode plate 25 d from the DC power source 28 to attract the focus ring 30 to the outer peripheral portion 25 b by electrostatic attraction. The high voltages applied to the electrode plates 25 c and 25 d are controlled by the controller-43. When the plasma is produced, with the conventional focus ring made of only a conductive material, the whole of the focus ring becomes at a negative potential as with the plasma, but because nothing exists to block the flow of charge between the focus ring and the electrostatic chuck, the negative charge on the focus ring flows out to the electrostatic chuck via the contact surface between the focus ring and the electrostatic chuck. The charge that gives rise to the electrostatic attraction between the focus ring and the electrostatic chuck is thus reduced. On the other hand, with the focus ring 30 according to the first embodiment of the present invention, the conductive material portion 30 b becomes at a negative potential as with the plasma, and hence a positive charge is induced in the dielectric material portion 30 a at the interface of the dielectric material portion 30 a with the conductive material portion 30 b, and thus a negative charge arises through dielectric polarization in the dielectric material portion 30 a at the interface of the dielectric material portion 30 a with the electrostatic chuck 25. Moreover, in the case that a surface portion of the electrostatic chuck 25 is made of a dielectric material, a positive charge will arise through dielectric polarization in the surface portion of the electrostatic chuck 25 at the interface of the surface portion of the electrostatic chuck 25 with the dielectric material portion 30 a. The electrostatic attraction between the electrostatic chuck 25 and the focus ring 30 can be increased through the action of these charges.
  • At this time, the voltage applied to the [0113] electrode plate 25 d by the DC power source 28 is determined by the specific resistance of the dielectric material portion 30 a. Specifically, if the specific resistance is at least 1013 Ω, then the electrostatic attraction generated by the charge induced in the conductive material portion 30 b will be a Coulomb force, and hence the applied voltage will be approximately 1.5 to 4.0 kV, whereas if the specific resistance is less than 1013 Ω, then the above electrostatic attraction will be a Johnsen-Rahbek force, and hence the applied voltage will be approximately 0 to 1.0 kV.
  • Moreover, the thickness of the [0114] dielectric material portion 30 a is constant in the radial direction of the focus ring 30; the greater the thickness of the dielectric material portion 30 a, the worse the heat transfer ability between the electrostatic chuck 25 and the conductive material portion 30 b, and hence it is preferable for this thickness to be low. However, in the present first embodiment, the film to be etched of the wafer W is an oxide film, and hence the dielectric material portion 30 a, which is made of silicon dioxide, will be consumed as the plasma processing is carried out repeatedly. It is thus necessary for the thickness of the dielectric material portion 30 a to be at least the thickness that will be consumed during one maintenance cycle.
  • According to the susceptor of the first embodiment, the [0115] focus ring 30 is comprised of a dielectric material portion 30 a that forms a contact portion disposed in contact with the outer peripheral portion 25 b, and a conductive material portion 30 b that faces the outer peripheral portion 25 b of the electrostatic chuck 25 with the dielectric material portion 30 a therebetween. As a result, when the wafer W is subjected to the dry etching processing, flow of charge from the dielectric material portion 30 a of the focus ring 30 to the electrostatic chuck 25 via the contact portion can be blocked, and hence the loss of charge that gives rise to the electrostatic attraction can be suppressed compared with the conventional focus ring; the electrostatic attraction between the electrostatic chuck 25 and the focus ring 30 can thus be increased, and hence the degree of close contact between the electrostatic chuck 25 and the focus ring 30 can be increased, and thus the heat transfer ability between the electrostatic chuck 25 and the focus ring 30 can be improved. As a result, the efficiency of cooling of the focus ring 30 can be greatly improved, while preventing an increase in the cost of the susceptor. Moreover, the thickness of the dielectric material portion 30 a is constant in the radial direction of the focus ring 30, and hence the electrostatic attraction between the electrostatic chuck 25 and the focus ring 30 can be made constant and thus the degree of close contact between the electrostatic chuck 25 and the focus ring 30 can be made uniform; the focus ring 30 can thus be cooled uniformly, and hence the occurrence of local deterioration of the etching characteristics can be prevented.
  • Furthermore, the material constituting the [0116] conductive material portion 30 b is silicon, and hence procuring the material is easy, and thus an increase in the cost of the susceptor can be further prevented. Furthermore, the material constituting the dielectric material portion 30 a is silicon dioxide, and hence the dielectric material portion 30 a can easily be formed by sputtering or the like, and thus an increase in the cost of the susceptor can be reliably prevented; moreover, with a dielectric material portion 30 a formed by sputtering, the surface of the contact portion with the focus ring 30 can be made smooth, and hence the degree of close contact between the electrostatic chuck 25 and the focus ring 30 can be further improved.
  • According to the susceptor according to the first embodiment described above, the thickness of the [0117] dielectric material portion 30 a is constant in the radial direction of the focus ring 30; however, the dielectric material portion 30 a may be constructed such that the thickness thereof increases from the inside of the focus ring 30 outward as shown in FIG. 3, or may be constructed such that the thickness thereof increases from the outside of the focus ring 30 inward as shown in FIG. 4.
  • Moreover, the [0118] dielectric material portion 30 a may be constructed such that the dielectric constant thereof increases from the inside of the focus ring 30 outward, or may be constructed such that the dielectric constant thereof increases from the outside of the focus ring 30 inward.
  • Next, a susceptor according to a second embodiment of the present invention will be described in detail. [0119]
  • The susceptor according to the second embodiment has basically the same construction and operation as in the first embodiment described above, and hence description of aspects of the construction and operation that overlap with the first embodiment will be omitted, and in the following only aspects of the construction and operation that differ to the first embodiment will be described. [0120]
  • FIG. 5 is a schematic sectional view showing the construction of the susceptor according to the second embodiment. [0121]
  • The susceptor according to the second embodiment can be used in a plasma processing apparatus in which a film to be etched of the wafer W is a polysilicon film. [0122]
  • As shown in FIG. 5, according to the susceptor of the second embodiment, the [0123] focus ring 30 is comprised of a dielectric material portion 30 c that forms a contact portion disposed in contact with the outer peripheral portion 25 b of the electrostatic chuck 25, a conductive material portion 30 d that faces the outer peripheral portion 25 b with the dielectric material portion 30 c therebetween, and another dielectric material portion 30 e that is disposed on the conductive material portion 30 d.
  • The [0124] lower electrode 11 and the electrostatic chuck 25 are identical in construction with the first embodiment.
  • Here, because the film to be etched of the wafer W is a polysilicon film, a part of the [0125] focus ring 30 that will be exposed to the plasma is preferably made of a material other than silicon, and hence the other dielectric material portion 30 e is made of silicon dioxide. Moreover, the dielectric material portion 30 c is also made of silicon dioxide, and the conductive material portion 30 d is made of silicon; part of the conductive material portion 30 d is exposed to and contacts the plasma.
  • When subjecting the wafer W to dry etching processing, the [0126] DC power source 28 applies a high voltage to the electrode plate 25 d. When the plasma is produced, the conductive material portion 30 d disposed in contact with the plasma becomes at a negative potential as with the plasma, and hence a positive charge is induced in the dielectric material portion 30 c at the interface of the dielectric material portion 30 c with the conductive material portion 30 d, and thus a negative charge arises through dielectric polarization in the dielectric material portion 30 c at the interface of the dielectric material portion 30 c with the electrostatic chuck 25. Moreover, in the case that a surface portion of the electrostatic chuck 25 is made of a dielectric material, a positive charge will arise through dielectric polarization in the surface portion of the electrostatic chuck 25 at the interface of the surface portion of the electrostatic chuck 25 with the dielectric material portion 30 c. The electrostatic attraction between the electrostatic chuck 25 and the focus ring 30 can be increased through the action of these charges.
  • Here, with the conventional focus ring made of only a dielectric material, assuming that the [0127] electrode plate 25 d and the plasma are two electrodes of a capacitor, even if it is considered that charge will be accumulated in the dielectric material (focus ring) interposed between the two electrodes, because the thickness of the dielectric material is too great, the capacitance of the capacitor will be insufficient, i.e. it will not be possible to accumulate a large amount of charge for generating electrostatic attraction. On the other hand, with the focus ring 30 according to the second embodiment of the present invention, assuming that the electrode plate 25 d and the conductive material portion 30 d are two electrodes of a capacitor, the dielectric material interposed between the two electrodes is the dielectric material portion 30 c, which is sufficiently thin compared with the conventional focus ring, and hence the capacitance of the capacitor can be made large, i.e. a large amount of charge for generating electrostatic attraction can be accumulated.
  • The thicknesses of the [0128] dielectric material portion 30 c and the conductive material portion 30 d are constant in the radial direction of the focus ring 30, and it is preferable for each of these thicknesses to be low. However, in the present second embodiment, the film to be etched of the wafer W is a polysilicon film, and hence the conductive material portion 30 d, which is made of silicon, will be consumed as the plasma processing is carried out repeatedly. It is thus necessary for the thickness of the conductive material portion 30 d to be at least the thickness that will be consumed during one maintenance cycle.
  • According to the susceptor of the second embodiment, the [0129] focus ring 30 has a dielectric material portion 30 c that forms a contact portion disposed in contact with the outer peripheral portion 25 b of the electrostatic chuck 25, and a conductive material portion 30 d that faces the outer peripheral portion 25 b with the dielectric material portion 30 c therebetween. As a result, when the wafer W is subjected to the dry etching processing, the amount of charge for generating electrostatic attraction can be made high, and thus the electrostatic attraction between the electrostatic chuck 25 and the focus ring 30 can be increased, and hence the degree of close contact between the electrostatic chuck 25 and the focus ring 30 can be increased, and thus the heat transfer ability between the electrostatic chuck 25 and the focus ring 30 can be improved. As a result, the efficiency of cooling of the focus ring 30 can be greatly improved, while preventing an increase in the cost of the susceptor.
  • Here, according to the susceptor of the second embodiment, the thickness of the [0130] dielectric material portion 30 c is constant in the radial direction of the focus ring 30; however, as with the susceptor according to the first embodiment described earlier, the dielectric material portion 30 c may be constructed such that the thickness thereof increases from the inside of the focus ring 30 outward or from the outside of the focus ring 30 inward, and moreover the dielectric material portion 30 c may be constructed such that the dielectric constant thereof increases from the inside of the focus ring 30 outward or from the outside of the focus ring 30 inward.
  • According to each of the susceptors of the first and second embodiments described above, silicon is used as the material constituting the conductive material portion, but any material that will become negatively charged upon contacting the plasma may be used as the material constituting the conductive material portion, for example aluminum (Al), a semiconductor, or the like may be used. As a result, the charge induced in the conductive material portion can be further increased, and hence the degree of close contact between the [0131] electrostatic chuck 25 and the focus ring 30 can be further increased, and thus the heat transfer ability between the electrostatic chuck 25 and the focus ring 30 can be further improved.
  • Moreover, according to each of the susceptors of the first and second embodiments described above, silicon dioxide is used as the material constituting the dielectric material portion(s), but any insulating material (especially a material having a high dielectric constant) may be used as the material constituting the dielectric material portion(s), for example silicon nitride (SiN), alumite, or the like may be used. Here, if an oxide of the material constituting the conductive material portion is used as the material constituting the dielectric material portion(s), then the dielectric material portion(s) can be formed by oxidizing the conductive material portion. The [0132] focus ring 30 can thus be formed easily, and moreover the occurrence of gaps between the dielectric material portion(s) and the conductive material portion can be prevented, and hence the charge induced in the conductive material portion can be further increased.
  • Moreover, the method of forming the dielectric material portion(s) is not limited to sputtering, but rather CVD, dipping and so on may be used as appropriate in accordance with the material. [0133]
  • Moreover, according to each of the susceptors of the first and second embodiments described above, the [0134] electrostatic chuck 25 and the dielectric material portion 30 a or 30 c contact one another directly, but a heat-resistant elastic member made of conductive silicone rubber or the like may be interposed between the electrostatic chuck 25 and the dielectric material portion 30 a or 30 c, whereby the heat transfer ability between the electrostatic chuck 25 and the focus ring 30 can be further improved. Moreover, helium gas may be filled between the electrostatic chuck 25 and the dielectric material portion 30 a or 30 c as a backside gas, whereby again the heat transfer ability can be further improved.
  • Next, a susceptor according to a third embodiment of the present invention will be described in detail. [0135]
  • The susceptor according to the third embodiment has basically the same construction and operation as in the first embodiment described earlier, and hence description of aspects of the construction and operation that overlap with the first embodiment will be omitted, and in the following only aspects of the construction and operation that differ to the first embodiment will be described. [0136]
  • According to the susceptor of the third embodiment, as will be described below, the heat transfer gas (heat transfer medium), for example He gas, from the heat transfer [0137] gas supply unit 35 is supplied via a gas supply line 46 into a gap between an upper surface of the central portion 25 a of the electrostatic chuck 25 and a rear surface of the wafer W, a gap between an upper surface of the outer peripheral portion 25 b of the electrostatic chuck 25 and a rear surface of the focus ring 30, and a gap between the lower electrode 11 and the electrostatic chuck 25, thus improving the heat transfer ability between the wafer W and the electrostatic chuck 25, between the focus ring 30 and the electrostatic chuck 25, and between the electrostatic chuck 25 and the lower electrode 11.
  • FIG. 6 is a schematic sectional view showing the construction of the susceptor according to the third embodiment. [0138]
  • As shown in FIG. 6, as with the susceptor according to the first embodiment, the susceptor according to the third embodiment is comprised of a [0139] lower electrode 11, an electrostatic chuck 25 disposed on an upper surface of the lower electrode 11, and a focus ring 30 mounted on an upper surface of an outer peripheral portion 25 b of the electrostatic chuck 25.
  • Here, the [0140] gas supply line 46 has a wafer section line 46 a that opens out at the upper surface of the central portion 25 a, and a focus ring section line 46 b that opens out at two places in the upper surface of the outer peripheral portion 25 b; the two openings of the focus ring section line 46 b are disposed in the upper surface of the outer peripheral portion 25 b symmetrically such that the center of the central portion 25 a is midway between the two openings (see FIG. 7A).
  • The [0141] wafer section line 46 a has a PCV (pressure control valve) 80 and an opening/closing valve 81; the PCV 80 and the opening/closing valve 81 are connected to the controller 43, which controls the operation of the PCV 80 and the opening/closing valve 81. The PCV 80 controls the pressure of the He gas supplied onto the rear surface of the wafer W from the wafer section line 46 a, and the opening/closing valve 81 closes off the wafer section line 46 a from the heat transfer gas supply unit 35 in response to a command from the controller 43.
  • The focus [0142] ring section line 46 b also has a PCV 82 and an opening/closing valve 83; the PCV 82 and the opening/closing valve 83 are connected to the controller 43, which controls the operation of the PCV 82 and the opening/closing valve 83. The PCV 82 controls the pressure of the He gas supplied into a heat transfer gas introduction groove 44, described below, by the focus ring section line 46 b, and the opening/closing valve 83 closes off the focus ring section line 46 b from the heat transfer gas supply unit 35 in response to a command from the controller 43.
  • Moreover, the focus [0143] ring section line 46 b has a chamber release system 84 between the openings of the focus ring section line 46 b and the opening/closing valve 83. The chamber release system 84 is comprised of two lines 85 a and 85 b. The lines 85 a and 85 b are each communicated at one end thereof with the focus ring section line 46 b, and are connected at the other end thereof to one another to form a single line, which is communicated with the interior of the chamber 10. The line 85 a has an opening/closing valve 86, and the line 85 b has an opening/closing valve 87 and a constriction 88. The opening/ closing valves 86 and 87 are connected to the controller 43, which controls the operation of the opening/ closing valves 86 and 87.
  • Furthermore, the [0144] wafer section line 46 a may also have a system similar to the chamber release system 84, as shown in FIG. 6.
  • It is preferable that a plurality of wafer section lines [0145] 46 a are provided, each opening in the upper surface of the central portion 25 a in a manner facing a central portion and a peripheral portion of the rear surface of the wafer W, whereby the temperature of the wafer W can be appropriately controlled.
  • Moreover, the [0146] focus ring 30 has a heat transfer gas introduction groove 44 formed in a contact surface (contact portion) thereof disposed in contact with the outer peripheral portion 25 b; the material of the focus ring 30 is selected as appropriate in accordance with the type of the film to be etched of the wafer W, for example silicon (Si) can be used in the case that the film to be etched of the wafer W is an oxide film, and silicon dioxide (SiO2) can be used in the case that the film to be etched of the wafer W is a polysilicon film. Silicon nitride (SiN), alumite-treated aluminum (Al), silicon carbide (SiC), and so on can also be used.
  • FIGS. 7A and 7B are schematic views showing the construction of the heat transfer [0147] gas introduction groove 44 appearing in FIG. 6; specifically, FIG. 7A is a view showing the focus ring 30 as viewed from the contact surface, and FIG. 7B is a sectional view taken along line III-III in FIG. 7A.
  • As shown in FIGS. 7A and 7B, the heat transfer [0148] gas introduction groove 44 has formed in the contact surface an inner introduction groove 44 a that has an annular shape concentric with the focus ring 30, an outer introduction groove 44 b that has an annular shape concentric with the focus ring 30 and is disposed so as to surround the inner introduction groove 44 a, and radial introduction grooves 44 c that join the inner introduction groove 44 a and the outer introduction groove 44 b together; the diameter of the outer introduction groove 44 b is approximately equal to the distance between the two openings of the focus ring section line 46 b in the upper surface of the outer peripheral portion 25 b.
  • In general, the center of the [0149] focus ring 30 coincides with the center of the central portion 25 a of the electrostatic chuck 25, and hence when the focus ring 30 is mounted on the upper surface of the outer peripheral portion 25 b, the openings of the focus ring section line 46 b face the outer introduction groove 44 b, whereby He gas supplied from the openings of the focus ring section line 46 b is filled into the heat transfer gas introduction groove 44.
  • Moreover, the [0150] inner introduction groove 44 a, the outer introduction groove 44 b and the radial introduction grooves 44 c have a substantially rectangular cross-sectional shape, a width of, for example, 1 mm, and a depth of 0.1 to 11.0 mm, preferably at least 0.5 mm, and moreover have corners thereof rounded off.
  • Next, a description will be given of changing of the supply pressure of He gas into the heat transfer gas introduction groove [0151] 44 (hereinafter referred to as the “He pressure”), and the high voltage applied to the electrode plate 25 d to attract the focus ring 30 to the outer peripheral portion 25 b of the electrostatic chuck 25 (hereinafter referred to as the “F/R chuck voltage”) during dry etching processing.
  • FIGS. 8A and 8B are sequence diagrams showing changes in the He pressure and the F/R chuck voltage during continuous dry etching processing. [0152]
  • In FIGS. 8A and 8B, the continuous dry etching processing is comprised of a PCV zero point adjusting sequence in which adjustment of the zero point of the [0153] PCV 82 is carried out, a leakage checking sequence in which leakage of the He gas supplied into the heat transfer gas introduction groove 44 is checked for, a conveying-in sequence in which a wafer W is conveyed into the chamber 10, a processing sequence in which the wafer W that has been conveyed in is subjected to dry etching, a conveying-out sequence in which the wafer W that has been subjected to the dry etching is conveyed out from the chamber 10, and a lower electrode decharging sequence in which the lower electrode 11, which has become charged during the dry etching, is decharged; the continuous dry etching processing is carried out through a suitable combination of these sequences.
  • First, N[0154] 2 gas is introduced into the chamber 10 (N2 purge on), and the APC is opened and the exhauster 18 is operated, thus reducing the pressure inside the chamber 10.
  • Next, in the PCV zero point adjusting sequence, the [0155] PCV 82 is closed, thus closing off the focus ring section line 46 b from the heat transfer gas supply unit 35, and the opening/ closing valves 83, 86 and 87 are opened. The focus ring section line 46 b is thus evacuated by the exhauster 18 via the chamber release system 84. The evacuation is continued for a predetermined time period, and then the adjustment of the zero point of the PCV 82 is carried out based on the pressure inside the focus ring section line 46 b (PCV zero point adjustment on). By carrying out such adjustment of the zero point of the PCV 82 at the start of the continuous dry etching processing, the He pressure can be controlled accurately in the subsequent sequences. Moreover, when reducing the pressure inside the chamber 10, by evacuating the focus ring section line 46 b, a pressure difference between the interior of the chamber 10 and the interior of the heat transfer gas introduction groove 44 can be eliminated. As a result, the focus ring 30 can be prevented from separating away due to such a pressure difference.
  • Next, in the leakage checking sequence, the APC is closed, thus raising the pressure inside the [0156] chamber 10 controlled by the APC (hereinafter referred to as the “APC-controlled pressure”), whereby the APC-controlled pressure is set to an F/R chuck attraction pressure, which is the pressure inside the chamber 10 when checking for leakage. When the APC-controlled pressure is rising, once the APC-controlled pressure reaches 6.65×104 Pa (500 torr), a high voltage is applied to the electrode plate 25 d, whereby the F/R chuck voltage is set to an F/R chuck provisional attraction voltage for provisional attraction, and then after the lapse of 2.5 seconds, the F/R chuck voltage is set to an F/R chuck attraction voltage for main attraction. The reason that the application of the high voltage to the electrode plate 25 d is not commenced until the APC-controlled pressure has reached 6.65×104 Pa is that when the pressure inside the chamber 10 is low, the focus ring 30 will not be attracted to the electrostatic chuck 25 even if a high voltage is applied to the electrode plate 25 d.
  • He gas is then supplied into the heat transfer [0157] gas introduction groove 44 from the focus ring section line 46 b, and once the He pressure has reached an F/R leakage checking pressure, the PCV 82 is closed (the He pressure is put into an “off” state), and after a predetermined time period has passed, the pressure inside the focus ring section line 46 b is measured, and it is determined whether or not the measured pressure is within a predetermined range. Note that the leakage checking may alternatively be carried out not by measuring the pressure inside the focus ring section line 46 b, but rather by measuring the gas flow rate inside the focus ring section line 46 b.
  • If the measured pressure is within the predetermined range, then next, in the conveying-in sequence, the APC is opened, and at the same time the F/R chuck voltage is set to a conveying-in time F/R chuck voltage, and the He pressure is set to a conveying-in time F/R cooling pressure. Once the He pressure is stable, a wafer W is conveyed into the [0158] chamber 10, and is mounted on and attracted to the electrostatic chuck 25, and the introduction of N2 gas into the chamber 10 is suspended (N2 purge off).
  • Next, in the processing sequence, the APC is closed, thus raising the APC-controlled pressure to a processing pressure, which is the pressure inside the [0159] chamber 10 required for the dry etching, and the dry etching is commenced, and then in accordance with the respective steps in a recipe for the dry etching, the He pressure is, for example, changed to a step-1 F/R cooling pressure, a step-2 F/R cooling pressure and so on, and at the same time the F/R chuck voltage is, for example, changed to a step-1 F/R chuck voltage, a step-2 F/R chuck voltage and so on. The values of the step-1 F/R cooling pressure and the step-1 F/R chuck voltage and so on are set in advance such that the temperature of the focus ring 30 will remain constant even when the high-frequency voltage applied to the lower electrode 11 and the high voltage applied to the electrode plate 25 c are changed from step to step. According to the plasma processing apparatus according to the present third embodiment, the step-n F/R cooling pressure and the step-n F/R chuck voltage can each be set for 24 steps.
  • After the dry etching has been completed, in the conveying-out sequence, the APC is opened, the F/R chuck voltage is set to a conveying-out time F/R chuck voltage, and, as in the PCV zero point adjusting sequence described above, the [0160] PCV 82 is closed, and the opening/ closing valves 83, 86 and 87 are opened, and adjustment of the zero point of the PCV 82 is carried out (PCV zero point adjustment on). After that, N2 gas is introduced into the chamber 10 (N2 purge on), and the He pressure is set to a conveying-out time F/R cooling pressure, and the wafer W that has been subjected to the dry etching is conveyed out from the chamber 10.
  • After the wafer W has been conveyed out, in the lower electrode decharging sequence, the APC is closed, thus setting the APC-controlled pressure to the processing pressure, and decharging of the [0161] lower electrode 11 is carried out. Then, in the following conveying-in sequence, the APC is opened, and the F/R chuck voltage is set to the conveying-in time F/R chuck voltage, the He pressure is set to the conveying-in time F/R cooling pressure, and the introduction of N2 gas into the chamber 10 is suspended (N2 purge off). The next wafer W (i.e. a second wafer W) is then conveyed into the chamber 10, and is mounted on and attracted to the electrostatic chuck 25.
  • Next, the processing sequence and the conveying-out sequence are carried out as described above, and after the second wafer W has been subjected to the dry etching and has been conveyed out, the lower electrode decharging sequence is carried out as described above. [0162]
  • The above conveying-in sequence, processing sequence, conveying-out sequence and lower electrode decharging sequence are carried out repeatedly in accordance with the number of wafers W in one lot, for example 25. [0163]
  • The reason for not setting the F/R chuck voltage and the He pressure to zero but rather carrying out cooling of the [0164] focus ring 30 during the conveying-out sequence and the conveying-in sequence is to prepare for the dry etching of the next wafer W, i.e. to completely remove the heat from the focus ring 30, and thus make the dry etching conditions uniform for all of the wafers W.
  • Moreover, adjustment of the zero point of the [0165] PCV 82 is always carried out in every conveying-in sequence, i.e. adjustment of the zero point of the PCV 82 is always carried out for every processing sequence. As a result, the He pressure can be controlled accurately in every processing sequence.
  • After the lower electrode decharging sequence has been carried out for the final time in the lot, the APC is opened, and the F/R chuck voltage is set to the conveying-in time F/R chuck voltage, and the He pressure is set to the conveying-in time F/R cooling pressure; then, after a predetermined time period has passed, the [0166] PCV 82 is closed, and the opening/ closing valves 83, 86 and 87 are opened, thus carrying out evacuation of the focus ring section line 46 b. After the He gas has been removed from the focus ring section line 46 b, the He pressure is once again set to the conveying-in time F/R cooling pressure, and furthermore the F/R chuck voltage is set to zero, thus releasing the electrostatic attraction of the focus ring 30 onto the electrostatic chuck 25.
  • It should be noted that the rises and falls in the graphs of the F/R chuck voltage and the He pressure in the sequence diagrams of FIGS. 8A and 8B merely show where the values of the F/R chuck voltage and the He pressure change, and do not show the magnitudes of the values. [0167]
  • According to the sequence of FIGS. 8A and 8B, the set values of the F/R chuck voltage and the He pressure are changed for the conveying-in sequence, the processing sequence and the conveying-out sequence, and in particular are changed for each step of the processing sequence, and hence the cooling of the [0168] focus ring 30 can be carried out stably. The occurrence of local deterioration of the etching characteristics of the wafer W can thus be prevented.
  • According to the susceptor of the third embodiment, the [0169] focus ring 30 has a heat transfer gas introduction groove 44 formed in the contact surface thereof disposed in contact with the outer peripheral portion 25 b of the electrostatic chuck 25, and when the focus ring 30 has been mounted on the upper surface of the outer peripheral portion 25 b, the openings of the focus ring section line 46 b face the heat transfer gas introduction groove 44, and hence He gas from the focus ring section line 46 b is filled into the heat transfer gas introduction groove 44; consequently, a cooling unit is not required between the electrostatic chuck 25 and the focus ring 30, and moreover the He gas can be made to diffuse reliably between the electrostatic chuck 25 and the focus ring 30, and furthermore the area of contact between the focus ring 30 and the He gas can be increased. The heat transfer ability between the electrostatic chuck 25 and the focus ring 30 can thus be improved sufficiently, whereby the efficiency of cooling of the focus ring 30 can be greatly improved, while preventing an increase in the cost of the plasma processing apparatus. Moreover, the heat transfer gas introduction groove 44 causes a suitable reduction in the rigidity of the focus ring 30, whereby the focus ring 30 can be deformed to follow the shape of the electrostatic chuck 25, whereby the degree of close contact between the electrostatic chuck 25 and the focus ring 30 can be improved. As a result, the efficiency of cooling of the focus ring 30 can be improved yet more greatly.
  • Moreover, the depth of the heat transfer [0170] gas introduction groove 44 is at least 0.1 mm, and hence the conductance can be made large, and thus the He gas can be filled into the heat transfer gas introduction groove 44 rapidly, whereby the efficiency of cooling of the focus ring 30 can be improved markedly.
  • Furthermore, corners of the heat transfer [0171] gas introduction groove 44 are rounded off, and hence the occurrence of cracks in the heat transfer gas introduction groove 44 can be prevented, and thus the durability of the focus ring 30 can be improved, whereby an increase in the maintenance cost can be prevented.
  • Moreover, the heat transfer [0172] gas introduction groove 44 has formed in the contact surface an inner introduction groove 44 a that has an annular shape concentric with the focus ring 30, an outer introduction groove 44 b that has an annular shape concentric with the focus ring 30 and is disposed so as to surround the inner introduction groove 44 a, and radial introduction grooves 44 c that join the inner introduction groove 44 a and the outer introduction groove 44 b together; as a result, the He gas can be made to diffuse uniformly between the electrostatic chuck 25 and the focus ring 30 (i.e. over the contact surface), and hence the focus ring 30 can be cooled uniformly.
  • According to the susceptor of the third embodiment described above, the heat transfer [0173] gas introduction groove 44 has two annular sections in the contact surface; however, the structure of the heat transfer gas introduction groove 44 is not limited to this, and may be changed as appropriate in accordance with the size and rigidity of the focus ring 30, having, for example, a single annular section, or three or more annular sections.
  • Moreover, the heat transfer [0174] gas introduction groove 44 need not have the radial introduction grooves 44 c; in the case that the heat transfer gas introduction groove 44 does not have radial introduction grooves 44 c, openings of the gas supply line 46 facing the inner introduction groove 44 a are preferably disposed in the upper surface of the outer peripheral portion 25 b.
  • Moreover, the number of openings of the focus [0175] ring section line 46 b is not limited to being two, but rather openings of the focus ring section line 46 b may be disposed in three or more places in the upper surface of the outer peripheral portion 25 b.
  • Next, a susceptor according to a fourth embodiment of the present invention will be described in detail. [0176]
  • The susceptor according to the fourth embodiment has basically the same construction and operation as in the third embodiment described above, and hence description of aspects of the construction and operation that overlap with the third embodiment will be omitted, and in the following only aspects of the construction and operation that differ to the third embodiment will be described. [0177]
  • FIG. 9 is a schematic sectional view showing the construction of the susceptor according to the fourth embodiment. [0178]
  • As shown in FIG. 9, the susceptor according to the fourth embodiment is again comprised of a [0179] lower electrode 11, an electrostatic chuck 25 disposed on an upper surface of the lower electrode 11, and a focus ring 30 mounted on an upper surface of an outer peripheral portion 25 b of the electrostatic chuck 25.
  • Here, the [0180] electrostatic chuck 25 has a heat transfer gas introduction groove 45 formed in the upper surface of the outer peripheral portion 25 b; the heat transfer gas introduction groove 45 has formed in the upper surface of the outer peripheral portion 25 b an inner introduction groove 45 a that has an annular shape concentric with the central portion 25 a, an outer introduction groove 45 b that has an annular shape concentric with the central portion 25 a and is disposed so as to surround the inner introduction groove 45 a, and radial introduction grooves (not shown) that join the inner introduction groove 45 a and the outer introduction groove 45 b together; a focus ring section line 46 b of a gas supply line 46 is joined to the outer introduction groove 45 b. As a result, He gas supplied from the openings of the focus ring section line 46 b is filled into the heat transfer gas introduction groove 45.
  • In general, the center of the [0181] focus ring 30 coincides with the center of the central portion 25 a of the electrostatic chuck 25, and hence when the focus ring 30 is mounted on the upper surface of the outer peripheral portion 25 b, the inner introduction groove 45 a and the outer introduction groove 45 b are disposed concentrically with the focus ring 30.
  • Moreover, the [0182] inner introduction groove 45 a, the outer introduction groove 45 b and the radial introduction grooves have a substantially rectangular cross-sectional shape, a width of, for example, 1 mm, and a depth of 0.1 to 11.0 mm, preferably at least 0.5 mm, and moreover have corners thereof rounded off.
  • According to the susceptor of the fourth embodiment, the [0183] electrostatic chuck 25 has a heat transfer gas introduction groove 45 formed in the upper surface of the outer peripheral portion 25 b, and the focus ring section line 46 b of the gas supply line 46 is joined to the outer introduction groove 45 b and thus supplies He gas into the heat transfer gas introduction groove 45; consequently, a cooling unit is not required between the electrostatic chuck 25 and the focus ring 30, and the need to form a heat transfer gas introduction groove in the focus ring 30 can be eliminated, and moreover the He gas can be made to diffuse reliably between the electrostatic chuck 25 and the focus ring 30. The heat transfer ability between the electrostatic chuck 25 and the focus ring 30 can thus be improved sufficiently, whereby the efficiency of cooling of the focus ring 30 can be greatly improved, while reducing the initial cost of the plasma processing apparatus.
  • Moreover, the heat transfer [0184] gas introduction groove 45 has formed in the upper surface of the outer peripheral portion 25 b an inner introduction groove 45 a that has an annular shape concentric with the central portion 25 a, an outer introduction groove 45 b that has an annular shape concentric with the central portion 25 a and is disposed so as to surround the inner introduction groove 45 a, and radial introduction grooves that join the inner introduction groove 45 a and the outer introduction groove 45 b together; as a result, the He gas can be made to diffuse uniformly over the upper surface of the outer peripheral portion 25 b, which is the contact surface between the outer peripheral portion 25 b and the focus ring 30, and hence the focus ring 30 can be cooled uniformly.
  • According to the susceptor of the fourth embodiment described above, the heat transfer [0185] gas introduction groove 45 has two annular sections in the upper surface of the outer peripheral portion 25 b; however, the structure of the heat transfer gas introduction groove 45 is not limited to this, and may be changed as appropriate in accordance with the size of the focus ring 30, having, for example, a single annular section, or three or more annular sections.
  • Moreover, according to the susceptors of the third and fourth embodiments described above, only one of the [0186] focus ring 30 and the electrostatic chuck 25 has a heat transfer gas introduction groove, but the focus ring 30 and the electrostatic chuck 25 may each have a heat transfer gas introduction groove, whereby the efficiency of cooling of the focus ring 30 can be further improved.
  • In dry etching processing, out of radicals generated from the processing gas, depositable radicals that will attach to objects tend to attach to low-temperature objects. With the conventional plasma processing apparatus, in the dry etching processing, the temperature of the wafer W rises only to approximately 80° C. due to the cooling action of a cooling mechanism built into the lower electrode or the like. On the other hand, the temperature of the focus ring rises to approximately 200 to 400° C. due to colliding radicals. Depositable radicals thus tend to attach to the wafer W, and in particular readily attach to a rear surface of a portion of the wafer W that projects out from the electrostatic chuck, i.e. a wafer beveled portion. [0187]
  • Depositable radicals attached to the wafer beveled portion may detach from the wafer W when the wafer W is taken out from the plasma processing apparatus after the dry etching processing has been carried out on the wafer W. The detached depositable radicals will remain inside the plasma processing apparatus, and during the next and subsequent times the dry etching processing is carried out, will be flung around by the flow of the N[0188] 2 gas. The flung around depositable radicals may become attached to the surface of a wafer W, thus causing particle contamination.
  • To prevent the occurrence of such particle contamination, it is preferable to make it such that depositable radicals are not attached to the wafer beveled portion. As a method of preventing attachment of depositable radicals to the wafer beveled portion, one can envisage, for example, making O[0189] 2 gas or He gas flow between the focus ring and the electrostatic chuck, thus generating a gas flow in the vicinity of the surface of the wafer beveled portion. However, the gas flow will also sweep away etching radicals, and hence it will not be possible to maintain the uniformity of the etching at an edge part of the wafer W.
  • On the other hand, with the susceptors according to the third and fourth embodiments described above, by filling a coolant such as a Galden fluid into the heat transfer [0190] gas introduction groove 44 or 45, the focus ring 30 is cooled, and hence depositable radicals are forcibly attached to the focus ring 30. As a result, the depositable radicals can be prevented from becoming attached to the wafer beveled portion, and hence the occurrence of particle contamination can be prevented. There are no particular limitations on the type of the coolant filled into the heat transfer gas introduction groove 44 or 45 to cool the focus ring 30, but Galden fluids are readily procurable, and hence if a Galden fluid is used, then the running cost for the plasma processing apparatus can be reliably kept down.
  • When cooling the [0191] focus ring 30, it is preferable to reduce the temperature of the focus ring 30 to at least 20K below the temperature of the electrostatic chuck 25. Even during the dry etching processing, the temperature of the electrostatic chuck 25 is maintained at approximately 20° C. by the coolant chamber 31 inside the lower electrode 11. Upon reducing the temperature of the focus ring 30 to at least 20K below the temperature of the electrostatic chuck 25, the temperature of the focus ring 30 will thus be reduced to not more than 0° C. As a result, depositable radicals can be reliably attached to the focus ring 30.
  • In the case that depositable radicals have become attached in a large amount to the [0192] focus ring 30, it will be necessary to replace the focus ring 30, but frequent replacement of the focus ring 30 will result in an increase in the maintenance cost for the plasma processing apparatus, and hence it is necessary to make it such that depositable radicals are not attached to the focus ring 30 in a large amount. It is thus preferable to remove depositable radicals that have become attached to the focus ring 30.
  • With the susceptors according to the third and fourth embodiments described above, the [0193] focus ring 30 is thus heated by filling a high-temperature medium into the heat transfer gas introduction groove 44 or 45. The depositable radicals are sublimed by the high temperature, and hence by heating the focus ring 30, the attached depositable radicals can be removed. As a result, the focus ring 30 replacement cycle can be lengthened, and hence the maintenance cost for the plasma processing apparatus can be kept down.
  • The method of heating the focus ring is not limited to filling with a high-temperature heat transfer medium. For example, the focus ring may be heated by a heating member (second heating means) that covers an outer peripheral surface of the focus ring. [0194]
  • FIG. 10 is a schematic sectional view showing the construction of a heating member that heats a focus ring, and the heated focus ring. [0195]
  • In FIG. 10, the outside radius of the [0196] focus ring 48 is set to be smaller than that of the focus ring 30 described earlier, and an upper surface of the focus ring 48 is made to be stepped such that an outer peripheral side of the upper surface is lowered. The heating member 47 is an annular member having an inverted L-shaped cross section; an inner peripheral surface of the heating member 47 covers the outer peripheral surface of the focus ring 48, and moreover a projecting portion of the L shape loosely fits into the stepped portion of the upper surface of the focus ring 48. The heating member 47 is made of silicon (Si), silicon carbide (SiC), silicon dioxide (SiO2) or the like, for which the temperature readily rises upon being subjected to collision by radicals.
  • A plasma is generated inside the plasma processing apparatus, whereby radicals are caused to collide with the [0197] heating member 47, thus raising the temperature of the heating member 47. The heated heating member 47 transfers its heat to the focus ring 48, thus heating the focus ring 48.
  • By using the [0198] heating member 47, the necessity of filling a high-temperature medium into the heat transfer gas introduction groove 44 or 45 can be eliminated, and hence the structures of the heat transfer gas introduction groove 44 or 45, the gas supply line 46 and the heat transfer gas supply unit 35 can be simplified.
  • The removal of depositable radicals may be realized not only by heating the focus ring, but also by another method. [0199]
  • For example, depositable radicals may be removed by making a cleaning gas flow into the plasma processing apparatus. Specifically, a plasma is generated from a cleaning gas comprised of O[0200] 2, NF3, SF6, CF4 or the like, and hence radicals thus generated are caused to collide with the depositable radicals attached to the focus ring, thus scattering the depositable radicals, whereby the depositable radicals can easily be removed from the focus ring.
  • Moreover, the depositable radicals may be removed by, for example, exposing the focus ring to the plasma generated during the dry etching processing. Specifically, radicals generated from the processing gas are caused to collide with the depositable radicals attached to the focus ring. As a result, the deposit attached to the [0201] focus ring 30 can be removed during the continuous dry etching processing. The deposit can thus be removed without reducing the efficiency of the dry etching processing of the wafers W.
  • The methods of cooling and heating the focus ring are not limited to methods using a heat transfer medium as described above. For example, as shown in FIG. 11, instead of the heat transfer [0202] gas introduction groove 44 or 45, a Peltier device 49 may be provided at the contact surface between the focus ring 30 and the electrostatic chuck 25. With the Peltier device 49, heating or cooling can easily be carried out using a DC current, and hence a heat transfer medium is not required. The structure of the heat exchange mechanism can thus be simplified, and hence the initial cost for the plasma processing apparatus can be reduced. The Peltier device 49 may be provided on either the focus ring 30 or the electrostatic chuck 25.
  • Furthermore, as methods of heating the focus ring, in addition to the methods described above, heating using irradiation from a lamp, or heating using heat generation by a resistor may be used. [0203]
  • There are no limitations on the combination of the methods of heating and cooling the focus ring described above; these methods can be used combined as appropriate. [0204]
  • According to the susceptors of the first to fourth embodiments, the [0205] electrostatic chuck 25 is disk-shaped, and the focus ring 30 is annular in shape, but the shapes of the electrostatic chuck 25 and the focus ring 30 are not limited to these shapes; for example, in the case that the objects to be processed are LCDs or the like, in accordance with the shape of the LCDs, the electrostatic chuck 25 may have a square plate shape, and the focus ring 30 may have a square frame shape.
  • Next, a susceptor according to a fifth embodiment of the present invention will be described in detail. [0206]
  • FIG. 12 is a schematic sectional view showing the construction of a plasma processing apparatus in which can be used the susceptor according to the fifth embodiment. [0207]
  • In FIG. 12, a [0208] vacuum chamber 101 that forms a processing vessel is made, for example, of aluminum or the like, and is formed in a cylindrical shape.
  • The [0209] vacuum chamber 101 has provided therein an susceptor 102 for mounting a wafer W, and this susceptor 102 also acts as a lower electrode. Moreover, a shower head 103 that also acts as an upper electrode is provided in a ceiling portion of the vacuum chamber 101; the susceptor 102 and the shower head 103 together constitute a pair of parallel plate electrodes.
  • A [0210] gas diffusion cavity 104 is provided above the shower head 103, and moreover a large number of small holes 105 are provided in the shower head 103, which are positioned below the gas diffusion cavity 104. Moreover, the shower head 103 is constructed such that a predetermined processing gas (etching gas) supplied from a processing gas supply system 106 diffuses through the gas diffusion cavity 104, and is supplied from the small holes 105 in the form of a shower toward the wafer W. In the present embodiment, the shower head 103 is made to be at a ground potential, but a construction may be adopted in which a high-frequency power source is connected to the shower head 103, and high-frequency voltages are applied to both the susceptor 102 and the shower head 103.
  • A high-[0211] frequency power source 108 is connected to the susceptor 102 via a matching unit 107, and high-frequency electrical power at a predetermined high frequency (e.g. a frequency of from approximately several hundred kHz to approximately one hundred MHz) is supplied to the susceptor 102.
  • Moreover, an [0212] electrostatic chuck 109 for attracting and holding the wafer W is provided on a wafer W mounting surface of the susceptor 102. The electrostatic chuck 109 has a construction in which an electrostatic chuck electrode 109 b is provided in an insulating layer 109 a, and a DC power source 110 is connected to the electrostatic chuck electrode 109 b. Furthermore, a focus ring 111 is provided on an upper surface of the susceptor 102 so as to surround the wafer W.
  • The [0213] focus ring 111 is constructed such as to have an annular shape overall, but as shown in FIG. 12 and also in more detail in FIG. 13, is comprised of a lower member 111 a that is mounted on the susceptor 102, and an upper member 111 b that is disposed above the lower member 111 a.
  • The [0214] lower member 111 a and the upper member 111 b are each made of, for example, silicon or the like, and constructed in an annular shape. Moreover, a gap 111 c is formed between the lower member 111 a and the upper member 111 b, and as shown by arrows in FIG. 13, the gap 111 c acts as a flow path for the processing gas.
  • A plurality of pin insertion holes [0215] 113 (in the present embodiment, a total of three at 120° intervals along a circumferential direction) are provided in the lower member 111 a, and a pin 114 is inserted into each of the pin insertion holes 113. Moreover, fixing holes 115 are provided in the upper member 111 b in correspondence with the pins 114, and the upper member 111 b is supported on the pins 114 in a state in which a tip portion of each pin 114 is inserted into the corresponding fixing hole 115. The upper member 111 b is thus substantially mounted on the pins 114, and hence the structure is such that the upper member 111 b can be detached from the lower member 111 a by being lifted up, whereby it is possible to replace only the upper member 111 b.
  • Moreover, the [0216] pins 114 are detachable from the lower member 111 a, and by replacing the pins 114 with ones of a different length, the width of the gap 111 c between the lower member 111 a and the upper member 111 b (the gap width G shown in FIG. 13) can be changed.
  • [0217] Reference numeral 116 in FIG. 13 represents a positioning pin for positioning the focus ring 111 in a predetermined position on the susceptor 102; a total of two such positioning pins 116 are provided separated from one another by 180° in the circumferential direction on the susceptor 102. Moreover, positioning holes 117 and 118 are provided in the lower member 111 a and the upper member 111 b respectively in correspondence with the positioning pins 116.
  • Moreover, a coolant flow path for coolant circulation, not shown, is formed in the [0218] susceptor 102, whereby the temperature of the susceptor 102 can be controlled to a predetermined temperature. Moreover, a gas supply mechanism, not shown, that supplies a cooling gas, for example helium gas, between the susceptor 102 and a rear surface of the wafer W is provided, and through this cooling gas, heat exchange between the susceptor 102 and the wafer W is promoted, and hence the temperature of the wafer W can be controlled to a predetermined temperature.
  • As shown in FIG. 12, an [0219] exhaust port 120 is provided in a base of the vacuum chamber 101, and an exhaust system 121 comprised of a vacuum pump or the like is connected to the exhaust port 120.
  • Moreover, an [0220] exhaust ring 122 formed in an annular shape is provided around the susceptor 102 in a manner extending substantially parallel with the wafer W mounting surface. Exhaust paths comprised of a large number of holes are formed in the exhaust ring 122, and by carrying out evacuation using the exhaust system 121 via these exhaust paths, a uniform processing gas flow is formed around the susceptor 102. Moreover, the exhaust ring 122 is electrically connected to a ground potential, and hence a plasma formed in a processing space between the susceptor 102 and the shower head 103 is prevented from leaking out into a space below the exhaust ring 122.
  • Moreover, a magnetic field-forming [0221] mechanism 123 is provided around the vacuum chamber 101, whereby a desired magnetic field can be formed in the processing space inside the vacuum chamber 101. A rotating mechanism 124 is provided for the magnetic field-forming mechanism 123, whereby the magnetic field inside the vacuum chamber 101 can be rotated by rotating the magnetic field-forming mechanism 123 around the vacuum chamber 101.
  • Next, a description will be given of plasma etching processing carried out using the plasma etching apparatus constructed as described above. [0222]
  • First, a gate valve, not shown, provided in a conveying in/out port, not shown, of the [0223] vacuum chamber 101 is opened, and a wafer W is conveyed into the vacuum chamber 101 using a conveyance mechanism or the like, and mounted on the susceptor 102. The wafer W mounted on the susceptor 102 is then attracted and held by applying a predetermined DC voltage from the DC power source 110 to the electrostatic chuck electrode 109 b of the electrostatic chuck 109
  • Next, the conveyance mechanism is withdrawn from the [0224] vacuum chamber 101, the gate valve is closed, and the interior of the vacuum chamber 101 is exhausted using the vacuum pump or the like of the exhaust system 121. Once the interior of the vacuum chamber 101 has reached a predetermined degree of vacuum, a predetermined processing gas for etching processing is introduced into the vacuum chamber 101 from the processing gas supply system 106 via the gas diffusion cavity 104 and the small holes 105, and the interior of the vacuum chamber 101 is maintained at a predetermined pressure, for example approximately 1 Pa to 100 Pa.
  • In this state, high-frequency electrical power at a predetermined frequency is supplied to the susceptor [0225] 102 from the high-frequency power source 108. Through the high-frequency electrical power being applied to the susceptor 102, a high-frequency electric field is formed in the processing space between the shower head 103 and the susceptor 102. Moreover, a predetermined magnetic field is formed by the magnetic field-forming mechanism 123 in the processing space. As a result, a predetermined plasma is generated from the processing gas supplied into the processing space, and hence a predetermined film on the wafer W is etched by this plasma.
  • At this time, the processing gas supplied onto the surface of the wafer W from the [0226] small holes 105 of the shower head 103 flows from a central portion of the surface of the wafer W toward a peripheral portion thereof, passes from the periphery of the wafer W through the gap 111 c between the lower member 111 a and the upper member 111 b of the focus ring 111, and then flows downward and is thus exhausted. This flow of the processing gas is formed uniformly around the wafer W.
  • Here, if the focus ring did not have the [0227] gap 111 c, then the processing gas supplied onto the surface of the wafer W would pass over the focus ring and flow out to the surroundings. The flow of the processing gas would thus stagnate at the peripheral portion of the wafer W, and hence the etching rate for the wafer W as a whole may drop, and moreover the etching rate at the peripheral portion of the wafer W may be different to the etching rate at the central portion of the wafer W. In contrast with this, in the present embodiment, compared with the case that there is no gap 111 c, the flow of the processing gas at the peripheral portion of the wafer W can be made smooth, and hence the problems described above can be resolved, i.e. the etching rate for the wafer W as a whole can be improved, and the uniformity of the etching rate over the surface of the wafer W can be improved.
  • Moreover, in the above case that the processing gas passes over the focus ring and flows out to the surroundings (i.e. the case that there is no [0228] gap 111 c), the height of an upper surface of the focus ring will drop as the focus ring is consumed, and hence the flow of the processing gas will gradually change in accordance with this. The residence time of the processing gas will thus change, and hence the state of the etching processing on the wafer W will change; it will thus become necessary to replace the focus ring at a time when the amount by which the focus ring has been consumed is still relatively low.
  • In contrast with this, in the present embodiment, the processing gas flows to the surroundings via the [0229] gap 111 c, and hence the effect of changes in the height of the upper surface of the focus ring due to being consumed on the state of processing of the wafer W can be suppressed, and thus the maintenance timing, i.e. the time period until the focus ring is replaced, can be lengthened.
  • Furthermore, when such consumption has occurred, by replacing only the [0230] upper member 111 b instead of the whole of the focus ring 111, the cost of the replacement part can be reduced, and hence the running cost can be reduced.
  • After the predetermined plasma etching processing has been carried out as described above, the supply of the high-frequency electrical power from the high-[0231] frequency power source 108 is stopped, thus stopping the etching processing, and then using a procedure opposite to that described earlier, the wafer W is conveyed out from the vacuum chamber 101.
  • The graphs in FIGS. 14 and 15 show changes in the etching state at each portion of a wafer W with changes in the gap width G of the [0232] gap 111 c in the focus ring 111; in FIGS. 14 and 15, the axis of ordinate shows the etching rate (nm/min), and the axis of abscissa shows the distance (mm) from the center of the wafer.
  • The film to be etched was an SiN film, the etching gas used was CHF[0233] 3/CF4/Ar/O2 at flow rates of 30, 75, 600 and 15 sccm respectively, and the etching was carried out under conditions of a pressure of 23.3 Pa (175 mTorr), and a high-frequency electrical power of 1000 W (frequency: 13.56 MHz). Moreover, FIG. 14 shows the case that the thickness of the upper member 111 b of the focus ring 111 was 1.5 mm, and FIG. 15 shows the case that the thickness of the upper member 111 b of the focus ring 111 was 2.8 mm.
  • In both cases, the thickness of the [0234] lower member 111 a of the focus ring 111 was 1.5 mm.
  • As shown by these graphs, compared with the case that the gap width G of the [0235] gap 111 c is zero, as the gap width G is widened to 0.5 mm, 1.5 mm and then 2.5 mm, the etching rate for the wafer W as a whole increases.
  • Moreover, as shown clearly in the graph in FIG. 14 in particular, when the gap width G of the [0236] gap 111 c is zero, the etching rate at the peripheral portion of the wafer W becomes lower than the etching rate at the central portion of the wafer W, but upon widening the gap width G of the gap 111 c, the etching rate at the peripheral portion of the wafer W increases more than the etching rate at the central portion of the wafer W, and hence the uniformity of the etching rate over the surface of the wafer W can be improved.
  • Here, as mentioned above, FIG. 15 shows the case that the thickness of the [0237] upper member 111 b is 2.8 mm, and FIG. 14 shows the case that the thickness of the upper member 111 b is 1.5 mm; the results shown in FIG. 14 thus substantially show the results for the state that 1.3 mm of the upper member 111 b has been consumed from the state shown in FIG. 15. As is clear from looking, for example, at the change in the etching state between FIGS. 15 and 14 for the case that the gap width G of the gap 111 c is 2.5 mm, and this change for the case that the gap width G is zero, the effect of consumption of the focus ring on the uniformity of the etching processing over the surface of the wafer W can be reduced in the case that the gap width G is 2.5 mm compared with the case that the gap width G is zero. The maintenance frequency, i.e. the frequency of replacing the focus ring, can thus be reduced in the case that the gap width G is 2.5 mm compared with the case that the gap width G is zero.
  • If the gap width G is made to be narrower than 0.5 mm, then the operation of the [0238] gap 111 c as a processing gas flow path is impaired due to a drop in the conductance, and hence the marked difference in terms of operating effects described above disappears. It is thus preferable to make the gap width G at least 0.5 mm.
  • As described above, according to the present embodiment, by using the [0239] focus ring 111, the etching rate for the wafer W as a whole can be increased, and hence the throughput can be increased, and thus the productivity can be improved.
  • Moreover, with etching processing in which the etching rate at a peripheral portion of the wafer W becomes lower than the etching rate at a central portion of the wafer W if the gap width G is zero, by using the [0240] focus ring 111 according to the present embodiment, and suitably adjusting the gap width G, the etching rate at the peripheral portion of the wafer W can be increased more than the etching rate at the central portion of the wafer W, and hence the uniformity of the etching rate over the surface of the wafer W can be improved, and thus high-precision etching processing can be carried out.
  • Furthermore, according to the present embodiment, the frequency of maintenance such as replacing the [0241] focus ring 111 can be reduced, and hence the uptime ratio for the apparatus can be improved, and thus the productivity can be improved; furthermore, when consumption of the focus ring 111 has occurred, by replacing only the upper member 111 b, i.e. only part of the focus ring 111, the running cost can be reduced.
  • In the embodiments described above, the present invention is applied to the etching of semiconductor wafers; however, the present invention is not limited to this case, but rather can also be similarly applied in the case of carrying out etching on other substrates such as glass substrates for liquid crystal display apparatuses. [0242]
  • With the plasma processing apparatuses described above, the values of the focus ring cooling pressure and the focus ring chuck voltage may be set in advance in accordance with the thickness of the focus ring that will be consumed over time during one maintenance cycle. Moreover, the extent of consumption of the focus ring may be detected using detection means such as an optical sensor, and the detected values may be fed back into the set values of the focus ring cooling pressure and the focus ring chuck voltage, whereby the extent of consumption of the focus ring can be reflected in the processing recipe for the next time of carrying out processing. [0243]
  • Moreover, the present invention can be applied not only to etching apparatuses, but also to other plasma processing apparatuses such as CVD apparatuses and ashing apparatuses. [0244]

Claims (27)

What is claimed is:
1. A plasma processing apparatus comprising:
a susceptor having an electrostatic chuck on which is mounted an object to be processed that is to be subjected to plasma processing, and a focus ring having a contact portion disposed in contact with said electrostatic chuck;
wherein said focus ring has a dielectric material portion that forms said contact portion, and a conductive material portion that faces said electrostatic chuck with said dielectric material portion therebetween.
2. A plasma processing apparatus as claimed in claim 1, wherein said dielectric material portion has a constant thickness in a radial direction of said focus ring.
3. A plasma processing apparatus as claimed in claim 1, wherein said dielectric material portion is made of an oxide of a material constituting said conductive material portion.
4. A plasma processing apparatus as claimed in claim 1, wherein said material constituting said conductive material portion is silicon.
5. A plasma processing apparatus as claimed in claim 1, wherein said material constituting said dielectric material portion is silicon dioxide.
6. A focus ring having a contact portion to be disposed in contact with an electrostatic chuck on which is mounted an object to be processed that is to be subjected to plasma processing, the focus ring comprising:
a dielectric material portion that forms said contact portion; and
a conductive material portion that faces said electrostatic chuck with said dielectric material portion therebetween.
7. A susceptor comprising:
an electrostatic chuck on which is mounted an object to be processed that is to be subjected to plasma processing; and
a focus ring having a contact portion disposed in contact with said electrostatic chuck;
wherein said focus ring has a dielectric material portion that forms said contact portion, and a conductive material portion that faces said electrostatic chuck with said dielectric material portion therebetween.
8. A plasma processing apparatus comprising:
a susceptor having an electrostatic chuck on which is mounted an object to be processed that is to be subjected to plasma processing, and a focus ring having a contact surface disposed in contact with said electrostatic chuck around a periphery of the object to be processed; and
heat exchange means provided at said contact surface, for carrying out heat exchange with said focus ring.
9. A plasma processing apparatus as claimed in claim 8, wherein said heat exchange means comprises a groove provided in said contact surface and filled with a heat transfer medium.
10. A plasma processing apparatus as claimed in claim 9, wherein said heat transfer medium is a Galden fluid.
11. A plasma processing apparatus as claimed in claim 9, wherein said groove is formed in said focus ring.
12. A plasma processing apparatus as claimed in claim 9, wherein said groove is formed in said electrostatic chuck.
13. A plasma processing apparatus as claimed in claim 9, wherein said groove has a depth of not less than 0.1 mm.
14. A plasma processing apparatus as claimed in claim 9, wherein said groove has corners thereof rounded off.
15. A plasma processing apparatus as claimed in claim 9, wherein said groove comprises at least one groove having an annular shape concentric with said focus ring.
16. A plasma processing apparatus as claimed in claim 8, wherein said heat exchange means comprises cooling means for cooling said focus ring.
17. A plasma processing apparatus as claimed in claim 16, wherein said heat exchange means comprises a supply path that supplies a heat transfer gas to said contact surface, the plasma processing apparatus further comprising a controller that controls a pressure of the heat transfer gas supplied from said heat exchange means, and wherein the plasma processing comprises a plurality of steps, and said controller changes the pressure of the heat transfer gas supplied in accordance with each of the steps.
18. A plasma processing apparatus as claimed in claim 16, further comprising an electrode built into said electrostatic chuck in a manner facing said focus ring, and a controller that controls a voltage applied to said electrode, wherein said electrode attracts said focus ring to said electrostatic chuck by electrostatic attraction, the plasma processing comprises a plurality of steps, and said controller changes the voltage applied to said electrode in accordance with each of the steps.
19. A plasma processing apparatus as claimed in claim 16, wherein said heat exchange means reduces a temperature of said focus ring to at least 20K below a temperature of said electrostatic chuck.
20. A plasma processing apparatus as claimed in claim 19, wherein said heat exchange means reduces the temperature of said focus ring to not more than 0° C.
21. A plasma processing apparatus as claimed in claim 16, wherein said heat exchange means comprises heating means for heating said focus ring.
22. A plasma processing apparatus as claimed in claim 16, wherein said focus ring further comprises second heating means for heating said focus ring.
23. A plasma processing apparatus as claimed in claim 16, wherein said focus ring is exposed to a cleaning gas.
24. A plasma processing apparatus as claimed in claim 16, wherein said focus ring is exposed to a plasma.
25. A plasma processing apparatus as claimed in claim 8, wherein said heat exchange means comprises a Peltier device.
26. A focus ring having a contact surface to be disposed in contact with an electrostatic chuck on which is mounted an object to be processed that is to be subjected to plasma processing, around a periphery of the object to be processed, the focus ring comprising:
heat exchange means provided at said contact surface, for carrying out heat exchange with said focus ring.
27. A susceptor comprising:
an electrostatic chuck on which is mounted an object to be processed that is to be subjected to plasma processing;
a focus ring having a contact surface disposed in contact with said electrostatic chuck around a periphery of the object to be processed; and
heat exchange means provided at said contact surface, for carrying out heat exchange with said focus ring.
US10/828,437 2003-04-24 2004-04-21 Plasma processing apparatus, focus ring, and susceptor Abandoned US20040261946A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/850,391 US8124539B2 (en) 2003-04-24 2010-08-04 Plasma processing apparatus, focus ring, and susceptor

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
JP2003-120419 2003-04-24
JP2003120419 2003-04-24
JP2003-271975 2003-07-08
JP2003271975A JP4439853B2 (en) 2003-07-08 2003-07-08 Plasma processing apparatus, focus ring, and plasma processing method
JP2003-204898 2003-07-31
JP2003204898 2003-07-31
JP2004115807A JP4547182B2 (en) 2003-04-24 2004-04-09 Plasma processing equipment
JP2004-115807 2004-04-09

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/850,391 Continuation US8124539B2 (en) 2003-04-24 2010-08-04 Plasma processing apparatus, focus ring, and susceptor

Publications (1)

Publication Number Publication Date
US20040261946A1 true US20040261946A1 (en) 2004-12-30

Family

ID=33545454

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/828,437 Abandoned US20040261946A1 (en) 2003-04-24 2004-04-21 Plasma processing apparatus, focus ring, and susceptor
US12/850,391 Expired - Fee Related US8124539B2 (en) 2003-04-24 2010-08-04 Plasma processing apparatus, focus ring, and susceptor

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/850,391 Expired - Fee Related US8124539B2 (en) 2003-04-24 2010-08-04 Plasma processing apparatus, focus ring, and susceptor

Country Status (4)

Country Link
US (2) US20040261946A1 (en)
KR (1) KR100613198B1 (en)
CN (1) CN100375261C (en)
TW (1) TWI236086B (en)

Cited By (358)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040099635A1 (en) * 2001-02-15 2004-05-27 Tokyo Electron Limited Method and apparatus for processing workpiece
US20040244949A1 (en) * 2003-05-30 2004-12-09 Tokyo Electron Limited Temperature controlled shield ring
US20060066247A1 (en) * 2004-06-21 2006-03-30 Tokyo Electron Limited Plasma processing apparatus and method
US20060090706A1 (en) * 2004-11-03 2006-05-04 Applied Materials, Inc. Support ring assembly
US20060254717A1 (en) * 2005-05-11 2006-11-16 Hiroyuki Kobayashi Plasma processing apparatus
US20060281314A1 (en) * 2005-02-25 2006-12-14 Canon Anelva Corporation Wafer Holder And Method Of Holding A Wafer
US20070000614A1 (en) * 2003-03-21 2007-01-04 Tokyo Electron Limited Method and apparatus for reducing substrate backside deposition during processing
US20070283891A1 (en) * 2006-03-29 2007-12-13 Nobuyuki Okayama Table for supporting substrate, and vacuum-processing equipment
US20080000876A1 (en) * 2006-06-29 2008-01-03 Hynix Semiconductor Inc. Plasma etching apparatus and plasma etching method using the same
WO2008011579A2 (en) * 2006-07-21 2008-01-24 Aixtron, Inc. Small volume symmetric flow single wafer ald apparatus
US20080066868A1 (en) * 2006-09-19 2008-03-20 Tokyo Electron Limited Focus ring and plasma processing apparatus
US20080149598A1 (en) * 2006-12-25 2008-06-26 Tokyo Electron Limited Substrate processing apparatus, focus ring heating method, and substrate processing method
US20080239691A1 (en) * 2007-03-27 2008-10-02 Tokyo Electron Limited Thermally conductive sheet and substrate mounting device including same
US20080236497A1 (en) * 2007-03-30 2008-10-02 Tokyo Electon Limited Method and system for improving deposition uniformity in a vapor deposition system
US20090057578A1 (en) * 2007-08-27 2009-03-05 Tokyo Electron Limited Method of plasma particle simulation, storage medium, plasma particle simulator and plasma processing apparatus
US20090255901A1 (en) * 2005-10-12 2009-10-15 Shogo Okita Plasma processing apparatus, plasma processing method, and tray
US20100002355A1 (en) * 2008-07-02 2010-01-07 Ngk Insulators, Ltd. Wafer support device and component used for the same
US20100012274A1 (en) * 2008-07-18 2010-01-21 Tokyo Electron Limited Focus ring, substrate mounting table and plasma processing apparatus having same
US20100012036A1 (en) * 2008-07-11 2010-01-21 Hugo Silva Isolation for multi-single-wafer processing apparatus
WO2010011521A2 (en) * 2008-07-23 2010-01-28 Applied Materials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US20100040768A1 (en) * 2008-08-15 2010-02-18 Lam Research Corporation Temperature controlled hot edge ring assembly
US20100064971A1 (en) * 2008-09-17 2010-03-18 Synos Technology, Inc. Electrode for Generating Plasma and Plasma Generator
US20100068413A1 (en) * 2008-09-17 2010-03-18 Synos Technology, Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US20100122774A1 (en) * 2008-11-20 2010-05-20 Tokyo Electron Limited Substrate mounting table and substrate processing apparatus having same
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US20100215871A1 (en) * 2009-02-23 2010-08-26 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US20100243606A1 (en) * 2009-03-27 2010-09-30 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US20100314356A1 (en) * 2009-06-12 2010-12-16 Tokyo Electron Limited Method of reusing a consumable part for use in a plasma processing apparatus
US20100321029A1 (en) * 2008-02-12 2010-12-23 Tokyo Electron Limited Plasma measuring method, plasma measuring device and storage medium
US20110006037A1 (en) * 2009-07-10 2011-01-13 Tokyo Electron Limited Surface processing method
CN101974738A (en) * 2010-11-19 2011-02-16 理想能源设备有限公司 Plasma enhanced chemical vapor deposition device
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US20120000629A1 (en) * 2010-06-30 2012-01-05 Tokyo Electron Limited Substrate processing apparatus
US20120000612A1 (en) * 2010-06-30 2012-01-05 Tokyo Electron Limited Substrate stage, substrate processing apparatus and substrate processing system
US20120055403A1 (en) * 2009-03-03 2012-03-08 Tokyo Electron Limited Mounting table structure, film forming apparatus and raw material recovery method
WO2012061278A1 (en) * 2010-11-05 2012-05-10 Synos Technology, Inc. Radical reactor with multiple plasma chambers
US20120111500A1 (en) * 2010-11-09 2012-05-10 Tokyo Electron Limited Plasma processing apparatus
US20120238040A1 (en) * 2011-03-16 2012-09-20 Tokyo Electron Limited Plasma etching apparatus and plasma etching method
CN102741998A (en) * 2010-01-29 2012-10-17 住友大阪水泥股份有限公司 Electrostatic chuck apparatus
CN102741996A (en) * 2009-12-10 2012-10-17 东京毅力科创株式会社 Electrostatic chuck apparatus
US20120304483A1 (en) * 2011-05-31 2012-12-06 Lam Research Corporation Substrate freeze dry apparatus and method
US20130008609A1 (en) * 2007-03-28 2013-01-10 Tokyo Electron Limited Plasma processing apparatus
US20130048217A1 (en) * 2011-08-26 2013-02-28 Shinko Electric Industries Co., Ltd. Electrostatic chuck and semiconductor/liquid crystal manufacturing equipment
US8410393B2 (en) 2010-05-24 2013-04-02 Lam Research Corporation Apparatus and method for temperature control of a semiconductor substrate support
TWI393210B (en) * 2008-11-05 2013-04-11 Dms Co Ltd Electrostatic chuck assembly for plasma reactor
US20130105088A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Thermal management of edge ring in semiconductor processing
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US20140001154A1 (en) * 2012-06-28 2014-01-02 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US20140008010A1 (en) * 2012-07-09 2014-01-09 Tokyo Electron Limited Heat transfer sheet adhering apparatus and method
US20140069585A1 (en) * 2012-09-07 2014-03-13 Tokyo Electron Limited Plasma etching apparatus
US20140103806A1 (en) * 2012-10-17 2014-04-17 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US8721833B2 (en) * 2012-02-05 2014-05-13 Tokyo Electron Limited Variable capacitance chamber component incorporating ferroelectric materials and methods of manufacturing and using thereof
US8734664B2 (en) 2008-07-23 2014-05-27 Applied Materials, Inc. Method of differential counter electrode tuning in an RF plasma reactor
US20140146434A1 (en) * 2012-11-27 2014-05-29 Tokyo Electron Limited Mounting table structure and method of holding focus ring
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8790499B2 (en) 2005-11-25 2014-07-29 Applied Materials, Inc. Process kit components for titanium sputtering chamber
US20140209245A1 (en) * 2013-01-31 2014-07-31 Tokyo Electron Limited Mounting table and plasma processing apparatus
US20140224426A1 (en) * 2013-02-13 2014-08-14 Samsung Electronics Co., Ltd. Substrate support unit and plasma etching apparatus having the same
US20140254061A1 (en) * 2013-03-11 2014-09-11 Shinko Electric Industries Co., L To. Electrostatic chuck apparatus
US20140262193A1 (en) * 2013-03-13 2014-09-18 Techest Co., Ltd. Edge ring cooling module for semi-conductor manufacture chuck
US8871628B2 (en) 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US20150126038A1 (en) * 2013-11-01 2015-05-07 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and method therefor
US20150162169A1 (en) * 2013-12-05 2015-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Etching apparatus and method
US20150170952A1 (en) * 2013-12-18 2015-06-18 Applied Materials, Inc. Rotatable heated electrostatic chuck
US9112050B1 (en) * 2014-05-13 2015-08-18 Applied Materials, Inc. Dicing tape thermal management by wafer frame support ring cooling during plasma dicing
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US9153472B2 (en) * 2012-04-04 2015-10-06 Siltronic Ag Device for depositing a layer on a semiconductor wafer by means of vapour deposition
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
EP2843690A4 (en) * 2012-04-25 2015-10-21 Tokyo Electron Ltd Method for control of adherence of microparticles to base material to be processed, and processing device
US20160035610A1 (en) * 2014-07-30 2016-02-04 Myoung Soo Park Electrostatic chuck assemblies having recessed support surfaces, semiconductor fabricating apparatuses having the same, and plasma treatment methods using the same
US20160042926A1 (en) * 2014-08-11 2016-02-11 Tokyo Electron Limited Plasma processing apparatus and focus ring
US20160083840A1 (en) * 2014-09-24 2016-03-24 Applied Materials, Inc. Graphite susceptor
CN105489527A (en) * 2014-09-19 2016-04-13 北京北方微电子基地设备工艺研究中心有限责任公司 Bearing device and semiconductor processing equipment
US20160240352A1 (en) * 2015-02-17 2016-08-18 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and method for manufacturing electronic component
US9487863B2 (en) * 2015-02-06 2016-11-08 Hitachi Kokusai Electric Inc. Substrate processing apparatus
KR20170001665A (en) * 2015-06-26 2017-01-04 에스피티에스 테크놀러지스 리미티드 Plasma Etching Apparatus
US20170033008A1 (en) * 2011-03-14 2017-02-02 Plasma-Therm Llc Method and Apparatus for Plasma Dicing a Semi-conductor Wafer
US20180182635A1 (en) * 2016-12-27 2018-06-28 Tokyo Electron Limited Focus ring and substrate processing apparatus
US20180294177A1 (en) * 2015-12-17 2018-10-11 Beijing Naura Microelectronics Equipment Co., Ltd Electrostatic chuck mechanism and semiconductor processing device having the same
US20180308737A1 (en) * 2015-10-21 2018-10-25 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device
US20180350565A1 (en) * 2017-06-02 2018-12-06 Tokyo Electron Limited Plasma processing apparatus, electrostatic attraction method, and electrostatic attraction program
US10217613B2 (en) * 2015-12-28 2019-02-26 Hitachi High-Technologies Corporation Plasma processing apparatus
US20190088512A1 (en) * 2017-09-18 2019-03-21 Mattson Technology, Inc. Cooled Focus Ring for Plasma Processing Apparatus
US10262886B2 (en) * 2014-09-30 2019-04-16 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device
US20190131159A1 (en) * 2017-10-30 2019-05-02 Semes Co., Ltd. Substrate treating apparatus and substrate treating method
CN109712965A (en) * 2018-11-30 2019-05-03 谢鸿远 A kind of antistatic circuit encapsulating structure with pin point discharge
US10301718B2 (en) * 2016-03-22 2019-05-28 Lam Research Corporation Asymmetric pedestal/carrier ring arrangement for edge impedance modulation
US20190362949A1 (en) * 2018-05-28 2019-11-28 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10529539B2 (en) 2004-06-21 2020-01-07 Tokyo Electron Limited Plasma processing apparatus and method
US10553473B2 (en) 2014-12-19 2020-02-04 Applied Materials, Inc. Edge ring for a substrate processing chamber
CN110867365A (en) * 2019-11-04 2020-03-06 北京北方华创微电子装备有限公司 Plasma system
US10622196B2 (en) * 2013-08-21 2020-04-14 Tokyo Electron Limited Plasma processing apparatus
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10755902B2 (en) 2015-05-27 2020-08-25 Tokyo Electron Limited Plasma processing apparatus and focus ring
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN112151345A (en) * 2019-06-27 2020-12-29 细美事有限公司 Substrate processing apparatus
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US20210020408A1 (en) * 2019-07-19 2021-01-21 Tokyo Electron Limited Substrate support assembly, substrate processing apparatus, and edge ring
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US20210043495A1 (en) * 2019-08-05 2021-02-11 Kioxia Corporation Plasma processing device and plasma processing method
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10923369B2 (en) * 2016-10-11 2021-02-16 Tokyo Electron Limited Temperature controlling apparatus, temperature controlling method, and placing table
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10991556B2 (en) 2017-02-01 2021-04-27 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11001925B2 (en) * 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004717B2 (en) 2017-09-15 2021-05-11 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US20210143044A1 (en) * 2019-11-07 2021-05-13 Tokyo Electron Limited Plasma processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN112885690A (en) * 2019-11-29 2021-06-01 中微半导体设备(上海)股份有限公司 Plasma processing device
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US20210183685A1 (en) * 2019-12-16 2021-06-17 Tokyo Electron Limited Edge ring and substrate processing apparatus
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US20210249233A1 (en) * 2019-12-18 2021-08-12 Hitachi High-Tech Corporation Plasma processing apparatus
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US11101112B2 (en) * 2017-08-30 2021-08-24 Panasonic Intellectual Property Management Co., Ltd. Plasma processing device and plasma processing method
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US20210305025A1 (en) * 2020-03-25 2021-09-30 Tokyo Electron Limited Substrate support and plasma processing apparatus
US20210305030A1 (en) * 2020-03-27 2021-09-30 Tokyo Electron Limited Substrate processing device, substrate processing system, control method for substrate processing device, and control method for substrate processing system
US20210305022A1 (en) * 2020-03-24 2021-09-30 Tokyo Electron Limited Edge ring, substrate support, plasma processing system and method of replacing edge ring
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US20210343503A1 (en) * 2020-05-01 2021-11-04 Tokyo Electron Limited Etching apparatus and etching method
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US20210358725A1 (en) * 2020-05-15 2021-11-18 Tokyo Electron Limited Substrate support assembly, substrate processing apparatus, and substrate processing method
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US20220068613A1 (en) * 2020-09-01 2022-03-03 Samsung Electronics Co., Ltd. Plasma processing equipment
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11276601B2 (en) * 2020-04-10 2022-03-15 Applied Materials, Inc. Apparatus and methods for manipulating power at an edge ring in a plasma processing device
US11282734B2 (en) 2017-10-30 2022-03-22 Ngk Insulators, Ltd. Electrostatic chuck and method for manufacturing the same
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
TWI767725B (en) * 2020-05-29 2022-06-11 大陸商北京魯汶半導體科技有限公司 Rotary platform for ion beam etching
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11393710B2 (en) 2016-01-26 2022-07-19 Applied Materials, Inc. Wafer edge ring lifting solution
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US20220293397A1 (en) * 2021-03-10 2022-09-15 Applied Materials, Inc. Substrate edge ring that extends process environment beyond substrate diameter
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US20220351933A1 (en) * 2021-04-28 2022-11-03 Advanced Micro-Fabrication Equipment Inc. China Plasma treatment apparatus, lower electrode assembly and forming method thereof
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US20230044703A1 (en) * 2018-03-28 2023-02-09 Samsung Electronics Co., Ltd. Plasma processing equipment
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610798B2 (en) * 2017-11-06 2023-03-21 Ngk Insulators, Ltd. Electrostatic chuck assembly, electrostatic chuck, and focus ring
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
TWI809233B (en) * 2018-12-17 2023-07-21 大陸商中微半導體設備(上海)股份有限公司 RF electrode assembly for plasma treatment equipment and plasma treatment equipment
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11875970B2 (en) 2018-12-17 2024-01-16 Advanced Micro-Fabrication Equipment Inc. China Radio frequency electrode assembly for plasma processing apparatus, and plasma processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1909760B (en) * 2005-08-05 2010-07-21 中微半导体设备(上海)有限公司 Vacuum reaction chamber and processing method
JP2008016727A (en) * 2006-07-07 2008-01-24 Tokyo Electron Ltd Heat conductive structure and substrate treatment apparatus
JP2008078208A (en) * 2006-09-19 2008-04-03 Tokyo Electron Ltd Focus ring and plasma processing apparatus
US9558980B2 (en) * 2008-04-30 2017-01-31 Axcelis Technologies, Inc. Vapor compression refrigeration chuck for ion implanters
JP5227197B2 (en) * 2008-06-19 2013-07-03 東京エレクトロン株式会社 Focus ring and plasma processing apparatus
JP5274918B2 (en) * 2008-07-07 2013-08-28 東京エレクトロン株式会社 Method for controlling temperature of chamber inner member of plasma processing apparatus, chamber inner member and substrate mounting table, and plasma processing apparatus including the same
CN101740340B (en) * 2008-11-25 2011-12-21 北京北方微电子基地设备工艺研究中心有限责任公司 Reaction chamber and semiconductor processing device
KR101045621B1 (en) * 2008-12-31 2011-06-30 엘아이지에이디피 주식회사 ElectroStatic Chuck and measuring method for bias voltage of the substrate
JP5203986B2 (en) * 2009-01-19 2013-06-05 東京エレクトロン株式会社 Focus ring heating method, plasma etching method, plasma etching apparatus and computer storage medium
CN101866823B (en) * 2009-04-16 2011-09-14 北京北方微电子基地设备工艺研究中心有限责任公司 Plasma processing equipment and method for placing workpieces to be processed on static chuck thereof
JP5320171B2 (en) * 2009-06-05 2013-10-23 東京エレクトロン株式会社 Substrate processing equipment
CN101989543B (en) * 2009-08-07 2012-09-05 中微半导体设备(上海)有限公司 Device for reducing polymers at back side of substrate
KR101048066B1 (en) * 2009-08-25 2011-07-11 세메스 주식회사 Substrate processing apparatus
JP5395633B2 (en) * 2009-11-17 2014-01-22 東京エレクトロン株式会社 Substrate mounting table for substrate processing apparatus
CN102479678A (en) * 2010-11-30 2012-05-30 深圳深爱半导体有限公司 Generation method of film chip and carrier used for generating film chip
JP5642531B2 (en) * 2010-12-22 2014-12-17 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
JP6085079B2 (en) * 2011-03-28 2017-02-22 東京エレクトロン株式会社 Pattern forming method, temperature control method for member in processing container, and substrate processing system
JP2013033940A (en) 2011-07-07 2013-02-14 Tokyo Electron Ltd Plasma processing apparatus
US8486798B1 (en) 2012-02-05 2013-07-16 Tokyo Electron Limited Variable capacitance chamber component incorporating a semiconductor junction and methods of manufacturing and using thereof
JP5351316B1 (en) * 2012-08-15 2013-11-27 株式会社アドバンテスト Sample holder and electron beam exposure method using the same
JP6027492B2 (en) * 2013-05-22 2016-11-16 東京エレクトロン株式会社 Etching method and etching apparatus
CN104752143B (en) * 2013-12-31 2017-05-03 中微半导体设备(上海)有限公司 Plasma treating device
JPWO2015170676A1 (en) * 2014-05-07 2017-04-20 東京エレクトロン株式会社 Plasma etching processing method
JP6346855B2 (en) * 2014-12-25 2018-06-20 東京エレクトロン株式会社 Electrostatic adsorption method and substrate processing apparatus
CN105990084A (en) * 2015-03-02 2016-10-05 北京北方微电子基地设备工艺研究中心有限责任公司 Focusing ring, lower electrode mechanism and semiconductor processing equipment
CN106548917B (en) * 2015-09-21 2018-07-27 中微半导体设备(上海)有限公司 Adjust the device and its temperature control method of device temperature in plasma etch chamber
CN106920725B (en) * 2015-12-24 2018-10-12 中微半导体设备(上海)有限公司 A kind of temperature adjustment device and method of focusing ring
CN106920729B (en) * 2015-12-28 2019-05-31 中微半导体设备(上海)股份有限公司 A kind of plasma processing apparatus and method of uniform etching substrate
US9922857B1 (en) * 2016-11-03 2018-03-20 Lam Research Corporation Electrostatically clamped edge ring
CN108074787A (en) * 2016-11-10 2018-05-25 北京北方华创微电子装备有限公司 Lower electrode arrangement and semiconductor processing equipment
JP6698502B2 (en) * 2016-11-21 2020-05-27 東京エレクトロン株式会社 Mounting table and plasma processing device
CN109216144B (en) * 2017-07-03 2021-08-06 中微半导体设备(上海)股份有限公司 Plasma reactor with low-frequency radio frequency power distribution adjusting function
TWI650828B (en) * 2018-01-10 2019-02-11 弘塑科技股份有限公司 Substrate processing apparatus
JP7204350B2 (en) * 2018-06-12 2023-01-16 東京エレクトロン株式会社 Mounting table, substrate processing device and edge ring
KR102644838B1 (en) * 2018-10-23 2024-03-11 삼성디스플레이 주식회사 Electrostatic chuck and electrostatic adsorption apparaus having the same
JP7228989B2 (en) * 2018-11-05 2023-02-27 東京エレクトロン株式会社 PLACE, EDGE RING POSITIONING METHOD, AND SUBSTRATE PROCESSING APPARATUS
US11398397B2 (en) * 2018-11-21 2022-07-26 Samsung Electronics Co., Ltd. Electrostatic chuck and plasma processing apparatus including the same
KR102175087B1 (en) * 2019-03-14 2020-11-05 세메스 주식회사 Apparatus for treating substrate and edge ring of the same
JP7204564B2 (en) * 2019-03-29 2023-01-16 東京エレクトロン株式会社 Plasma processing equipment
US11450545B2 (en) * 2019-04-17 2022-09-20 Samsung Electronics Co., Ltd. Capacitively-coupled plasma substrate processing apparatus including a focus ring and a substrate processing method using the same
KR102325223B1 (en) * 2019-07-22 2021-11-10 세메스 주식회사 Apparatus for treating substrate
CN112435912B (en) * 2019-08-26 2023-09-29 中微半导体设备(上海)股份有限公司 Plasma processing apparatus
KR20210044568A (en) 2019-10-15 2021-04-23 삼성전자주식회사 Etching apparatus
CN115698376A (en) * 2020-07-15 2023-02-03 朗姆研究公司 Exclusion ring for substrate processing
JP2022042379A (en) * 2020-09-02 2022-03-14 東京エレクトロン株式会社 Mounting platform and plasma processing equipment
CN112397366B (en) * 2020-11-05 2023-07-14 北京北方华创微电子装备有限公司 Bearing device and semiconductor reaction chamber
CN112652515B (en) * 2020-12-09 2023-08-15 长江存储科技有限责任公司 Plasma etching device and edge ring thereof
CN112670142A (en) * 2020-12-24 2021-04-16 北京北方华创微电子装备有限公司 Electrostatic chuck and semiconductor processing equipment
CN115440558A (en) * 2021-06-03 2022-12-06 长鑫存储技术有限公司 Semiconductor etching equipment
CN115020225B (en) * 2022-08-08 2022-12-13 广州粤芯半导体技术有限公司 Method and device for integrally etching metal hard mask

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5491603A (en) * 1994-04-28 1996-02-13 Applied Materials, Inc. Method of determining a dechucking voltage which nullifies a residual electrostatic force between an electrostatic chuck and a wafer
US5556500A (en) * 1994-03-03 1996-09-17 Tokyo Electron Limited Plasma etching apparatus
US5958265A (en) * 1997-04-26 1999-09-28 Anelva Corporation Substrate holder for a plasma processing system
US6125025A (en) * 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US20010008172A1 (en) * 1999-07-27 2001-07-19 Naohiro Shoda Semiconductor workpiece processing apparatus and method
US20010022293A1 (en) * 1999-12-27 2001-09-20 Kenji Maeda Plasma processing equipment and plasma processing method using the same
US20020005252A1 (en) * 1995-03-16 2002-01-17 Toshio Masuda Plasma etching apparatus and plasma etching method
US20020029745A1 (en) * 2000-04-25 2002-03-14 Toshifumi Nagaiwa Worktable device and plasma processing apparatus for semiconductor process
US20020037652A1 (en) * 2000-09-25 2002-03-28 Tsuyoshi Moriya Semiconductor device manufacturing apparatus and method for manufacturing a semiconductor device
US6373681B2 (en) * 1996-09-19 2002-04-16 Hitachi, Ltd. Electrostatic chuck, and method of and apparatus for processing sample using the chuck
US6391789B2 (en) * 2000-04-19 2002-05-21 Nec Corporation Dry etching system for patterning target layer at high reproducibility and method of dry etching used therein
US6506686B2 (en) * 2000-03-06 2003-01-14 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US20030106647A1 (en) * 2000-07-17 2003-06-12 Akira Koshiishi Apparatus for holding an object to be processed
US20030164226A1 (en) * 2002-03-04 2003-09-04 Seiichiro Kanno Wafer processing apparatus and a wafer stage and a wafer processing method
US20040005726A1 (en) * 2002-07-03 2004-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma chamber equipped with temperature-controlled focus ring and method of operating
US6676804B1 (en) * 1998-07-16 2004-01-13 Tokyo Electron At Limited Method and apparatus for plasma processing

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US586240A (en) * 1897-07-13 Island
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
JPH04279044A (en) 1991-01-09 1992-10-05 Sumitomo Metal Ind Ltd Sample-retention device
US5411624A (en) * 1991-07-23 1995-05-02 Tokyo Electron Limited Magnetron plasma processing apparatus
US5534072A (en) 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
JP3292540B2 (en) 1993-03-03 2002-06-17 東京エレクトロン株式会社 Heat treatment equipment
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
JPH0774231A (en) 1993-08-31 1995-03-17 Tokyo Electron Ltd Treatment apparatus and its usage method
JPH07135200A (en) 1993-11-11 1995-05-23 Tokyo Electron Ltd Etching device
JPH07249586A (en) 1993-12-22 1995-09-26 Tokyo Electron Ltd Treatment device and its manufacturing method and method for treating body to be treated
JPH07211681A (en) 1994-01-19 1995-08-11 Hitachi Ltd Method and equipment for cleaning
JP3600271B2 (en) 1994-05-25 2004-12-15 東京エレクトロン株式会社 Processing equipment
US5552124A (en) 1994-06-22 1996-09-03 Applied Materials, Inc. Stationary focus ring for plasma reactor
JPH0982781A (en) 1995-09-18 1997-03-28 Kokusai Electric Co Ltd Semiconductor manufacturing apparatus
US5891348A (en) * 1996-01-26 1999-04-06 Applied Materials, Inc. Process gas focusing apparatus and method
JPH09232290A (en) 1996-02-19 1997-09-05 Sony Corp Semiconductor manufacturing apparatus
US5849092A (en) 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US6132552A (en) 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
JPH11330047A (en) 1998-05-12 1999-11-30 Sony Corp Etching apparatus and method thereof
JP3583289B2 (en) 1998-05-28 2004-11-04 株式会社日立製作所 Plasma processing apparatus and plasma processing method
JP2000150471A (en) * 1998-11-09 2000-05-30 Sony Corp Etching apparatus and manufacture of semiconductor device utilizing the same
US6466426B1 (en) 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
US6363882B1 (en) 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
JP4417574B2 (en) * 2000-02-14 2010-02-17 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
JP2001230239A (en) 2000-02-15 2001-08-24 Tokyo Electron Ltd Apparatus and method for treating
EP1127957A1 (en) 2000-02-24 2001-08-29 Asm Japan K.K. A film forming apparatus having cleaning function
US20040081439A1 (en) 2000-05-04 2004-04-29 Applied Materials, Inc. Actively-controlled electrostatic chuck heater
JP2002009048A (en) 2000-06-20 2002-01-11 Matsushita Electric Ind Co Ltd Focus ring for plasma processor
US6844273B2 (en) 2001-02-07 2005-01-18 Tokyo Electron Limited Precleaning method of precleaning a silicon nitride film forming system
JP4133333B2 (en) 2001-02-15 2008-08-13 東京エレクトロン株式会社 Method of processing object and processing apparatus thereof
JP4676074B2 (en) * 2001-02-15 2011-04-27 東京エレクトロン株式会社 Focus ring and plasma processing apparatus
US6528427B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Methods for reducing contamination of semiconductor substrates
US7169255B2 (en) * 2002-02-15 2007-01-30 Hitachi High-Technologies Corporation Plasma processing apparatus

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556500A (en) * 1994-03-03 1996-09-17 Tokyo Electron Limited Plasma etching apparatus
US5491603A (en) * 1994-04-28 1996-02-13 Applied Materials, Inc. Method of determining a dechucking voltage which nullifies a residual electrostatic force between an electrostatic chuck and a wafer
US20020005252A1 (en) * 1995-03-16 2002-01-17 Toshio Masuda Plasma etching apparatus and plasma etching method
US6373681B2 (en) * 1996-09-19 2002-04-16 Hitachi, Ltd. Electrostatic chuck, and method of and apparatus for processing sample using the chuck
US5958265A (en) * 1997-04-26 1999-09-28 Anelva Corporation Substrate holder for a plasma processing system
US6676804B1 (en) * 1998-07-16 2004-01-13 Tokyo Electron At Limited Method and apparatus for plasma processing
US6125025A (en) * 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US20010008172A1 (en) * 1999-07-27 2001-07-19 Naohiro Shoda Semiconductor workpiece processing apparatus and method
US20010022293A1 (en) * 1999-12-27 2001-09-20 Kenji Maeda Plasma processing equipment and plasma processing method using the same
US6506686B2 (en) * 2000-03-06 2003-01-14 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6391789B2 (en) * 2000-04-19 2002-05-21 Nec Corporation Dry etching system for patterning target layer at high reproducibility and method of dry etching used therein
US20020029745A1 (en) * 2000-04-25 2002-03-14 Toshifumi Nagaiwa Worktable device and plasma processing apparatus for semiconductor process
US6723202B2 (en) * 2000-04-25 2004-04-20 Tokyo Electron Limited Worktable device and plasma processing apparatus for semiconductor process
US20030106647A1 (en) * 2000-07-17 2003-06-12 Akira Koshiishi Apparatus for holding an object to be processed
US20020037652A1 (en) * 2000-09-25 2002-03-28 Tsuyoshi Moriya Semiconductor device manufacturing apparatus and method for manufacturing a semiconductor device
US20030164226A1 (en) * 2002-03-04 2003-09-04 Seiichiro Kanno Wafer processing apparatus and a wafer stage and a wafer processing method
US20040005726A1 (en) * 2002-07-03 2004-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma chamber equipped with temperature-controlled focus ring and method of operating

Cited By (495)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7615259B2 (en) 2001-02-15 2009-11-10 Tokyo Electron Limited Method and apparatus for processing workpiece
US20040099635A1 (en) * 2001-02-15 2004-05-27 Tokyo Electron Limited Method and apparatus for processing workpiece
US20100015812A1 (en) * 2001-02-15 2010-01-21 Tokyo Electron Limited Method and apparatus for processing workpiece
US8287967B2 (en) 2001-02-15 2012-10-16 Tokyo Electron Limited Method and apparatus for processing workpiece
US8382942B2 (en) * 2003-03-21 2013-02-26 Tokyo Electron Limited Method and apparatus for reducing substrate backside deposition during processing
US20070000614A1 (en) * 2003-03-21 2007-01-04 Tokyo Electron Limited Method and apparatus for reducing substrate backside deposition during processing
US20040244949A1 (en) * 2003-05-30 2004-12-09 Tokyo Electron Limited Temperature controlled shield ring
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US10854431B2 (en) 2004-06-21 2020-12-01 Tokyo Electron Limited Plasma processing apparatus and method
US9490105B2 (en) 2004-06-21 2016-11-08 Tokyo Electron Limited Plasma processing apparatus and method
US10529539B2 (en) 2004-06-21 2020-01-07 Tokyo Electron Limited Plasma processing apparatus and method
US10546727B2 (en) 2004-06-21 2020-01-28 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US8603293B2 (en) 2004-06-21 2013-12-10 Tokyo Electron Limited Plasma processing apparatus and method
US20060066247A1 (en) * 2004-06-21 2006-03-30 Tokyo Electron Limited Plasma processing apparatus and method
US7670436B2 (en) * 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
US20060090706A1 (en) * 2004-11-03 2006-05-04 Applied Materials, Inc. Support ring assembly
US8986522B2 (en) 2005-02-25 2015-03-24 Canon Anelva Corporation Angled sputtering physical vapor deposition apparatus with wafer holder and wafer holder for an angled sputtering physical vapor deposition apparatus
US20060281314A1 (en) * 2005-02-25 2006-12-14 Canon Anelva Corporation Wafer Holder And Method Of Holding A Wafer
US20060254717A1 (en) * 2005-05-11 2006-11-16 Hiroyuki Kobayashi Plasma processing apparatus
US7767054B2 (en) * 2005-05-11 2010-08-03 Hitachi High-Technologies Corporation Plasma processing apparatus
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US9481608B2 (en) 2005-07-13 2016-11-01 Applied Materials, Inc. Surface annealing of components for substrate processing chambers
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US20090255901A1 (en) * 2005-10-12 2009-10-15 Shogo Okita Plasma processing apparatus, plasma processing method, and tray
US8591754B2 (en) 2005-10-12 2013-11-26 Panasonic Corporation Plasma processing apparatus and plasma processing method
US8231798B2 (en) 2005-10-12 2012-07-31 Panasonic Corporation Plasma processing apparatus and plasma processing method
US7736528B2 (en) 2005-10-12 2010-06-15 Panasonic Corporation Plasma processing apparatus and plasma processing method
US20100051584A1 (en) * 2005-10-12 2010-03-04 Shogo Okita Plasma processing apparatus and plasma processing method
US11658016B2 (en) 2005-10-31 2023-05-23 Applied Materials, Inc. Shield for a substrate processing chamber
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US10347475B2 (en) 2005-10-31 2019-07-09 Applied Materials, Inc. Holding assembly for substrate processing chamber
US8790499B2 (en) 2005-11-25 2014-07-29 Applied Materials, Inc. Process kit components for titanium sputtering chamber
US20070283891A1 (en) * 2006-03-29 2007-12-13 Nobuyuki Okayama Table for supporting substrate, and vacuum-processing equipment
US20080000876A1 (en) * 2006-06-29 2008-01-03 Hynix Semiconductor Inc. Plasma etching apparatus and plasma etching method using the same
WO2008011579A3 (en) * 2006-07-21 2008-03-27 Aixtron Inc Small volume symmetric flow single wafer ald apparatus
WO2008011579A2 (en) * 2006-07-21 2008-01-24 Aixtron, Inc. Small volume symmetric flow single wafer ald apparatus
US20080066868A1 (en) * 2006-09-19 2008-03-20 Tokyo Electron Limited Focus ring and plasma processing apparatus
US20080149598A1 (en) * 2006-12-25 2008-06-26 Tokyo Electron Limited Substrate processing apparatus, focus ring heating method, and substrate processing method
US8941037B2 (en) * 2006-12-25 2015-01-27 Tokyo Electron Limited Substrate processing apparatus, focus ring heating method, and substrate processing method
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US8702903B2 (en) * 2007-03-27 2014-04-22 Tokyo Electron Limited Thermally conductive sheet and substrate mounting device including same
US20080239691A1 (en) * 2007-03-27 2008-10-02 Tokyo Electron Limited Thermally conductive sheet and substrate mounting device including same
US10847341B2 (en) 2007-03-28 2020-11-24 Tokyo Electron Limited Plasma processing apparatus
US20180294137A1 (en) * 2007-03-28 2018-10-11 Tokyo Electron Limited Plasma processing apparatus
US20130008609A1 (en) * 2007-03-28 2013-01-10 Tokyo Electron Limited Plasma processing apparatus
US10804072B2 (en) 2007-03-28 2020-10-13 Tokyo Electron Limited Plasma processing apparatus
US8048226B2 (en) * 2007-03-30 2011-11-01 Tokyo Electron Limited Method and system for improving deposition uniformity in a vapor deposition system
US20080236497A1 (en) * 2007-03-30 2008-10-02 Tokyo Electon Limited Method and system for improving deposition uniformity in a vapor deposition system
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8980045B2 (en) 2007-05-30 2015-03-17 Applied Materials, Inc. Substrate cleaning chamber and components
US7847247B2 (en) * 2007-08-27 2010-12-07 Tokyo Electron Limited Method of plasma particle simulation, storage medium, plasma particle simulator and plasma processing apparatus
US20090057578A1 (en) * 2007-08-27 2009-03-05 Tokyo Electron Limited Method of plasma particle simulation, storage medium, plasma particle simulator and plasma processing apparatus
US20100321029A1 (en) * 2008-02-12 2010-12-23 Tokyo Electron Limited Plasma measuring method, plasma measuring device and storage medium
US8125757B2 (en) 2008-07-02 2012-02-28 Ngk Insulators, Ltd. Wafer support device and component used for the same
US20100002355A1 (en) * 2008-07-02 2010-01-07 Ngk Insulators, Ltd. Wafer support device and component used for the same
US20100012036A1 (en) * 2008-07-11 2010-01-21 Hugo Silva Isolation for multi-single-wafer processing apparatus
US20100012274A1 (en) * 2008-07-18 2010-01-21 Tokyo Electron Limited Focus ring, substrate mounting table and plasma processing apparatus having same
US8734664B2 (en) 2008-07-23 2014-05-27 Applied Materials, Inc. Method of differential counter electrode tuning in an RF plasma reactor
WO2010011521A3 (en) * 2008-07-23 2010-04-22 Applied Materials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
WO2010011521A2 (en) * 2008-07-23 2010-01-28 Applied Materials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US20100040768A1 (en) * 2008-08-15 2010-02-18 Lam Research Corporation Temperature controlled hot edge ring assembly
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US20100068413A1 (en) * 2008-09-17 2010-03-18 Synos Technology, Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US20100064971A1 (en) * 2008-09-17 2010-03-18 Synos Technology, Inc. Electrode for Generating Plasma and Plasma Generator
US8770142B2 (en) 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
TWI393210B (en) * 2008-11-05 2013-04-11 Dms Co Ltd Electrostatic chuck assembly for plasma reactor
US20100122774A1 (en) * 2008-11-20 2010-05-20 Tokyo Electron Limited Substrate mounting table and substrate processing apparatus having same
US8871628B2 (en) 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US8257799B2 (en) 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US20100215871A1 (en) * 2009-02-23 2010-08-26 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US8992686B2 (en) * 2009-03-03 2015-03-31 Tokyo Electron Limited Mounting table structure, film forming apparatus and raw material recovery method
US20120055403A1 (en) * 2009-03-03 2012-03-08 Tokyo Electron Limited Mounting table structure, film forming apparatus and raw material recovery method
US20100243606A1 (en) * 2009-03-27 2010-09-30 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8894806B2 (en) * 2009-03-27 2014-11-25 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8475622B2 (en) 2009-06-12 2013-07-02 Tokyo Electron Limited Method of reusing a consumable part for use in a plasma processing apparatus
CN101920256A (en) * 2009-06-12 2010-12-22 东京毅力科创株式会社 The consumable part that plasma processing apparatus is used utilize method again
US20100314356A1 (en) * 2009-06-12 2010-12-16 Tokyo Electron Limited Method of reusing a consumable part for use in a plasma processing apparatus
US8221579B2 (en) 2009-06-12 2012-07-17 Tokyo Electron Limited Method of reusing a consumable part for use in a plasma processing apparatus
US8715782B2 (en) * 2009-07-10 2014-05-06 Tokyo Electron Limited Surface processing method
US20110006037A1 (en) * 2009-07-10 2011-01-13 Tokyo Electron Limited Surface processing method
US8318034B2 (en) 2009-07-10 2012-11-27 Tokyo Electron Limited Surface processing method
US20130040055A1 (en) * 2009-07-10 2013-02-14 Tokyo Electron Limited Surface processing method
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102741996A (en) * 2009-12-10 2012-10-17 东京毅力科创株式会社 Electrostatic chuck apparatus
EP2511950A4 (en) * 2009-12-10 2014-07-02 Tokyo Electron Ltd Electrostatic chuck apparatus
EP2511950A1 (en) * 2009-12-10 2012-10-17 Tokyo Electron Limited Electrostatic chuck apparatus
US8981263B2 (en) 2009-12-10 2015-03-17 Tokyo Electron Limited Electrostatic chuck apparatus
US9721822B2 (en) 2009-12-10 2017-08-01 Tokyo Electron Limited Electrostatic chuck apparatus
US9343346B2 (en) 2010-01-29 2016-05-17 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck apparatus
CN102741998A (en) * 2010-01-29 2012-10-17 住友大阪水泥股份有限公司 Electrostatic chuck apparatus
US8410393B2 (en) 2010-05-24 2013-04-02 Lam Research Corporation Apparatus and method for temperature control of a semiconductor substrate support
US9153465B2 (en) * 2010-06-30 2015-10-06 Tokyo Electron Limited Substrate stage, substrate processing apparatus and substrate processing system
TWI451525B (en) * 2010-06-30 2014-09-01 Tokyo Electron Ltd Substrate processing device
US20120000612A1 (en) * 2010-06-30 2012-01-05 Tokyo Electron Limited Substrate stage, substrate processing apparatus and substrate processing system
US20120000629A1 (en) * 2010-06-30 2012-01-05 Tokyo Electron Limited Substrate processing apparatus
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
WO2012061278A1 (en) * 2010-11-05 2012-05-10 Synos Technology, Inc. Radical reactor with multiple plasma chambers
US8545672B2 (en) * 2010-11-09 2013-10-01 Tokyo Electron Limited Plasma processing apparatus
US20120111500A1 (en) * 2010-11-09 2012-05-10 Tokyo Electron Limited Plasma processing apparatus
CN101974738A (en) * 2010-11-19 2011-02-16 理想能源设备有限公司 Plasma enhanced chemical vapor deposition device
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US9711406B2 (en) * 2011-03-14 2017-07-18 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US20170033008A1 (en) * 2011-03-14 2017-02-02 Plasma-Therm Llc Method and Apparatus for Plasma Dicing a Semi-conductor Wafer
KR101928579B1 (en) * 2011-03-16 2018-12-12 도쿄엘렉트론가부시키가이샤 Plasma etching apparatus and plasma etching method
TWI574317B (en) * 2011-03-16 2017-03-11 東京威力科創股份有限公司 Plasma etching device and plasma etching method
US20120238040A1 (en) * 2011-03-16 2012-09-20 Tokyo Electron Limited Plasma etching apparatus and plasma etching method
US20120304483A1 (en) * 2011-05-31 2012-12-06 Lam Research Corporation Substrate freeze dry apparatus and method
US9673037B2 (en) * 2011-05-31 2017-06-06 Law Research Corporation Substrate freeze dry apparatus and method
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11037811B2 (en) * 2011-08-26 2021-06-15 Shinko Electric Industries Co., Ltd. Electrostatic chuck and semiconductor/liquid crystal manufacturing equipment
US20130048217A1 (en) * 2011-08-26 2013-02-28 Shinko Electric Industries Co., Ltd. Electrostatic chuck and semiconductor/liquid crystal manufacturing equipment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US20130105088A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Thermal management of edge ring in semiconductor processing
TWI618138B (en) * 2011-10-28 2018-03-11 應用材料股份有限公司 Thermal management of edge ring in semiconductor processing
US9947559B2 (en) * 2011-10-28 2018-04-17 Applied Materials, Inc. Thermal management of edge ring in semiconductor processing
US8721833B2 (en) * 2012-02-05 2014-05-13 Tokyo Electron Limited Variable capacitance chamber component incorporating ferroelectric materials and methods of manufacturing and using thereof
US9153472B2 (en) * 2012-04-04 2015-10-06 Siltronic Ag Device for depositing a layer on a semiconductor wafer by means of vapour deposition
EP2843690A4 (en) * 2012-04-25 2015-10-21 Tokyo Electron Ltd Method for control of adherence of microparticles to base material to be processed, and processing device
US8920665B2 (en) * 2012-06-28 2014-12-30 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US20140001154A1 (en) * 2012-06-28 2014-01-02 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US9343336B2 (en) 2012-06-28 2016-05-17 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US9427913B2 (en) * 2012-07-09 2016-08-30 Tokyo Electron Limited Heat transfer sheet adhering apparatus and method
US20140008010A1 (en) * 2012-07-09 2014-01-09 Tokyo Electron Limited Heat transfer sheet adhering apparatus and method
US10153138B2 (en) * 2012-09-07 2018-12-11 Tokyo Electron Limited Plasma etching apparatus
US20140069585A1 (en) * 2012-09-07 2014-03-13 Tokyo Electron Limited Plasma etching apparatus
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US8975817B2 (en) * 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US20140103806A1 (en) * 2012-10-17 2014-04-17 Lam Research Corporation Pressure controlled heat pipe temperature control plate
TWI576953B (en) * 2012-11-27 2017-04-01 Tokyo Electron Ltd The method of constructing the table and keeping the focus ring
US20140146434A1 (en) * 2012-11-27 2014-05-29 Tokyo Electron Limited Mounting table structure and method of holding focus ring
US9209060B2 (en) * 2012-11-27 2015-12-08 Tokyo Electron Limited Mounting table structure and method of holding focus ring
US20140209245A1 (en) * 2013-01-31 2014-07-31 Tokyo Electron Limited Mounting table and plasma processing apparatus
US11705356B2 (en) 2013-01-31 2023-07-18 Tokyo Electron Limited Mounting table and plasma processing apparatus
US10727101B2 (en) * 2013-01-31 2020-07-28 Tokyo Electron Limited Mounting table and plasma processing apparatus
US20140224426A1 (en) * 2013-02-13 2014-08-14 Samsung Electronics Co., Ltd. Substrate support unit and plasma etching apparatus having the same
US20140254061A1 (en) * 2013-03-11 2014-09-11 Shinko Electric Industries Co., L To. Electrostatic chuck apparatus
US9252039B2 (en) * 2013-03-11 2016-02-02 Shinko Electric Industries Co., Ltd. Electrostatic chuck apparatus
US20140262193A1 (en) * 2013-03-13 2014-09-18 Techest Co., Ltd. Edge ring cooling module for semi-conductor manufacture chuck
US10622196B2 (en) * 2013-08-21 2020-04-14 Tokyo Electron Limited Plasma processing apparatus
US20150126038A1 (en) * 2013-11-01 2015-05-07 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and method therefor
US10217617B2 (en) * 2013-11-01 2019-02-26 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and method therefor
US20150162169A1 (en) * 2013-12-05 2015-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Etching apparatus and method
US20150170952A1 (en) * 2013-12-18 2015-06-18 Applied Materials, Inc. Rotatable heated electrostatic chuck
US9853579B2 (en) * 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
CN105874585A (en) * 2013-12-18 2016-08-17 应用材料公司 Rotatable heated electrostatic chuck
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN106716602A (en) * 2014-05-13 2017-05-24 应用材料公司 Dicing tape thermal management by wafer frame support ring cooling during plasma dicing
US9112050B1 (en) * 2014-05-13 2015-08-18 Applied Materials, Inc. Dicing tape thermal management by wafer frame support ring cooling during plasma dicing
TWI658538B (en) * 2014-05-13 2019-05-01 Applied Materials, Inc. Dicing tape thermal management by wafer frame support ring cooling during plasma dicing
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US20160035610A1 (en) * 2014-07-30 2016-02-04 Myoung Soo Park Electrostatic chuck assemblies having recessed support surfaces, semiconductor fabricating apparatuses having the same, and plasma treatment methods using the same
US20160042926A1 (en) * 2014-08-11 2016-02-11 Tokyo Electron Limited Plasma processing apparatus and focus ring
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN105489527A (en) * 2014-09-19 2016-04-13 北京北方微电子基地设备工艺研究中心有限责任公司 Bearing device and semiconductor processing equipment
US10000847B2 (en) * 2014-09-24 2018-06-19 Applied Materials, Inc. Graphite susceptor
US20160083840A1 (en) * 2014-09-24 2016-03-24 Applied Materials, Inc. Graphite susceptor
US20180298494A1 (en) * 2014-09-24 2018-10-18 Applied Materials, Inc. Graphite susceptor
US11021794B2 (en) 2014-09-24 2021-06-01 Applied Materials, Inc. Graphite susceptor
US10262886B2 (en) * 2014-09-30 2019-04-16 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10553473B2 (en) 2014-12-19 2020-02-04 Applied Materials, Inc. Edge ring for a substrate processing chamber
US11417561B2 (en) 2014-12-19 2022-08-16 Applied Materials, Inc. Edge ring for a substrate processing chamber
TWI706491B (en) * 2014-12-19 2020-10-01 美商應用材料股份有限公司 Edge ring for a substrate processing chamber
US9487863B2 (en) * 2015-02-06 2016-11-08 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US20160240352A1 (en) * 2015-02-17 2016-08-18 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and method for manufacturing electronic component
US9786472B2 (en) * 2015-02-17 2017-10-10 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and method for manufacturing electronic component
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10755902B2 (en) 2015-05-27 2020-08-25 Tokyo Electron Limited Plasma processing apparatus and focus ring
KR102613743B1 (en) 2015-06-26 2023-12-13 에스피티에스 테크놀러지스 리미티드 Plasma Etching Apparatus
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10153135B2 (en) * 2015-06-26 2018-12-11 Spts Technologies Limited Plasma etching apparatus
KR20170001665A (en) * 2015-06-26 2017-01-04 에스피티에스 테크놀러지스 리미티드 Plasma Etching Apparatus
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US20180308737A1 (en) * 2015-10-21 2018-10-25 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device
US11024528B2 (en) * 2015-10-21 2021-06-01 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device having focus ring
US10985045B2 (en) * 2015-12-17 2021-04-20 Beijing Naura Microelectronics Equipment Co., Ltd. Electrostatic chuck mechanism and semiconductor processing device having the same
US20180294177A1 (en) * 2015-12-17 2018-10-11 Beijing Naura Microelectronics Equipment Co., Ltd Electrostatic chuck mechanism and semiconductor processing device having the same
US10217613B2 (en) * 2015-12-28 2019-02-26 Hitachi High-Technologies Corporation Plasma processing apparatus
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11393710B2 (en) 2016-01-26 2022-07-19 Applied Materials, Inc. Wafer edge ring lifting solution
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10301718B2 (en) * 2016-03-22 2019-05-28 Lam Research Corporation Asymmetric pedestal/carrier ring arrangement for edge impedance modulation
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11837480B2 (en) 2016-10-11 2023-12-05 Tokyo Electron Limited Temperature controlling apparatus, temperature controlling method, and placing table
US10923369B2 (en) * 2016-10-11 2021-02-16 Tokyo Electron Limited Temperature controlling apparatus, temperature controlling method, and placing table
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) * 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US20180182635A1 (en) * 2016-12-27 2018-06-28 Tokyo Electron Limited Focus ring and substrate processing apparatus
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10991556B2 (en) 2017-02-01 2021-04-27 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
TWI781175B (en) * 2017-06-02 2022-10-21 日商東京威力科創股份有限公司 Plasma processing apparatus, electrostatic attraction method, and electrostatic attraction program
US11764038B2 (en) 2017-06-02 2023-09-19 Tokyo Electron Limited Plasma processing apparatus, electrostatic attraction method, and electrostatic attraction program
US10879050B2 (en) * 2017-06-02 2020-12-29 Tokyo Electron Limited Plasma processing apparatus, electrostatic attraction method, and electrostatic attraction program
US20180350565A1 (en) * 2017-06-02 2018-12-06 Tokyo Electron Limited Plasma processing apparatus, electrostatic attraction method, and electrostatic attraction program
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11101112B2 (en) * 2017-08-30 2021-08-24 Panasonic Intellectual Property Management Co., Ltd. Plasma processing device and plasma processing method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US20210233794A1 (en) * 2017-09-15 2021-07-29 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US11004717B2 (en) 2017-09-15 2021-05-11 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US11830751B2 (en) * 2017-09-15 2023-11-28 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US20190088512A1 (en) * 2017-09-18 2019-03-21 Mattson Technology, Inc. Cooled Focus Ring for Plasma Processing Apparatus
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US11887879B2 (en) 2017-09-21 2024-01-30 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11282734B2 (en) 2017-10-30 2022-03-22 Ngk Insulators, Ltd. Electrostatic chuck and method for manufacturing the same
US20190131159A1 (en) * 2017-10-30 2019-05-02 Semes Co., Ltd. Substrate treating apparatus and substrate treating method
US11244847B2 (en) * 2017-10-30 2022-02-08 Semes Co., Ltd. Substrate treating apparatus and substrate treating method
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11610798B2 (en) * 2017-11-06 2023-03-21 Ngk Insulators, Ltd. Electrostatic chuck assembly, electrostatic chuck, and focus ring
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US20230044703A1 (en) * 2018-03-28 2023-02-09 Samsung Electronics Co., Ltd. Plasma processing equipment
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11728143B2 (en) 2018-05-28 2023-08-15 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10790123B2 (en) * 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10600623B2 (en) 2018-05-28 2020-03-24 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US20190362949A1 (en) * 2018-05-28 2019-11-28 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
CN109712965A (en) * 2018-11-30 2019-05-03 谢鸿远 A kind of antistatic circuit encapsulating structure with pin point discharge
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11875970B2 (en) 2018-12-17 2024-01-16 Advanced Micro-Fabrication Equipment Inc. China Radio frequency electrode assembly for plasma processing apparatus, and plasma processing apparatus
TWI809233B (en) * 2018-12-17 2023-07-21 大陸商中微半導體設備(上海)股份有限公司 RF electrode assembly for plasma treatment equipment and plasma treatment equipment
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN112151345A (en) * 2019-06-27 2020-12-29 细美事有限公司 Substrate processing apparatus
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US20210020408A1 (en) * 2019-07-19 2021-01-21 Tokyo Electron Limited Substrate support assembly, substrate processing apparatus, and edge ring
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US20210043495A1 (en) * 2019-08-05 2021-02-11 Kioxia Corporation Plasma processing device and plasma processing method
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
CN110867365A (en) * 2019-11-04 2020-03-06 北京北方华创微电子装备有限公司 Plasma system
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US20210143044A1 (en) * 2019-11-07 2021-05-13 Tokyo Electron Limited Plasma processing apparatus
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
CN112885690A (en) * 2019-11-29 2021-06-01 中微半导体设备(上海)股份有限公司 Plasma processing device
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US20210183685A1 (en) * 2019-12-16 2021-06-17 Tokyo Electron Limited Edge ring and substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US20210249233A1 (en) * 2019-12-18 2021-08-12 Hitachi High-Tech Corporation Plasma processing apparatus
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US20210305022A1 (en) * 2020-03-24 2021-09-30 Tokyo Electron Limited Edge ring, substrate support, plasma processing system and method of replacing edge ring
US20210305025A1 (en) * 2020-03-25 2021-09-30 Tokyo Electron Limited Substrate support and plasma processing apparatus
US11935729B2 (en) * 2020-03-25 2024-03-19 Tokyo Electron Limited Substrate support and plasma processing apparatus
US20210305030A1 (en) * 2020-03-27 2021-09-30 Tokyo Electron Limited Substrate processing device, substrate processing system, control method for substrate processing device, and control method for substrate processing system
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11276601B2 (en) * 2020-04-10 2022-03-15 Applied Materials, Inc. Apparatus and methods for manipulating power at an edge ring in a plasma processing device
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US20210343503A1 (en) * 2020-05-01 2021-11-04 Tokyo Electron Limited Etching apparatus and etching method
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US20210358725A1 (en) * 2020-05-15 2021-11-18 Tokyo Electron Limited Substrate support assembly, substrate processing apparatus, and substrate processing method
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
TWI767725B (en) * 2020-05-29 2022-06-11 大陸商北京魯汶半導體科技有限公司 Rotary platform for ion beam etching
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US20220068613A1 (en) * 2020-09-01 2022-03-03 Samsung Electronics Co., Ltd. Plasma processing equipment
US11804367B2 (en) * 2020-09-01 2023-10-31 Samsung Electronics Co., Ltd. Plasma processing equipment
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US20220293397A1 (en) * 2021-03-10 2022-09-15 Applied Materials, Inc. Substrate edge ring that extends process environment beyond substrate diameter
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US20220351933A1 (en) * 2021-04-28 2022-11-03 Advanced Micro-Fabrication Equipment Inc. China Plasma treatment apparatus, lower electrode assembly and forming method thereof
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Also Published As

Publication number Publication date
US20110000883A1 (en) 2011-01-06
US8124539B2 (en) 2012-02-28
TWI236086B (en) 2005-07-11
CN1540738A (en) 2004-10-27
CN100375261C (en) 2008-03-12
KR100613198B1 (en) 2006-08-18
TW200507156A (en) 2005-02-16
KR20040093043A (en) 2004-11-04

Similar Documents

Publication Publication Date Title
US8124539B2 (en) Plasma processing apparatus, focus ring, and susceptor
JP4547182B2 (en) Plasma processing equipment
JP5492578B2 (en) Plasma processing equipment
US8323414B2 (en) Particle removal apparatus and method and plasma processing apparatus
JP6435135B2 (en) Plasma processing equipment
US4931135A (en) Etching method and etching apparatus
US5746928A (en) Process for cleaning an electrostatic chuck of a plasma etching apparatus
US7895970B2 (en) Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
US20100122774A1 (en) Substrate mounting table and substrate processing apparatus having same
US20070283891A1 (en) Table for supporting substrate, and vacuum-processing equipment
JP5702968B2 (en) Plasma processing apparatus and plasma control method
JPH09129612A (en) Etching gas and etching method
JPH08264515A (en) Plasma treatment device, processing device and etching device
JPH0955374A (en) Plasma treatment apparatus
US20060037702A1 (en) Plasma processing apparatus
KR20170028849A (en) Focus ring and substrate processing apparatus
JP2001308079A (en) Plasma processing apparatus and plasma processing method
JP3113796B2 (en) Plasma processing equipment
US20210391151A1 (en) Edge ring and plasma processing apparatus
US8858712B2 (en) Electrode for use in plasma processing apparatus and plasma processing apparatus
JP2010021405A (en) Plasma processing apparatus
CN101303997B (en) Plasma processing apparatus, focus ring, and susceptor
JP4602528B2 (en) Plasma processing equipment
KR100734016B1 (en) Receiving substrate and plasma processing apparatus having the same
JPS63227021A (en) Dry etching system

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ENDOH, SHOSUKE;IWABUCHI, NORIYUKI;KATO, SHIGEAKI;AND OTHERS;REEL/FRAME:015744/0028

Effective date: 20040707

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION