US20050020055A1 - Semiconductor processing methods - Google Patents

Semiconductor processing methods Download PDF

Info

Publication number
US20050020055A1
US20050020055A1 US10/917,820 US91782004A US2005020055A1 US 20050020055 A1 US20050020055 A1 US 20050020055A1 US 91782004 A US91782004 A US 91782004A US 2005020055 A1 US2005020055 A1 US 2005020055A1
Authority
US
United States
Prior art keywords
layer
photoresist
antireflective material
material layer
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/917,820
Inventor
Richard Holscher
Zhiping Yin
Tom Glass
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/917,820 priority Critical patent/US20050020055A1/en
Publication of US20050020055A1 publication Critical patent/US20050020055A1/en
Priority to US11/218,045 priority patent/US7626238B2/en
Priority to US11/220,458 priority patent/US20070238207A1/en
Priority to US11/482,244 priority patent/US7804115B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/151Matting or other surface reflectivity altering material

Definitions

  • the antireflective material layer 14 can comprise an inorganic material, such as, for example, a material comprising from about 5% to about 37% (by atomic concentration) oxygen, about 10% to about 35% (by atomic concentration) nitrogen, from about 50% to about 65% (by atomic concentration) silicon, and hydrogen.
  • a specific example inorganic material comprises about 10% (by atomic concentration) nitrogen, about 25% (by atomic concentration) oxygen and about 65% (by atomic concentration) silicon.
  • Antireflective coating layer 14 can, for example, consist of a single substantially homogeneous layer of the above-described inorganic material.

Abstract

In one aspect, the invention includes a semiconductor processing method. An antireflective material layer is formed over a substrate. At least a portion of the antireflective material layer is annealed at a temperature of greater than about 400° C. A layer of photoresist is formed over the annealed antireflective material layer. The layer of photoresist is patterned. A portion of the antireflective material layer unmasked by the patterned layer of photoresist is removed. In another aspect, the invention includes the following semiconductor processing. An antireflective material layer is formed over a substrate. The antireflective material layer is annealed at a temperature of greater than about 400° C. A layer of photoresist is formed over the annealed antireflective material layer. Portions of the layer of photoresist are exposed to radiation waves. Some of the radiation waves are absorbed by the antireflective material during the exposing.

Description

    TECHNICAL FIELD
  • The invention pertains to semiconductor processing methods, such as, for example, methods of patterning photoresist in which an antireflective material is utilized to attenuate (for example, absorb) radiation.
  • BACKGROUND OF THE INVENTION
  • Semiconductor processing frequently involves providing a photoresist layer over a substrate. Portions of the photoresist layer are subsequently exposed to light through a masked light source. The mask contains clear and opaque features defining a pattern to be created in the photoresist layer. Regions of the photoresist layer which are exposed to light are made either soluble or insoluble in a solvent. If the exposed regions are soluble, a positive image of the mask is produced in the photoresist. The photoresist is therefore termed a positive photoresist. On the other hand, if the non-irradiated regions are dissolved by the solvent, a negative image results. Hence, the photoresist is referred to as a negative photoresist.
  • A difficulty that can occur when exposing photoresist to radiation is that waves of radiation can propagate through the photoresist to a layer beneath the photoresist and then be reflected back up through the photoresist to interact with other waves propagating through the photoresist. The reflected waves can constructively and/or destructively interfere with other waves propagating through the photoresist to create periodic variations of light intensity within the photoresist. Such variations of light intensity can cause the photoresist to receive non-uniform doses of energy throughout its thickness. The non-uniform dose can decrease the accuracy and precision with which a masked pattern is transferred to the photoresist. Also, the radiated waves reflected back from a non-flat surface underlying photoresist can enter portions of the photoresist that are not supposed to be exposed. Accordingly, it is desired to develop methods which suppress radiation waves from being reflected by layers beneath a photoresist layer.
  • A method which has been used with some success to suppress reflected waves is to form an antireflective material beneath a photoresist layer. Antireflective materials can, for example, comprise materials which absorb radiation, and which therefore quench reflection of the radiation.
  • Antireflective materials absorb various wavelengths of radiation with varying effectiveness. The wavelengths absorbed, and the effectiveness with which they are absorbed, vary depending on the materials utilized. The number of materials available for use as antireflective materials is limited. Accordingly, it is desired to develop alternative methods of varying the wavelengths absorbed, and effectiveness with which the wavelengths are absorbed, for antireflective materials.
  • SUMMARY OF THE INVENTION
  • In one aspect, the invention includes a semiconductor processing method wherein an antireflective material layer is formed over a substrate. At least a portion of the antireflective material layer is annealed at a temperature of greater than about 400° C. A layer of photoresist is formed over the annealed antireflective material layer. The layer of photoresist is patterned. A portion of the antireflective material layer unmasked by the patterned layer of photoresist is removed.
  • In another aspect, the invention includes a semiconductor processing method wherein an antireflective material layer is formed over a substrate. The antireflective material layer is annealed at a temperature of greater than about 400° C. A layer of photoresist is formed over the annealed antireflective material layer. Portions of the layer of photoresist are exposed to radiation waves, some of the radiation waves are attenuated by the antireflective material as the portions are exposed.
  • In yet another aspect, the invention includes a semiconductor processing method wherein a solid antireflective material layer is formed over a substrate. Optical properties of the antireflective material layer are altered. After altering the optical properties, a layer of photoresist is formed over the antireflective material layer. Portions of the layer of photoresist are exposed to radiation waves. Some of the radiation waves are absorbed by the antireflective material.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Preferred embodiments of the invention are described below with reference to the following accompanying drawings.
  • FIG. 1 is a fragmentary, diagrammatic, cross-sectional view of a semiconductor wafer fragment at a preliminary processing step of a method of the present invention.
  • FIG. 2 is a view of the FIG. 1 wafer fragment at a processing step subsequent to that shown in FIG. 1.
  • FIG. 3 is a view of the FIG. 1 wafer fragment at a processing step subsequent to that shown in FIG. 2.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • This disclosure of the invention is submitted in furtherance of the constitutional purposes of the U.S. Patent Laws “to promote the progress of science and useful arts” (Article 1, Section 8).
  • A method of the present invention is described with reference to FIGS. 1-3. Referring to FIG. 1, a semiconductor wafer fragment 10 is illustrated at a preliminary processing step. Wafer fragment 10 comprises a substrate 12, an overlying antireflective material layer 14, and a photoresist layer 16 over the antireflective material layer 14. The substrate can comprise, for example, a monocrystalline silicon wafer lightly doped with a conductivity-enhancing dopant. To aid in interpretation of this disclosure and the claims that follow, the term “semiconductive substrate” is defined to mean any construction comprising semiconductive material, including, but not limited to, bulk semiconductive materials such as a semiconductive wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). The term “substrate” refers to any supporting structure, including, but not limited to, the semiconductive substrates described above.
  • The antireflective material layer 14 can comprise an inorganic material, such as, for example, a material comprising from about 5% to about 37% (by atomic concentration) oxygen, about 10% to about 35% (by atomic concentration) nitrogen, from about 50% to about 65% (by atomic concentration) silicon, and hydrogen. A specific example inorganic material comprises about 10% (by atomic concentration) nitrogen, about 25% (by atomic concentration) oxygen and about 65% (by atomic concentration) silicon. Antireflective coating layer 14 can, for example, consist of a single substantially homogeneous layer of the above-described inorganic material.
  • As another example, antireflective coating layer 14 can comprise a stack of materials, with at least one of the materials in the stack being configured to attenuate radiation that passes through the photoresist. The attenuation can encompass either total or partial absorption of such radiation. If the attenuation encompasses only partial absorption, then preferably the radiation that is not absorbed will be reflected at an appropriate wavelength and phase such that it is cancelled by other radiation passing through the stack. In an exemplary configuration of an antireflective layer comprising a stack of materials, the layer comprises a material comprising from about 5% to about 37% (by atomic concentration) oxygen, about 10% to about 35% (by atomic concentration) nitrogen, from about 50% to about 65% (by atomic concentration) silicon, and hydrogen at the bottom of the stack, The remainder of the stack comprises one or more layers that are fully or partially transmissive of the radiation. Such layers can comprise, for example, silicon dioxide.
  • Photoresist layer 16 can comprise either a negative photoresist or a positive photoresist.
  • In accordance with the present invention, antireflective material layer 14 is applied over substrate 12 and at least a portion of layer 14 is annealed at a temperature greater than about 400° C. (preferably greater than 400° C.) prior to formation of photoresist layer 16. If the antireflective material includes a portion comprising the above-discussed inorganic materials comprising nitrogen, oxygen, hydrogen and silicon, such portion can be applied by chemical vapor deposition at a temperature of from about 250° C. to about 400°. The portion is then preferably annealed at a temperature of from about 800° C. to about 1050° C., more preferably from about 800° C. to about 900° C., and most preferably about 850° C. During the anneal, the antireflective material layer 14 is preferably exposed to a nitrogen-containing atmosphere, such as an atmosphere comprising N2 and Ar. The atmosphere can, for example, consist essentially of N2.
  • An anneal of an antireflective material layer at a temperature of greater than about 400° C. has been found to alter optical properties of the antireflective material layer to make the antireflective material layer more absorptive to radiation. Such anneal is particularly beneficial for a portion of an antireflective material layer comprising oxygen, nitrogen, silicon, and hydrogen. Specifically, the anneal has been found to influence a refractive index coefficient (n) of the antireflective material layer and an extinction coefficient (energy absorption coefficient) (k) of the antireflective material layer. For instance, it has been found that an anneal at greater than about 400° C. of a hydrogenated materia) comprising about 10% (by atomic concentration) nitrogen, about 25% (by atomic concentration) oxygen and about 65% (by atomic concentration) silicon will alter the “n” and “k” of the material exposed to 248 nanometer wavelength light from 2.12 and 1.19, respectively, to 1.89 and 1.41, respectively. Also, the anneal will alter the “n” and “k” of such material when exposed to 365 nanometer wavelength light from 2.67 and 0.59, respectively, to 2.89 and 1.11, respectively.
  • After the anneal of at least a portion of antireflective material layer 14, photoresist layer 16 is formed over antireflective layer 14. Photoresist layer 16 can be formed by conventional methods. An example method includes spinning a photoresist liquid over layer 14 and subsequently volatilizing solids from the layer to form a solid photoresist layer 16.
  • Referring to FIG. 2, photoresist layer 16 is patterned by exposing the layer to a patterned beam of radiation. Such patterning can comprise conventional methods such as the negative photoresist processing or positive photoresist processing described in the “Background” section of this disclosure. Portions of photoresist layer 16 that are exposed to the radiation will behave differently in a solvent than will portions unexposed to radiation. Either the portion exposed to radiation or the portion unexposed to radiation is removed from over substrate 12 to leave the other of the portions exposed to radiation or unexposed to radiation remaining over substrate 12. Whether it is the portion that is exposed to radiation that is removed or the portion that is unexposed to radiation that is removed will depend on whether photoresist layer 16 comprises a negative or positive photoresist. The removal of a portion of photoresist layer 16 forms an opening 18 through photoresist layer 16. After formation of opening 18, photoresist layer 16 becomes a patterned mask. A portion of antireflective material layer 14 is covered by the patterned mask 16, and a portion is exposed through opening 18.
  • During the exposure of photoresist layer 16 to radiation, some of the radiation penetrates through layer 16 and into antireflective material layer 14. Antireflective material layer 14 attenuates, and preferably absorbs such penetrating radiation waves.
  • Referring to FIG. 3, opening 18 is extended through antireflective material layer 14 and into substrate 12. Opening 18 can be extended by conventional methods, such as, for example, a dry plasma etch or a wet etch.
  • In the shown embodiment, photoresist layer 16 is against antireflective material layer 14. It is to be understood that in other embodiments of the invention, which are not shown, intervening layers can be formed between photoresist layer 16 and antireflective material layer 14. If such intervening layers are at least partially transparent to the radiation utilized to pattern photoresist layer 16, the radiation will penetrate to antireflective material layer 14 and be absorbed by material layer 14 during exposure of photoresist layer 16 to the radiation. It is also to be understood that if such intervening layers are present, a pattern of layer 16 could be transferred to the intervening layers without extending the pattern to layer 14. Thus, the invention encompasses embodiments in which antireflective material layer 14 is not etched.
  • In compliance with the statute, the invention has been described in language more or less specific as to structural and methodical features. It is to be understood, however, that the invention is not limited to the specific features shown and described, since the means herein disclosed comprise preferred forms of putting the invention into effect. The invention is, therefore, claimed in any of its forms or modifications within the proper scope of the appended claims appropriately interpreted in accordance with the doctrine of equivalents.

Claims (10)

1-26. (Canceled).
27. A semiconductor device comprising:
a substrate; and
an annealed antireflective material layer over the substrate, the antireflective material layer comprising oxygen, nitrogen and silicon, and wherein the annealing is achieved by exposing the antireflective material layer to a temperature of at least 550° C., and wherein the substrate and the antireflective material layer have an opening extending through the antireflective material layer and partially into the substrate.
28. The device of claim 27 wherein the antireflective material layer comprises from about 5% to about 37% (by atomic concentration) oxygen, from about 10% to about 35% (by atomic concentration) nitrogen, from about 50% to about 65% (by atomic concentration) silicon, and hydrogen.
29. A semiconductor device comprising:
a substrate;
an annealed antireflective material comprising three layers over the substrate, and wherein the annealing is achieved by exposing the antireflective material layer to a temperature of at least 550° C.; and
at least one layer of the three layers comprises silicon dioxide.
30. (Canceled).
31. The device of claim 27 wherein the substrate comprises monocrystalline silicon.
32. The device of claim 29 wherein the three layers comprise an elevationally uppermost layer relative the substrate, and wherein the uppermost layer comprises silicon dioxide.
33. The device of claim 29 wherein at least two of the three layers comprise silicon dioxide.
34. The device of claim 29 wherein at least one of the three layers is at least partially transparent to light radiation.
35. The device of claim 29 wherein at least two of the three layers are at least partially transparent to light radiation.
US10/917,820 1998-02-25 2004-08-13 Semiconductor processing methods Abandoned US20050020055A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US10/917,820 US20050020055A1 (en) 1998-02-25 2004-08-13 Semiconductor processing methods
US11/218,045 US7626238B2 (en) 1998-02-25 2005-08-31 Semiconductor devices having antireflective material
US11/220,458 US20070238207A1 (en) 1998-02-25 2005-09-06 Semiconductor constructions
US11/482,244 US7804115B2 (en) 1998-02-25 2006-07-07 Semiconductor constructions having antireflective portions

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US09/030,618 US6274292B1 (en) 1998-02-25 1998-02-25 Semiconductor processing methods
US09/885,393 US6878507B2 (en) 1998-02-25 2001-06-19 Semiconductor processing methods
US10/277,437 US20030054294A1 (en) 1998-02-25 2002-10-21 Semiconductor processing methods
US10/917,820 US20050020055A1 (en) 1998-02-25 2004-08-13 Semiconductor processing methods

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/277,437 Division US20030054294A1 (en) 1998-02-25 2002-10-21 Semiconductor processing methods

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US11/218,045 Division US7626238B2 (en) 1998-02-25 2005-08-31 Semiconductor devices having antireflective material
US11/220,458 Continuation US20070238207A1 (en) 1998-02-25 2005-09-06 Semiconductor constructions

Publications (1)

Publication Number Publication Date
US20050020055A1 true US20050020055A1 (en) 2005-01-27

Family

ID=21855089

Family Applications (7)

Application Number Title Priority Date Filing Date
US09/030,618 Expired - Lifetime US6274292B1 (en) 1998-02-25 1998-02-25 Semiconductor processing methods
US09/885,393 Expired - Lifetime US6878507B2 (en) 1998-02-25 2001-06-19 Semiconductor processing methods
US10/277,437 Abandoned US20030054294A1 (en) 1998-02-25 2002-10-21 Semiconductor processing methods
US10/917,820 Abandoned US20050020055A1 (en) 1998-02-25 2004-08-13 Semiconductor processing methods
US11/214,998 Expired - Fee Related US7825443B2 (en) 1998-02-25 2005-08-29 Semiconductor constructions
US11/218,045 Expired - Fee Related US7626238B2 (en) 1998-02-25 2005-08-31 Semiconductor devices having antireflective material
US11/220,458 Abandoned US20070238207A1 (en) 1998-02-25 2005-09-06 Semiconductor constructions

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US09/030,618 Expired - Lifetime US6274292B1 (en) 1998-02-25 1998-02-25 Semiconductor processing methods
US09/885,393 Expired - Lifetime US6878507B2 (en) 1998-02-25 2001-06-19 Semiconductor processing methods
US10/277,437 Abandoned US20030054294A1 (en) 1998-02-25 2002-10-21 Semiconductor processing methods

Family Applications After (3)

Application Number Title Priority Date Filing Date
US11/214,998 Expired - Fee Related US7825443B2 (en) 1998-02-25 2005-08-29 Semiconductor constructions
US11/218,045 Expired - Fee Related US7626238B2 (en) 1998-02-25 2005-08-31 Semiconductor devices having antireflective material
US11/220,458 Abandoned US20070238207A1 (en) 1998-02-25 2005-09-06 Semiconductor constructions

Country Status (1)

Country Link
US (7) US6274292B1 (en)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6121133A (en) 1997-08-22 2000-09-19 Micron Technology, Inc. Isolation using an antireflective coating
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6294459B1 (en) 1998-09-03 2001-09-25 Micron Technology, Inc. Anti-reflective coatings and methods for forming and using same
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) * 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
US6444588B1 (en) 1999-04-26 2002-09-03 Micron Technology, Inc. Anti-reflective coatings and methods regarding same
US6573175B1 (en) 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
KR100442615B1 (en) * 2002-03-05 2004-08-02 삼성전자주식회사 Structure of Multi-layer for Reduction of Capacitance and Method for Manufacturing the Same
US7097923B2 (en) * 2002-04-30 2006-08-29 Hitachi Global Storage Technologies Method for forming thin film heads using a tri-layer anti-reflection coating for photolithographic applications and a structure thereof
US7994600B2 (en) * 2005-12-21 2011-08-09 Texas Instruments Incorporated Antireflective coating
US8084862B2 (en) * 2007-09-20 2011-12-27 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US7709370B2 (en) * 2007-09-20 2010-05-04 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US8618663B2 (en) 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
CN103631092B (en) * 2012-08-29 2017-05-31 中芯国际集成电路制造(上海)有限公司 The forming method of semiconductor structure
KR102337481B1 (en) * 2017-12-15 2021-12-08 스미도모쥬기가이고교 가부시키가이샤 Chuck plate, annealing apparatus, and annealing method

Citations (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US561212A (en) * 1896-06-02 epstein
US4158717A (en) * 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4444617A (en) * 1983-01-06 1984-04-24 Rockwell International Corporation Reactive ion etching of molybdenum silicide and N+ polysilicon
US4592129A (en) * 1985-04-01 1986-06-03 Motorola, Inc. Method of making an integral, multiple layer antireflection coating by hydrogen ion implantation
US4600671A (en) * 1983-09-12 1986-07-15 Canon Kabushiki Kaisha Photoconductive member having light receiving layer of A-(Si-Ge) and N
US4648904A (en) * 1986-02-14 1987-03-10 Scm Corporation Aqueous systems containing silanes for rendering masonry surfaces water repellant
US4805683A (en) * 1988-03-04 1989-02-21 International Business Machines Corporation Method for producing a plurality of layers of metallurgy
US4833096A (en) * 1988-01-19 1989-05-23 Atmel Corporation EEPROM fabrication process
US4907064A (en) * 1986-09-08 1990-03-06 Nec Corporation Semiconductor device having silicon oxynitride film with improved moisture resistance
US4992306A (en) * 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
US5034348A (en) * 1990-08-16 1991-07-23 International Business Machines Corp. Process for forming refractory metal silicide layers of different thicknesses in an integrated circuit
US5140390A (en) * 1990-02-16 1992-08-18 Hughes Aircraft Company High speed silicon-on-insulator device
US5219613A (en) * 1990-06-13 1993-06-15 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for producing storage-stable surfaces of polished silicon wafers
US5234869A (en) * 1990-06-28 1993-08-10 Kabushiki Kaisha Toshiba Method of manufacturing silicon nitride film
US5276347A (en) * 1991-12-18 1994-01-04 Sgs-Thomson Microelectronics, Inc. Gate overlapping LDD structure
US5285017A (en) * 1991-12-31 1994-02-08 Intel Corporation Embedded ground plane and shielding structures using sidewall insulators in high frequency circuits having vias
US5286661A (en) * 1992-08-26 1994-02-15 Motorola, Inc. Method of forming a bipolar transistor having an emitter overhang
US5302366A (en) * 1991-03-28 1994-04-12 Phillips Petroleum Company Production of silicon product containing both carbon and nitrogen
US5314724A (en) * 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
US5340621A (en) * 1992-03-30 1994-08-23 Nippon Sheet Glass Co., Ltd. Plasma CVD method
US5405489A (en) * 1991-10-30 1995-04-11 Samsung Electronics Co., Ltd. Method for fabricating an interlayer-dielectric film of a semiconductor device by using a plasma treatment prior to reflow
US5413963A (en) * 1994-08-12 1995-05-09 United Microelectronics Corporation Method for depositing an insulating interlayer in a semiconductor metallurgy system
US5441797A (en) * 1993-04-27 1995-08-15 International Business Machines Corporation Antireflective polyimide dielectric for photolithography
US5482894A (en) * 1994-08-23 1996-01-09 Texas Instruments Incorporated Method of fabricating a self-aligned contact using organic dielectric materials
US5536857A (en) * 1994-07-05 1996-07-16 Ford Motor Company Single source volatile precursor for SiO2.TiO2 powders and films
US5541445A (en) * 1991-08-14 1996-07-30 Mitel Corporation High performance passivation for semiconductor devices
US5543654A (en) * 1992-01-28 1996-08-06 Thunderbird Technologies, Inc. Contoured-tub fermi-threshold field effect transistor and method of forming same
US5591494A (en) * 1993-09-24 1997-01-07 Applied Materials, Inc. Deposition of silicon nitrides by plasma-enhanced chemical vapor deposition
US5600165A (en) * 1994-07-27 1997-02-04 Sony Corporation Semiconductor device with antireflection film
US5641607A (en) * 1991-12-30 1997-06-24 Sony Corporation Anti-reflective layer used to form a semiconductor device
US5652187A (en) * 1991-10-30 1997-07-29 Samsung Electronics Co., Ltd. Method for fabricating doped interlayer-dielectric film of semiconductor device using a plasma treatment
US5656337A (en) * 1993-08-31 1997-08-12 Samsung Electronics Co., Ltd. Method of forming a dielectric layer
US5656330A (en) * 1994-03-22 1997-08-12 Futaba Denshi Kogyo K.K. Resistive element having a resistivity which is thermally stable against heat treatment, and method and apparatus for producing same
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5709741A (en) * 1995-02-28 1998-01-20 Dow Corning Toray Silicone Co., Ltd. Water repellent for application to glass and water-repellent glass
US5710067A (en) * 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
US5711987A (en) * 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US5731242A (en) * 1993-10-15 1998-03-24 Intel Corporation Self-aligned contact process in semiconductor fabrication
US5741721A (en) * 1994-02-01 1998-04-21 Quality Microcircuits Corporation Method of forming capacitors and interconnect lines
US5744399A (en) * 1995-11-13 1998-04-28 Lsi Logic Corporation Process for forming low dielectric constant layers using fullerenes
US5750442A (en) * 1995-09-25 1998-05-12 Micron Technology, Inc. Germanium as an antireflective coating and method of use
US5753320A (en) * 1985-09-26 1998-05-19 Canon Kabushiki Kaisha Process for forming deposited film
US5759755A (en) * 1993-08-08 1998-06-02 Samsung Electronics, Co., Ltd. Semiconductor substrate containing anti-reflective layer
US5759746A (en) * 1996-05-24 1998-06-02 Kabushiki Kaisha Toshiba Fabrication process using a thin resist
US5783493A (en) * 1997-01-27 1998-07-21 Taiwan Semiconductor Manufacturing Company Ltd. Method for reducing precipitate defects using a plasma treatment post BPSG etchback
US5786039A (en) * 1995-05-15 1998-07-28 France Telecom Process for electrical insulation in microelectronics, applicable in narrow cavities, by deposition of oxide in the viscous state and corresponding device
US5789819A (en) * 1994-05-20 1998-08-04 Texas Instruments Incorporated Low dielectric constant material for electronics applications
US5792689A (en) * 1997-04-11 1998-08-11 Vanguard International Semiconducter Corporation Method for manufacturing double-crown capacitors self-aligned to node contacts on dynamic random access memory
US5858880A (en) * 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
US5872385A (en) * 1994-05-02 1999-02-16 Motorola Inc. Conductive interconnect structure and method of formation
US5872035A (en) * 1996-06-29 1999-02-16 Hyundai Electronics Industries Co., Ltd. Method of forming a floating gate in a flash memory device
US5874367A (en) * 1992-07-04 1999-02-23 Trikon Technologies Limited Method of treating a semi-conductor wafer
US5883011A (en) * 1997-06-18 1999-03-16 Vlsi Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
US5883014A (en) * 1997-06-03 1999-03-16 United Microelectronics Corp. Method for treating via sidewalls with hydrogen plasma
US6017779A (en) * 1994-06-15 2000-01-25 Seiko Epson Corporation Fabrication method for a thin film semiconductor device, the thin film semiconductor device itself, liquid crystal display, and electronic device
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6022404A (en) * 1995-01-12 2000-02-08 Degussa Aktiengesellschaft Surface-modified, pyrogenically produced mixed oxides, method of their production and use
US6028015A (en) * 1999-03-29 2000-02-22 Lsi Logic Corporation Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
US6037228A (en) * 1999-02-12 2000-03-14 United Microelectronics Corp. Method of fabricating self-aligned contact window which includes forming a undoped polysilicon spacer that extends into a recess of the gate structure
US6040619A (en) * 1995-06-07 2000-03-21 Advanced Micro Devices Semiconductor device including antireflective etch stop layer
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6057587A (en) * 1997-08-28 2000-05-02 Vlsi Technology, Inc. Semiconductor device with anti-reflective structure
US6060766A (en) * 1997-08-25 2000-05-09 Advanced Micro Devices, Inc. Protection of hydrogen sensitive regions in semiconductor devices from the positive charge associated with plasma deposited barriers or layers
US6060765A (en) * 1998-01-05 2000-05-09 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and a method of manufacturing the same
US6071799A (en) * 1997-06-30 2000-06-06 Hyundai Electronics Industries Co., Ltd. Method of forming a contact of a semiconductor device
US6080529A (en) * 1997-12-12 2000-06-27 Applied Materials, Inc. Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6083852A (en) * 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
US6087267A (en) * 1986-03-04 2000-07-11 Motorola, Inc. Process for forming an integrated circuit
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6184151B1 (en) * 1997-08-21 2001-02-06 International Business Machines Corporation Method for forming cornered images on a substrate and photomask formed thereby
US6187694B1 (en) * 1997-11-10 2001-02-13 Intel Corporation Method of fabricating a feature in an integrated circuit using two edge definition layers and a spacer
US6187657B1 (en) * 1999-03-24 2001-02-13 Advanced Micro Devices, Inc. Dual material gate MOSFET technique
US6198144B1 (en) * 1999-08-18 2001-03-06 Micron Technology, Inc. Passivation of sidewalls of a word line stack
US6208004B1 (en) * 1998-08-19 2001-03-27 Philips Semiconductor, Inc. Semiconductor device with high-temperature-stable gate electrode for sub-micron applications and fabrication thereof
US6209484B1 (en) * 1996-06-28 2001-04-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
US6218292B1 (en) * 1997-12-18 2001-04-17 Advanced Micro Devices, Inc. Dual layer bottom anti-reflective coating
US6225217B1 (en) * 1997-06-27 2001-05-01 Nec Corporation Method of manufacturing semiconductor device having multilayer wiring
US6235568B1 (en) * 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6235591B1 (en) * 1999-10-25 2001-05-22 Chartered Semiconductor Manufacturing Company Method to form gate oxides of different thicknesses on a silicon substrate
US6238976B1 (en) * 1997-07-08 2001-05-29 Micron Technology, Inc. Method for forming high density flash memory
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US20020016085A1 (en) * 2000-07-14 2002-02-07 Kegang Huang Method and apparatus for treating low k dielectric layers to reduce diffusion
US6348407B1 (en) * 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
US6383951B1 (en) * 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US20020055275A1 (en) * 1999-02-26 2002-05-09 Macneil John Dielectric layer for a semiconductor device and method of producing the same
US6403464B1 (en) * 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US20020098684A1 (en) * 1999-09-01 2002-07-25 Weimin Li Low k interlevel dielectric layer fabrication methods
US6528432B1 (en) * 2000-12-05 2003-03-04 Advanced Micro Devices, Inc. H2-or H2/N2-plasma treatment to prevent organic ILD degradation
US6589890B2 (en) * 1999-09-02 2003-07-08 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US6716725B1 (en) * 1998-09-02 2004-04-06 Tokyo Electron Limited Plasma processing method and semiconductor device
US20040126671A1 (en) * 2002-12-31 2004-07-01 Steven Smith Structure and process for a pellicle membrane for 157 nanometer lithography
US6849561B1 (en) * 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US20050023691A1 (en) * 2003-07-31 2005-02-03 Kei Watanabe Semiconductor device and manufacturing method thereof
US20050064698A1 (en) * 2003-09-19 2005-03-24 Hui-Lin Chang Two step post-deposition treatment of ILD layer for a lower dielectric constant and improved mechanical properties
US20070098890A1 (en) * 2003-09-17 2007-05-03 Masaru Sasaki Fabrication of low dielectric constant insulating film

Family Cites Families (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2553314A (en) 1944-07-01 1951-05-15 Gen Electric Method of rendering materials water repellent
US4523214A (en) 1981-07-03 1985-06-11 Fuji Photo Film Co., Ltd. Solid state image pickup device utilizing microcrystalline and amorphous silicon
US4562091A (en) 1982-12-23 1985-12-31 International Business Machines Corporation Use of plasma polymerized orgaosilicon films in fabrication of lift-off masks
US4474975A (en) 1983-05-09 1984-10-02 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Process for producing tris (N-methylamino) methylsilane
US4702936A (en) 1984-09-20 1987-10-27 Applied Materials Japan, Inc. Gas-phase growth process
US4552783A (en) 1984-11-05 1985-11-12 General Electric Company Enhancing the selectivity of tungsten deposition on conductor and semiconductor surfaces
US4695859A (en) 1986-10-20 1987-09-22 Energy Conversion Devices, Inc. Thin film light emitting diode, photonic circuit employing said diode imager employing said circuits
US4764247A (en) 1987-03-18 1988-08-16 Syn Labs, Inc. Silicon containing resists
JPS63316476A (en) 1987-06-18 1988-12-23 Seiko Instr & Electronics Ltd Semiconductor device and manufacture thereof
US4755478A (en) 1987-08-13 1988-07-05 International Business Machines Corporation Method of forming metal-strapped polysilicon gate electrode for FET device
US4863755A (en) 1987-10-16 1989-09-05 The Regents Of The University Of California Plasma enhanced chemical vapor deposition of thin films of silicon nitride from cyclic organosilicon nitrogen precursors
US4870470A (en) 1987-10-16 1989-09-26 International Business Machines Corporation Non-volatile memory cell having Si rich silicon nitride charge trapping layer
US4940509A (en) 1988-03-25 1990-07-10 Texas Instruments, Incorporated Isotropic etchant for capped silicide processes
JPH02285638A (en) * 1989-04-27 1990-11-22 Toshiba Corp Semiconductor device
US5270267A (en) 1989-05-31 1993-12-14 Mitel Corporation Curing and passivation of spin on glasses by a plasma process wherein an external polarization field is applied to the substrate
US4910160A (en) 1989-06-06 1990-03-20 National Semiconductor Corporation High voltage complementary NPN/PNP process
US5061509A (en) 1989-08-25 1991-10-29 Kabushiki Kaisha Toshiba Method of manufacturing polyimide thin film and method of manufacturing liquid crystal orientation film of polyimide
US4971655A (en) * 1989-12-26 1990-11-20 Micron Technology, Inc. Protection of a refractory metal silicide during high-temperature processing using a dual-layer cap of silicon dioxide and silicon nitride
US5244537A (en) 1989-12-27 1993-09-14 Honeywell, Inc. Fabrication of an electronic microvalve apparatus
JP2814009B2 (en) 1990-06-05 1998-10-22 三菱電機株式会社 Method for manufacturing semiconductor device
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
JPH04199876A (en) 1990-11-29 1992-07-21 Nec Corp Solid state image sensing device and manufacture thereof
US5470772A (en) 1991-11-06 1995-11-28 Intel Corporation Silicidation method for contactless EPROM related devices
US5677111A (en) 1991-12-20 1997-10-14 Sony Corporation Process for production of micropattern utilizing antireflection film
US5472829A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern by using an anti-reflective layer
US5670297A (en) 1991-12-30 1997-09-23 Sony Corporation Process for the formation of a metal pattern
CA2085957A1 (en) * 1991-12-31 1993-07-01 Daniel John Heinz Carton sealing apparatus and method
EP0572704B1 (en) 1992-06-05 2000-04-19 Semiconductor Process Laboratory Co., Ltd. Method for manufacturing a semiconductor device including method of reforming an insulating film formed by low temperature CVD
TW363146B (en) 1992-08-20 1999-07-01 Sony Corp An anti-reflective layer and a method of forming a photoresist pattern
JPH0668019A (en) * 1992-08-21 1994-03-11 Fujitsu Ltd Dma controller
DE4231312C2 (en) * 1992-09-18 1996-10-02 Siemens Ag Anti-reflective layer and method for the lithographic structuring of a layer
JP2684942B2 (en) * 1992-11-30 1997-12-03 日本電気株式会社 Chemical vapor deposition method, chemical vapor deposition apparatus, and method for manufacturing multilayer wiring
US5429987A (en) 1993-01-25 1995-07-04 Sharp Microelectronics Technology, Inc. Method for profile control of selective metallization
US5312768A (en) 1993-03-09 1994-05-17 Micron Technology, Inc. Integrated process for fabricating raised, source/drain, short-channel transistors
JP3339157B2 (en) * 1993-05-31 2002-10-28 ソニー株式会社 Photosensitive composition and pattern forming method
US5378659A (en) * 1993-07-06 1995-01-03 Motorola Inc. Method and structure for forming an integrated circuit pattern on a semiconductor substrate
US5439835A (en) 1993-11-12 1995-08-08 Micron Semiconductor, Inc. Process for DRAM incorporating a high-energy, oblique P-type implant for both field isolation and punchthrough
US5677015A (en) 1994-03-17 1997-10-14 Sony Corporation High dielectric constant material containing tantalum, process for forming high dielectric constant film containing tantalum, and semiconductor device using the same
KR100366910B1 (en) 1994-04-05 2003-03-04 소니 가부시끼 가이샤 Manufacturing method of semiconductor device
US5461003A (en) 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
JP3963961B2 (en) 1994-08-31 2007-08-22 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US5554567A (en) 1994-09-01 1996-09-10 Taiwan Semiconductor Manufacturing Company Ltd. Method for improving adhesion to a spin-on-glass
US5439838A (en) 1994-09-14 1995-08-08 United Microelectronics Corporation Method of thinning for EEPROM tunneling oxide device
US5600153A (en) 1994-10-07 1997-02-04 Micron Technology, Inc. Conductive polysilicon lines and thin film transistors
JP3334370B2 (en) 1994-10-13 2002-10-15 ヤマハ株式会社 Semiconductor devices
US5498555A (en) * 1994-11-07 1996-03-12 United Microelectronics Corporation Method of making LDD with polysilicon and dielectric spacers
US5543741A (en) * 1994-12-30 1996-08-06 Mitel Corporation Reset circuit for generating reset pulse over an interval of reduced voltage supply
NO303649B1 (en) 1995-02-03 1998-08-10 Bj Services As The bridge plug
US5962581A (en) 1995-04-28 1999-10-05 Kabushiki Kaisha Toshiba Silicone polymer composition, method of forming a pattern and method of forming an insulating film
JPH0955351A (en) 1995-08-15 1997-02-25 Sony Corp Manufacture of semiconductor device
JP3061255B2 (en) 1995-08-18 2000-07-10 キヤノン販売株式会社 Film formation method
US5948482A (en) 1995-09-19 1999-09-07 University Of New Mexico Ambient pressure process for preparing aerogel thin films reliquified sols useful in preparing aerogel thin films
TW362118B (en) 1995-10-30 1999-06-21 Dow Corning Method for depositing amorphous SiNC coatings
US5926739A (en) 1995-12-04 1999-07-20 Micron Technology, Inc. Semiconductor processing method of promoting photoresist adhesion to an outer substrate layer predominately comprising silicon nitride
US5968324A (en) 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
EP0793271A3 (en) 1996-02-22 1998-12-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device having a metal silicide film and method of fabricating the same
US5838052A (en) * 1996-03-07 1998-11-17 Micron Technology, Inc. Reducing reflectivity on a semiconductor wafer by annealing titanium and aluminum
US6008121A (en) 1996-03-19 1999-12-28 Siemens Aktiengesellschaft Etching high aspect contact holes in solid state devices
US6005277A (en) 1996-07-15 1999-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. ARC layer enhancement for reducing metal loss during via etch
JPH1041506A (en) 1996-07-25 1998-02-13 Nec Corp Semiconductor device and its manufacture
US5691212A (en) 1996-09-27 1997-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. MOS device structure and integration method
KR100243266B1 (en) * 1996-10-24 2000-03-02 윤종용 (Ge, Si)Nx antireflective layer and fabricating method of semiconductor device pattern using the same
US5923999A (en) 1996-10-29 1999-07-13 International Business Machines Corporation Method of controlling dopant diffusion and metal contamination in thin polycide gate conductor of mosfet device
US5994730A (en) 1996-11-21 1999-11-30 Alliance Semiconductor Corporation DRAM cell having storage capacitor contact self-aligned to bit lines and word lines
US5994217A (en) * 1996-12-16 1999-11-30 Chartered Semiconductor Manufacturing Ltd. Post metallization stress relief annealing heat treatment for ARC TiN over aluminum layers
US5796151A (en) 1996-12-19 1998-08-18 Texas Instruments Incorporated Semiconductor stack having a dielectric sidewall for prevention of oxidation of tungsten in tungsten capped poly-silicon gate electrodes
US5840610A (en) 1997-01-16 1998-11-24 Advanced Micro Devices, Inc. Enhanced oxynitride gate dielectrics using NF3 gas
US5807660A (en) 1997-02-03 1998-09-15 Taiwan Semiconductor Manufacturing Company Ltd. Avoid photoresist lifting by post-oxide-dep plasma treatment
JPH10261574A (en) * 1997-03-19 1998-09-29 Fujitsu Ltd Manufacture of semiconductor device
US6284677B1 (en) * 1997-04-18 2001-09-04 United Semiconductor Corp. Method of forming fluorosilicate glass (FSG) layers with moisture-resistant capability
US5933721A (en) 1997-04-21 1999-08-03 Advanced Micro Devices, Inc. Method for fabricating differential threshold voltage transistor pair
JPH1116904A (en) 1997-06-26 1999-01-22 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
TW399234B (en) * 1997-07-02 2000-07-21 Yamaha Corp Wiring forming method
US5851603A (en) 1997-07-14 1998-12-22 Vanguard International Semiconductor Corporation Method for making a plasma-enhanced chemical vapor deposited SiO2 Si3 N4 multilayer passivation layer for semiconductor applications
US6133618A (en) * 1997-08-14 2000-10-17 Lucent Technologies Inc. Semiconductor device having an anti-reflective layer and a method of manufacture thereof
US6121133A (en) 1997-08-22 2000-09-19 Micron Technology, Inc. Isolation using an antireflective coating
US5968611A (en) 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
US6204168B1 (en) 1998-02-02 2001-03-20 Applied Materials, Inc. Damascene structure fabricated using a layer of silicon-based photoresist material
US6133613A (en) 1998-02-03 2000-10-17 Vanguard International Semiconductor Corporation Anti-reflection oxynitride film for tungsten-silicide substrates
US6118163A (en) 1998-02-04 2000-09-12 Advanced Micro Devices, Inc. Transistor with integrated poly/metal gate electrode
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6004850A (en) 1998-02-23 1999-12-21 Motorola Inc. Tantalum oxide anti-reflective coating (ARC) integrated with a metallic transistor gate electrode and method of formation
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
EP0942330A1 (en) 1998-03-11 1999-09-15 Applied Materials, Inc. Process for depositing and developing a plasma polymerized organosilicon photoresist film
US6136636A (en) 1998-03-25 2000-10-24 Texas Instruments - Acer Incorporated Method of manufacturing deep sub-micron CMOS transistors
US6001741A (en) 1998-04-15 1999-12-14 Lucent Technologies Inc. Method for making field effect devices and capacitors with improved thin film dielectrics and resulting devices
US6154874A (en) * 1998-04-17 2000-11-28 Lsi Logic Corporation Memory-saving method and apparatus for partitioning high fanout nets
US6140151A (en) 1998-05-22 2000-10-31 Micron Technology, Inc. Semiconductor wafer processing method
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US5960289A (en) 1998-06-22 1999-09-28 Motorola, Inc. Method for making a dual-thickness gate oxide layer using a nitride/oxide composite region
US6140677A (en) 1998-06-26 2000-10-31 Advanced Micro Devices, Inc. Semiconductor topography for a high speed MOSFET having an ultra narrow gate
US6001747A (en) 1998-07-22 1999-12-14 Vlsi Technology, Inc. Process to improve adhesion of cap layers in integrated circuits
US6159804A (en) 1998-09-02 2000-12-12 Advanced Micro Devices, Inc. Disposable sidewall oxidation fabrication method for making a transistor having an ultra short channel length
US6087064A (en) * 1998-09-03 2000-07-11 International Business Machines Corporation Silsesquioxane polymers, method of synthesis, photoresist composition, and multilayer lithographic method
US6323101B1 (en) 1998-09-03 2001-11-27 Micron Technology, Inc. Semiconductor processing methods, methods of forming silicon dioxide methods of forming trench isolation regions, and methods of forming interlevel dielectric layers
US6281100B1 (en) * 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6276347B1 (en) * 1998-09-25 2001-08-21 Micro Coating Technologies, Inc. Systems and methods for delivering atomized fluids
US6373114B1 (en) * 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
US5981368A (en) 1998-11-05 1999-11-09 Advanced Micro Devices Enhanced shallow junction design by polysilicon line width reduction using oxidation with integrated spacer formation
US6156674A (en) 1998-11-25 2000-12-05 Micron Technology, Inc. Semiconductor processing methods of forming insulative materials
US6444593B1 (en) * 1998-12-02 2002-09-03 Advanced Micro Devices, Inc. Surface treatment of low-K SiOF to prevent metal interaction
US6133096A (en) 1998-12-10 2000-10-17 Su; Hung-Der Process for simultaneously fabricating a stack gate flash memory cell and salicided periphereral devices
US6143670A (en) 1998-12-28 2000-11-07 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between low dielectric constant layer and silicon containing dielectric layer
US6156485A (en) 1999-01-19 2000-12-05 Taiwan Semiconductor Manufacturing Company Ltd. Film scheme to solve high aspect ratio metal etch masking layer selectivity and improve photo I-line PR resolution capability in quarter-micron technology
US6492688B1 (en) * 1999-03-02 2002-12-10 Siemens Aktiengesellschaft Dual work function CMOS device
US6200863B1 (en) 1999-03-24 2001-03-13 Advanced Micro Devices, Inc. Process for fabricating a semiconductor device having assymetric source-drain extension regions
US6503818B1 (en) * 1999-04-02 2003-01-07 Taiwan Semiconductor Manufacturing Company Delamination resistant multi-layer composite dielectric layer employing low dielectric constant dielectric material
US6432791B1 (en) 1999-04-14 2002-08-13 Texas Instruments Incorporated Integrated circuit capacitor and method
US6096656A (en) * 1999-06-24 2000-08-01 Sandia Corporation Formation of microchannels from low-temperature plasma-deposited silicon oxynitride
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6130168A (en) 1999-07-08 2000-10-10 Taiwan Semiconductor Manufacturing Company Using ONO as hard mask to reduce STI oxide loss on low voltage device in flash or EPROM process
US6221708B1 (en) * 1999-07-23 2001-04-24 Micron Technology, Inc. Field effect transistor assemblies, integrated circuitry, and methods of forming field effect transistors and integrated circuitry
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6153504A (en) * 1999-08-16 2000-11-28 Advanced Micro Devices, Inc. Method of using a silicon oxynitride ARC for final metal layer
EP1077479A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
EP1077477B1 (en) * 1999-08-17 2008-12-17 Applied Materials, Inc. Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
US6140249A (en) 1999-08-27 2000-10-31 Micron Technology, Inc. Low dielectric constant dielectric films and process for making the same
JP2001160558A (en) * 1999-12-02 2001-06-12 Nec Corp Method and apparatus for manufacturing semiconductor device
US6518122B1 (en) * 1999-12-17 2003-02-11 Chartered Semiconductor Manufacturing Ltd. Low voltage programmable and erasable flash EEPROM
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
GB0001179D0 (en) * 2000-01-19 2000-03-08 Trikon Holdings Ltd Methods & apparatus for forming a film on a substrate
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US20020033486A1 (en) 2000-08-04 2002-03-21 Samsung Electronics Co., Ltd. Method for forming an interconnection line using a hydrosilsesquioxane (HSQ) layer as an interlayer insulating layer
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
JP2002110679A (en) * 2000-09-29 2002-04-12 Hitachi Ltd Method for manufacturing semiconductor integrated circuit device
TW462085B (en) * 2000-10-26 2001-11-01 United Microelectronics Corp Planarization of organic silicon low dielectric constant material by chemical mechanical polishing
US6436808B1 (en) * 2000-12-07 2002-08-20 Advanced Micro Devices, Inc. NH3/N2-plasma treatment to prevent organic ILD degradation
US6720247B2 (en) * 2000-12-14 2004-04-13 Texas Instruments Incorporated Pre-pattern surface modification for low-k dielectrics using A H2 plasma
US6583047B2 (en) 2000-12-26 2003-06-24 Honeywell International, Inc. Method for eliminating reaction between photoresist and OSG
TW471112B (en) 2001-01-11 2002-01-01 Taiwan Semiconductor Mfg Method for forming silicon carbon nitride layer on low-k material
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
TW471134B (en) * 2001-02-27 2002-01-01 United Microelectronics Corp Manufacturing method for multilevel interconnects
US6486057B1 (en) * 2001-04-12 2002-11-26 National Science Council Process for preparing Cu damascene interconnection
US6498084B2 (en) * 2001-05-14 2002-12-24 Maxim Integrated Products, Inc. Method of forming high density EEPROM cell
US6930056B1 (en) * 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US6979654B2 (en) * 2001-07-03 2005-12-27 United Microelectronics Corp. Method of avoiding dielectric layer deterioation with a low dielectric constant during a stripping process
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
JP4063619B2 (en) 2002-03-13 2008-03-19 Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP4177993B2 (en) 2002-04-18 2008-11-05 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
JP3775354B2 (en) 2002-06-20 2006-05-17 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
US20040071878A1 (en) * 2002-08-15 2004-04-15 Interuniversitair Microelektronica Centrum (Imec Vzw) Surface preparation using plasma for ALD Films
US6632712B1 (en) 2002-10-03 2003-10-14 Chartered Semiconductor Manufacturing Ltd. Method of fabricating variable length vertical transistors
JP2004273483A (en) 2003-03-05 2004-09-30 Sanyo Electric Co Ltd Method of forming wiring structure
US6790778B1 (en) 2003-09-10 2004-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for capping over a copper layer
US7329593B2 (en) * 2004-02-27 2008-02-12 Asm America, Inc. Germanium deposition

Patent Citations (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US561212A (en) * 1896-06-02 epstein
US4158717A (en) * 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4444617A (en) * 1983-01-06 1984-04-24 Rockwell International Corporation Reactive ion etching of molybdenum silicide and N+ polysilicon
US4600671A (en) * 1983-09-12 1986-07-15 Canon Kabushiki Kaisha Photoconductive member having light receiving layer of A-(Si-Ge) and N
US4592129A (en) * 1985-04-01 1986-06-03 Motorola, Inc. Method of making an integral, multiple layer antireflection coating by hydrogen ion implantation
US5753320A (en) * 1985-09-26 1998-05-19 Canon Kabushiki Kaisha Process for forming deposited film
US4648904A (en) * 1986-02-14 1987-03-10 Scm Corporation Aqueous systems containing silanes for rendering masonry surfaces water repellant
US4648904B1 (en) * 1986-02-14 1988-12-06
US6087267A (en) * 1986-03-04 2000-07-11 Motorola, Inc. Process for forming an integrated circuit
US4907064A (en) * 1986-09-08 1990-03-06 Nec Corporation Semiconductor device having silicon oxynitride film with improved moisture resistance
US4833096A (en) * 1988-01-19 1989-05-23 Atmel Corporation EEPROM fabrication process
US4805683A (en) * 1988-03-04 1989-02-21 International Business Machines Corporation Method for producing a plurality of layers of metallurgy
US4992306A (en) * 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
US5140390A (en) * 1990-02-16 1992-08-18 Hughes Aircraft Company High speed silicon-on-insulator device
US5219613A (en) * 1990-06-13 1993-06-15 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for producing storage-stable surfaces of polished silicon wafers
US5234869A (en) * 1990-06-28 1993-08-10 Kabushiki Kaisha Toshiba Method of manufacturing silicon nitride film
US5034348A (en) * 1990-08-16 1991-07-23 International Business Machines Corp. Process for forming refractory metal silicide layers of different thicknesses in an integrated circuit
US5314724A (en) * 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
US5302366A (en) * 1991-03-28 1994-04-12 Phillips Petroleum Company Production of silicon product containing both carbon and nitrogen
US5541445A (en) * 1991-08-14 1996-07-30 Mitel Corporation High performance passivation for semiconductor devices
US5652187A (en) * 1991-10-30 1997-07-29 Samsung Electronics Co., Ltd. Method for fabricating doped interlayer-dielectric film of semiconductor device using a plasma treatment
US5405489A (en) * 1991-10-30 1995-04-11 Samsung Electronics Co., Ltd. Method for fabricating an interlayer-dielectric film of a semiconductor device by using a plasma treatment prior to reflow
US5276347A (en) * 1991-12-18 1994-01-04 Sgs-Thomson Microelectronics, Inc. Gate overlapping LDD structure
US5641607A (en) * 1991-12-30 1997-06-24 Sony Corporation Anti-reflective layer used to form a semiconductor device
US5648202A (en) * 1991-12-30 1997-07-15 Sony Corporation Method of forming a photoresist pattern using an anti-reflective
US5285017A (en) * 1991-12-31 1994-02-08 Intel Corporation Embedded ground plane and shielding structures using sidewall insulators in high frequency circuits having vias
US5543654A (en) * 1992-01-28 1996-08-06 Thunderbird Technologies, Inc. Contoured-tub fermi-threshold field effect transistor and method of forming same
US5340621A (en) * 1992-03-30 1994-08-23 Nippon Sheet Glass Co., Ltd. Plasma CVD method
US5874367A (en) * 1992-07-04 1999-02-23 Trikon Technologies Limited Method of treating a semi-conductor wafer
US5286661A (en) * 1992-08-26 1994-02-15 Motorola, Inc. Method of forming a bipolar transistor having an emitter overhang
US5441797A (en) * 1993-04-27 1995-08-15 International Business Machines Corporation Antireflective polyimide dielectric for photolithography
US5759755A (en) * 1993-08-08 1998-06-02 Samsung Electronics, Co., Ltd. Semiconductor substrate containing anti-reflective layer
US5656337A (en) * 1993-08-31 1997-08-12 Samsung Electronics Co., Ltd. Method of forming a dielectric layer
US5591494A (en) * 1993-09-24 1997-01-07 Applied Materials, Inc. Deposition of silicon nitrides by plasma-enhanced chemical vapor deposition
US5731242A (en) * 1993-10-15 1998-03-24 Intel Corporation Self-aligned contact process in semiconductor fabrication
US5741721A (en) * 1994-02-01 1998-04-21 Quality Microcircuits Corporation Method of forming capacitors and interconnect lines
US5656330A (en) * 1994-03-22 1997-08-12 Futaba Denshi Kogyo K.K. Resistive element having a resistivity which is thermally stable against heat treatment, and method and apparatus for producing same
US5872385A (en) * 1994-05-02 1999-02-16 Motorola Inc. Conductive interconnect structure and method of formation
US5858880A (en) * 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
US5789819A (en) * 1994-05-20 1998-08-04 Texas Instruments Incorporated Low dielectric constant material for electronics applications
US6017779A (en) * 1994-06-15 2000-01-25 Seiko Epson Corporation Fabrication method for a thin film semiconductor device, the thin film semiconductor device itself, liquid crystal display, and electronic device
US5536857A (en) * 1994-07-05 1996-07-16 Ford Motor Company Single source volatile precursor for SiO2.TiO2 powders and films
US5600165A (en) * 1994-07-27 1997-02-04 Sony Corporation Semiconductor device with antireflection film
US5413963A (en) * 1994-08-12 1995-05-09 United Microelectronics Corporation Method for depositing an insulating interlayer in a semiconductor metallurgy system
US5482894A (en) * 1994-08-23 1996-01-09 Texas Instruments Incorporated Method of fabricating a self-aligned contact using organic dielectric materials
US6022404A (en) * 1995-01-12 2000-02-08 Degussa Aktiengesellschaft Surface-modified, pyrogenically produced mixed oxides, method of their production and use
US5709741A (en) * 1995-02-28 1998-01-20 Dow Corning Toray Silicone Co., Ltd. Water repellent for application to glass and water-repellent glass
US5786039A (en) * 1995-05-15 1998-07-28 France Telecom Process for electrical insulation in microelectronics, applicable in narrow cavities, by deposition of oxide in the viscous state and corresponding device
US5710067A (en) * 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
US6040619A (en) * 1995-06-07 2000-03-21 Advanced Micro Devices Semiconductor device including antireflective etch stop layer
US5750442A (en) * 1995-09-25 1998-05-12 Micron Technology, Inc. Germanium as an antireflective coating and method of use
US5744399A (en) * 1995-11-13 1998-04-28 Lsi Logic Corporation Process for forming low dielectric constant layers using fullerenes
US5759746A (en) * 1996-05-24 1998-06-02 Kabushiki Kaisha Toshiba Fabrication process using a thin resist
US6209484B1 (en) * 1996-06-28 2001-04-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
US5872035A (en) * 1996-06-29 1999-02-16 Hyundai Electronics Industries Co., Ltd. Method of forming a floating gate in a flash memory device
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5711987A (en) * 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5783493A (en) * 1997-01-27 1998-07-21 Taiwan Semiconductor Manufacturing Company Ltd. Method for reducing precipitate defects using a plasma treatment post BPSG etchback
US5792689A (en) * 1997-04-11 1998-08-11 Vanguard International Semiconducter Corporation Method for manufacturing double-crown capacitors self-aligned to node contacts on dynamic random access memory
US6083852A (en) * 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
US5883014A (en) * 1997-06-03 1999-03-16 United Microelectronics Corp. Method for treating via sidewalls with hydrogen plasma
US5883011A (en) * 1997-06-18 1999-03-16 Vlsi Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
US6225217B1 (en) * 1997-06-27 2001-05-01 Nec Corporation Method of manufacturing semiconductor device having multilayer wiring
US6071799A (en) * 1997-06-30 2000-06-06 Hyundai Electronics Industries Co., Ltd. Method of forming a contact of a semiconductor device
US6238976B1 (en) * 1997-07-08 2001-05-29 Micron Technology, Inc. Method for forming high density flash memory
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6184151B1 (en) * 1997-08-21 2001-02-06 International Business Machines Corporation Method for forming cornered images on a substrate and photomask formed thereby
US6060766A (en) * 1997-08-25 2000-05-09 Advanced Micro Devices, Inc. Protection of hydrogen sensitive regions in semiconductor devices from the positive charge associated with plasma deposited barriers or layers
US6057587A (en) * 1997-08-28 2000-05-02 Vlsi Technology, Inc. Semiconductor device with anti-reflective structure
US6187694B1 (en) * 1997-11-10 2001-02-13 Intel Corporation Method of fabricating a feature in an integrated circuit using two edge definition layers and a spacer
US6080529A (en) * 1997-12-12 2000-06-27 Applied Materials, Inc. Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6218292B1 (en) * 1997-12-18 2001-04-17 Advanced Micro Devices, Inc. Dual layer bottom anti-reflective coating
US6060765A (en) * 1998-01-05 2000-05-09 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and a method of manufacturing the same
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6072227A (en) * 1998-02-11 2000-06-06 Applied Materials, Inc. Low power method of depositing a low k dielectric with organo silane
US6208004B1 (en) * 1998-08-19 2001-03-27 Philips Semiconductor, Inc. Semiconductor device with high-temperature-stable gate electrode for sub-micron applications and fabrication thereof
US6716725B1 (en) * 1998-09-02 2004-04-06 Tokyo Electron Limited Plasma processing method and semiconductor device
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6383951B1 (en) * 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6727173B2 (en) * 1998-09-03 2004-04-27 Micron Technology, Inc. Semiconductor processing methods of forming an utilizing antireflective material layers, and methods of forming transistor gate stacks
US6235568B1 (en) * 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6037228A (en) * 1999-02-12 2000-03-14 United Microelectronics Corp. Method of fabricating self-aligned contact window which includes forming a undoped polysilicon spacer that extends into a recess of the gate structure
US20020055275A1 (en) * 1999-02-26 2002-05-09 Macneil John Dielectric layer for a semiconductor device and method of producing the same
US20040053459A1 (en) * 1999-02-26 2004-03-18 Macneil John Dielectric layer for a semiconductor device and method of producing the same
US6187657B1 (en) * 1999-03-24 2001-02-13 Advanced Micro Devices, Inc. Dual material gate MOSFET technique
US6028015A (en) * 1999-03-29 2000-02-22 Lsi Logic Corporation Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
US6198144B1 (en) * 1999-08-18 2001-03-06 Micron Technology, Inc. Passivation of sidewalls of a word line stack
US20020098684A1 (en) * 1999-09-01 2002-07-25 Weimin Li Low k interlevel dielectric layer fabrication methods
US6589890B2 (en) * 1999-09-02 2003-07-08 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US6235591B1 (en) * 1999-10-25 2001-05-22 Chartered Semiconductor Manufacturing Company Method to form gate oxides of different thicknesses on a silicon substrate
US6403464B1 (en) * 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US20020016085A1 (en) * 2000-07-14 2002-02-07 Kegang Huang Method and apparatus for treating low k dielectric layers to reduce diffusion
US6528432B1 (en) * 2000-12-05 2003-03-04 Advanced Micro Devices, Inc. H2-or H2/N2-plasma treatment to prevent organic ILD degradation
US6348407B1 (en) * 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
US20040126671A1 (en) * 2002-12-31 2004-07-01 Steven Smith Structure and process for a pellicle membrane for 157 nanometer lithography
US20050023691A1 (en) * 2003-07-31 2005-02-03 Kei Watanabe Semiconductor device and manufacturing method thereof
US6849561B1 (en) * 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US20070098890A1 (en) * 2003-09-17 2007-05-03 Masaru Sasaki Fabrication of low dielectric constant insulating film
US20050064698A1 (en) * 2003-09-19 2005-03-24 Hui-Lin Chang Two step post-deposition treatment of ILD layer for a lower dielectric constant and improved mechanical properties

Also Published As

Publication number Publication date
US20030054294A1 (en) 2003-03-20
US6878507B2 (en) 2005-04-12
US20060038262A1 (en) 2006-02-23
US20070238207A1 (en) 2007-10-11
US7825443B2 (en) 2010-11-02
US20010033997A1 (en) 2001-10-25
US20060220186A1 (en) 2006-10-05
US6274292B1 (en) 2001-08-14
US7626238B2 (en) 2009-12-01

Similar Documents

Publication Publication Date Title
US7626238B2 (en) Semiconductor devices having antireflective material
US6218292B1 (en) Dual layer bottom anti-reflective coating
TW432530B (en) A semiconductor device having an anti-reflective layer and a method of manufacture thereof
US6727173B2 (en) Semiconductor processing methods of forming an utilizing antireflective material layers, and methods of forming transistor gate stacks
KR950007478B1 (en) Anti reflective method in metal mask step
US20060110685A1 (en) Apparatus and method to improve resist line roughness in semiconductor wafer processing
US7576400B1 (en) Circuitry and gate stacks
WO1991006041A1 (en) Surface barrier silylation microlithography
JPH0455323B2 (en)
EP1016930A1 (en) Bottom antireflective layer operating in destructive interference and absorption modes
US6177235B1 (en) Antireflection treatment of reflective surfaces
US7804115B2 (en) Semiconductor constructions having antireflective portions
JP3414107B2 (en) Method for manufacturing semiconductor device
US6194321B1 (en) Semiconductor processing methods utilizing boron and nitrogen, and semiconductor wafers comprising boron and nitrogen
JP3542334B2 (en) Method for manufacturing semiconductor device
JPH05217884A (en) Pattern forming method
JP3468226B2 (en) Method for manufacturing semiconductor device
JP3498066B2 (en) Method for manufacturing semiconductor device
JPH0547658A (en) Preventing method for halation of photolithography
JPH05234843A (en) Substrate chuck of aligner
JPS63110725A (en) Manufacture of semiconductor device
JP3442874B2 (en) Method of forming fine pattern
KR20040081678A (en) Method for fabricating patterns by photolithography
JPH0786149A (en) Formation of mask for x-ray exposure
JPH0555135A (en) Formation of fine pattern

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION