US20050082678A1 - Method to form selective cap layers on metal features with narrow spaces - Google Patents

Method to form selective cap layers on metal features with narrow spaces Download PDF

Info

Publication number
US20050082678A1
US20050082678A1 US10/973,207 US97320704A US2005082678A1 US 20050082678 A1 US20050082678 A1 US 20050082678A1 US 97320704 A US97320704 A US 97320704A US 2005082678 A1 US2005082678 A1 US 2005082678A1
Authority
US
United States
Prior art keywords
layer
dielectric layer
conductive
dielectric
trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/973,207
Inventor
Hans-Joachim Barth
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/973,207 priority Critical patent/US20050082678A1/en
Publication of US20050082678A1 publication Critical patent/US20050082678A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • Embodiments of the present invention relate to integrated circuit devices using copper for interconnecting discrete circuit components as part of the processing of semiconductor devices, and more particularly, to modifications in semiconductor device processing resulting in a reduction of electrical shorts between metal lines and vias with high aspect ratios and narrow spaces.
  • HSQ hydrogen silsesquioxane
  • SiLKTM which is a trademark of the Dow Chemical Company, having a k of 2.65.
  • these low-k insulators are usually mechanically weak and some are porous and therefore, do not provide good structural support for integration. Further, absorbed moisture and other chemicals in the porous insulator can cause corrosion of the metal lines.
  • Low-k materials such as, Black DiamondTM, a trademark of Applied Materials, CoralTM, a trademark of Novellus, SiCOH and other similar materials are used in the semiconductor industry but are deposited by CVD, which distinguishes them from the spin-on dielectrics.
  • Copper is the preferred metal that is used on chip multilevel interconnections (both wiring and plugs) to replace aluminum, which has a higher bulk electrical resistivity and a low resistance to electromigration. Copper can be deposited by either electrolytic or electroless deposition and also by Chemical Vapor Deposition (CVD) and Physical Vapor Deposition (PVD), as examples.
  • CVD Chemical Vapor Deposition
  • PVD Physical Vapor Deposition
  • copper has relatively poor resistance to corrosion. Unlike other metal oxidation (such as aluminum oxidation), copper is readily oxidized to form Cu2O and CuO at relatively low temperatures, e.g., below 200 degrees C., and no self-protective oxide layer forms to prevent the copper from further oxidation. Oxidized copper degrades the electrical and mechanical properties of the copper interconnect. Accordingly, a protection, or encapsulation, e.g., diffusion barrier, layer of high corrosion resistance material is necessary to cover exposed copper surfaces.
  • a protection, or encapsulation e.g., diffusion barrier
  • a variety of materials are known for forming diffusion barriers on copper. Such materials include Ta, W, Mo, TiW, TiN, TaN, WN, TiSiN and TaSiN, as examples, which can be deposited by CVD or PVD. More recently, electrolessly deposited CoWP has been used as a barrier material to encapsulate a conductor material. Furthermore, the W in the CoWP significantly enhances the barrier properties.
  • an extremely thin layer is insufficient as a copper diffusion barrier and therefore, an additional cap layer of, for example, SiN (Si3N4), SiC, SiCN or BlokTM (a barrier low-k insulator material developed by Applied Materials, Inc.) is required.
  • SiN Si3N4
  • SiC SiC
  • SiCN BlokTM
  • the low-k dielectrics described herein may be either organic (e.g., SiLK) or inorganic (e.g., HSQ) and therefore, the term “low-k dielectrics” will be used to refer to both organic and inorganic low-k insulators herein. These ‘low k-dielectrics’ may be of a porous or non-porous nature. This term does not include materials, such as SiO2 or Si3N4, which have k values of about 4 and 8, respectively. However, embodiments of the present invention are not restricted to low k dielectric insulating layer; the insulating layers described herein may comprise conventional dielectric materials such as SiO2 or FSG, as examples, as well.
  • a method of forming conductive lines of a semiconductor device comprises depositing a first insulating layer over a substrate, patterning the first insulating layer with a pattern for at least one conductive line, and filling the first insulating layer pattern with a first conductive material. Excess first conductive material is removed from a top surface of the first insulating layer, the first conductive material is recessed below the top surface of the first insulating layer, and a barrier layer is selectively formed over the recessed first conductive material.
  • a method of forming conductors over a semiconductor body having a top surface in which electrical contact areas are formed includes forming a first inorganic insulating layer having a relatively high k over the top surface, forming vias completely through the first inorganic insulating layer which are in contact with the contact areas of the semiconductor device, and filling the vias through the first inorganic insulating layer with conductive material to form conductive plugs and make contact with the contact areas.
  • the method includes forming a first dielectric insulating layer having a relatively low-k over the first inorganic insulating layer, forming trenches in the first dielectric layer from a top surface thereof, lining the vias and trenches in the first dielectric insulating layer with a conductive barrier liner layer, and filling the vias and trenches in the first dielectric insulating layer with copper to at least a level of a top surface of the first dielectric insulating layer.
  • a portion of the copper fill in the vias and trenches is removed to recess the copper in the vias and trenches from the top surface of the first dielectric insulating layer, and a conductive barrier layer is formed on a top surface of the copper in the vias and trenches, the conductive barrier layer having a top surface that is essentially planar with the top surface of the first low-k dielectric layer.
  • a second dielectric insulating layer is formed, having a relatively low-k and being of the same type as the first dielectric insulating layer over the first dielectric insulating layer.
  • Vias and trenches are formed in the second dielectric insulating layer, and these are lined with a conductive barrier liner layer, after which copper filling, copper recessing are performed, and then a barrier layer is formed over the recessed copper in essentially the same manner as was done with respect to the first dielectric insulating layer.
  • a second inorganic layer is formed having a relatively high-k over a top surface of the last of the additional plurality of the dielectric insulating layers.
  • a semiconductor device in accordance with yet another preferred embodiment of the present invention, includes a first insulating layer formed over a substrate, the first insulating layer being patterned with a pattern for at least one conductive line, and a first conductive material disposed within the patterned first insulating layer, the first conductive material being recessed below a top surface of the first insulating layer.
  • the device includes an activation layer disposed over the recessed first conductive material, and a barrier layer disposed over the activation layer, wherein the activation layer and barrier layer do not extend beyond the top surface of the first insulating layer.
  • Embodiments of the present invention provide technical advantages by providing improved circuit performance, which is the result of a reduction in capacitive coupling, thermo-mechanical stress and thermal budget.
  • the thermal budget reduction is the result of a decrease in the number of processing steps, which leads to lower processing costs.
  • the reduction in capacitive coupling is the result of an elimination of the need for an additional dielectric cap layers (i.e. dielectric diffusion barriers) over the CoWP layer, such as SiN (Si3N4), SiC, SiCN or BlokTM, as examples.
  • the elimination of these additional dielectric cap layers also reduces the effective k-value of the dielectric stack in the interconnect architecture.
  • FIG. 1 illustrates a cross-section of an integrated circuit fabricated using both single and dual Damascene processes in accordance with an embodiment of the present invention
  • FIGS. 2-8 illustrate cross-sections of integrated circuits in which processing methods are used in accordance with embodiments of the present invention.
  • the present invention will be described with respect to preferred embodiments in a specific context, namely semiconductor devices having one or more metallization layers in which conductive lines are formed to form interconnects. Processes are described herein which bear on the elimination of an inorganic cap layer by recessing the surface of the copper conductors and vias to provide for a thicker, conducting diffusion barrier while still maintaining the overall thickness requirements for the metal/insulator stack.
  • the low-k dielectrics described herein may be either organic, such as SiLKTM, as an example, or inorganic, such as HSQ, and therefore, the term “low-k dielectrics” will be used to refer to both organic and inorganic low-k insulators.
  • the low k-dielectrics may be of porous or non-porous nature.
  • this term does not include materials such as SiO 2 or Si 3 N 4 , which have k values of about 4 and about 8, respectively.
  • embodiments of the present invention are not restricted to low k dielectrics; they may be also implemented in structures having more traditional dielectrics like SiO 2 or FSG, as examples.
  • FIG. 1 shows a cross-sectional view of a semiconductor device 10 structure which comprises a plurality of logic circuitry of a microprocessor or ASIC, or, alternatively, as an example, memory cells of a dynamic random access memory (DRAM) represented by a drain region 14 formed in a semiconductor substrate 12 in accordance with a preferred embodiment of the present invention.
  • DRAM dynamic random access memory
  • a first insulating (Pre-Metal Dielectric, PMD) layer 18 is deposited onto a silicon substrate surface 16 of a semiconductor substrate 12 and is patterned, lithographically, to form contact openings (not shown) which are overfilled with a first conducting layer 20 , typically tungsten (W), which becomes the contact metallurgy to the semiconductor drain region 14 .
  • the surface is planarized using a chemical-mechanical polishing (CMP) process, for example, to result in an essentially planar surface 22 .
  • CMP chemical-mechanical polishing
  • a low-k dielectric insulating layer (Inter-Metal Dielectric, IMD) 24 comprising a material having a low dielectric constant, for example, typically about 3.7 or less, is deposited over the first inorganic insulating layer 18 .
  • a single damascene process is used to form openings (not shown) in insulating layer 24 and the openings (trenches) are lined with a second conductor material 26 , typically, of tantalum nitride (TaN) or titanium nitride (TiN), as examples.
  • the liner 26 may comprise Ta, W, Mo, TiW, TiN, TaN, WN, TiSiN, TaSiN, or a combination thereof, for example.
  • the lined openings are filled with a third conductor material 28 A, typically Cu, to form conductors and to make contact to the first conductor layer 20 .
  • the surface is then planarized, using CMP, for example, to form a planar surface 30 .
  • the exposed copper layer 28 A is etched to result in a recessed top surface 28 B.
  • a catalytic activation layer 34 typically comprising palladium (Pd), for example, is deposited over the copper top surface 28 B to provide a catalytically activated copper surface.
  • a barrier layer 36 typically comprising cobalt tungsten phosphide (CoWP) and alternatively comprising CoWB, CoP, NiMoP, Re or Ru, as examples, is deposited selectively and preferably electrolessly, onto a surface 32 of the Pd layer to form a surface 38 which is essentially co-planar with the surface 30 of the low-k dielectric layer 24 .
  • CoWP cobalt tungsten phosphide
  • a low-k dielectric insulating layer 40 comprising a material having a low dielectric constant, typically k equals about 3.7 or less, is deposited over the resulting structure.
  • Layer 40 is etched to form a dual damascene structure with both trench and via hole openings (not shown) having surfaces 40 B, which are then covered with a liner layer 44 , typically comprising TaN, TiN, WN or other similar materials.
  • the liner 44 may comprise Ta, W, Mo, TiW, TiN, TaN, WN, TiSiN, TaSiN, or a combination thereof, for example.
  • the trench and hole openings are then overfilled with copper 46 , and the surface is planarized, for example, using CMP.
  • the planarized copper 46 is then etched to form a recessed top surface 50 A.
  • a catalytic activation layer 48 typically comprising palladium (Pd), for example, is deposited over the copper surface 50 A.
  • the Pd activation layer 48 is then covered, preferably selectively and electrolessly, with a conductive barrier layer 52 , typically comprising CoWP and alternatively comprising CoWB, CoP, NiMoP, Re or Ru, as examples.
  • An optional touch-up CMP process can be performed after the CoWP deposition to remove any CoWP overgrowth and CoWP islands (spots) on the top surface of the dielectric layer 40 .
  • a surface 52 A of the barrier layer 52 is then essentially co-planar with the low-k dielectric insulator layer 40 surface 46 A.
  • a low-k dielectric insulating material having a dielectric constant of about 3.7 or less is then deposited to form an insulating layer 54 into which a via opening (not shown) is etched.
  • the via opening is lined with a conductor material 56 , typically comprising TaN or TiN, as examples.
  • the liner 56 may comprise Ta, W, Mo, TiW, TiN, TaN, WN, TiSiN, TaSiN, or a combination thereof, for example.
  • the via opening is then overfilled with layer 58 , typically comprising copper, and the surface of the insulating layer 54 is planarized using CMP, for example.
  • the exposed copper 58 is etched to form a recessed top surface 62 .
  • a catalytic activation layer 66 typically comprising Pd, for example, is deposited onto the top surface 62 layer and has a top surface 66 A.
  • a conductive barrier layer 68 is then deposited, selectively and electrolessly, onto the surface 66 A forming a top surface 68 A which is essentially co-planar with surface 60 of insulating layer 54 .
  • a second layer 64 typically comprising SiO 2
  • a third inorganic layer 70 typically comprising Si 3 N 4 , is deposited onto the surface 64 A.
  • Conducting vias are formed through layers 64 and 70 and in other layers as required to facilitate electrical contact with terminals (not shown) on a package in which the semiconductor structure 10 is housed.
  • FIG. 2 shows a cross-sectional view of a semiconductor device 10 structure at an early stage of fabrication with an element region 14 which may comprise a drain region, for example, is formed in a semiconductor substrate 12 .
  • a first inorganic insulating layer 18 typically comprising silicon oxide (SiO 2 ) and alternatively comprising B-type doped oxide, such as boron phosphosilicate glass (BPSG) or boron silicate glass (BSG), P-doped oxide, such as BPSG or phosphosilicate glass (PSG), or fluorine-doped oxide (FSG), as examples, and typically comprising a thickness of 200 nm-1000 nm, is deposited onto a silicon surface 16 of semiconductor substrate 12 .
  • the first inorganic insulating layer 18 is lithographically patterned to form contact openings, exposing a top surface of the underlying element region 14 .
  • the contact openings are filled with a first conducting layer 20 , typically comprising tungsten (W), for example, and alternatively comprising other conductive material.
  • the first conducting layer 20 becomes the contact metallurgy to the element region 14 .
  • the surface of the first inorganic insulating layer 18 is planarized, for example, using chemical-mechanical polishing (CMP) and alternatively comprising a reactive ion etch (RIE) to form a top surface 22 .
  • CMP chemical-mechanical polishing
  • RIE reactive ion etch
  • FIG. 3 shows a cross-sectional view of the semiconductor structure 10 shown in FIG. 2 , in which an insulating layer 24 , preferably comprising a low-k dielectric material having a dielectric constant of about 3.7 or less.
  • the low-k insulating layer 24 may be deposited or spun onto the surface of the semiconductor substrate 12 , e.g., over the top surface 22 of the first insulating layer 18 .
  • a single damascene process is then used to form openings in layer 24 , exposing the first conductive layer 20 and portions of the first insulating layer 18 .
  • the openings are lined with a second conducting layer 26 comprising a barrier layer to the diffusion of copper.
  • the second conducting layer 26 typically comprises tantalum nitride (TaN) or titanium nitride (TiN).
  • the liner 26 may comprise Ta, W, Mo, TiW, TiN, TaN, WN, TiSiN, TaSiN, or a combination thereof, for example.
  • the opening (e.g., trench) lined with layer 26 is overfilled with a third conducting layer 28 , typically comprising copper or other conductive materials, for example, to form conductors and to make contact to the first conductor layer 20 .
  • the resulting surface may then be planarized, using CMP or RIE, as examples, to form a planar surface 30 .
  • the third conducting layer 28 is etched to recess the third conducting layer 28 below the top surface 30 of the insulating layer 24 , as shown in FIG. 4 .
  • the recessed layer 28 A is preferably recessed below the insulating layer 24 top surface 30 by approximately 10-20 nm and has a top surface 28 B.
  • the liner layer 26 will be left intact if a wet chemical etch, comprising ammonium persulfate, for example, is used to recess the third conducting layer 28 A, because such an etch has good selectivity for copper, whereas, the liner layer 26 will be partially or completely removed if a reactive ion etch (RIE) is used.
  • RIE reactive ion etch
  • the top surface 28 B of the recessed third conducting layer 28 A is then covered with a catalytic activation layer 34 , as shown in FIG. 5 .
  • the catalytic activation layer 34 preferably comprises of palladium (Pd) and may alternatively comprise other materials, for example.
  • the catalytic activation layer 34 is preferably, approximately one to three atom layers thick, which is useful to activate the Cu surface.
  • a conductive barrier layer 36 typically comprising cobalt-tungsten-phosphide (CoWP), and alternatively comprising CoWB, CoP, NiMoP, Re or Ru, as examples, is then deposited onto the top surface of the palladium layer 34 by selective, electroless deposition.
  • CoWP surface 36 A is essentially co-planar with the surface 30 of insulator layer 24 after the selective deposition of the conductive barrier layer 36 .
  • this excess material 36 or 34 may be removed a CMP or RIE process, as examples (not shown).
  • insulating layers 40 and 54 may be deposited over the top surface 30 and 36 A, as shown in FIGS. 6 through 8 , to be described herein.
  • Each conductive layer that is formed is recessed below a top surface of the insulating layer the conductive lines are formed in, and a conductive barrier layer is selectively formed over the conductive lines, leaving a top surface of the conductive lines that is co-planar with the insulating layer the conductive lines are formed in.
  • FIG. 6 shows a cross-sectional view of the semiconductor structure 10 as shown in FIG. 5 , in which a layer 40 , (a low-k dielectric material with a dielectric constant, typically about 3.7 or less), is deposited onto the essentially planar surfaces 30 and 36 A.
  • Layer 40 is etched to form a dual damascene structure resulting in both a via and trench opening, thereby creating new surfaces 42 on modified layer 40 .
  • the surfaces 42 are covered, conformally, with a liner layer 44 , typically comprising TaN or TiN.
  • the liner 44 may comprise Ta, W, Mo, TiW, TiN, TaN, WN, TiSiN, TaSiN, or a combination thereof, for example.
  • the via and trench openings are then overfilled with a conductive layer 46 which may comprise copper, for example, and the surface of insulating layer 40 is planarized using CMP or RIE, as examples, to form a top surface 46 A.
  • FIG. 7 shows a cross-sectional view of the semi-conductor structure 10 shown in FIG. 6 , in which the Cu layer is 46 is etched, typically with a wet etch of ammonium persulfate, to form a recessed surface 50 A in the Cu layer 46 .
  • An activation layer 48 typically comprising Pd, one to three atom layers thick, is then deposited to cover the recessed Cu surface 50 A.
  • a layer 52 typically comprising cobalt tungsten phosphide (CoWP), and alternatively comprising CoWB, CoP, NiMoP, Re or Ru, as examples, is selectively and electrolessly deposited onto activation layer 48 to form a new surface 52 A.
  • CoWP cobalt tungsten phosphide
  • CoWP layer 52 makes the surface 52 A essentially co-planar with the surface 46 A of layer 40 . If needed, an optional additional “touch-up” CMP process may be performed on the semiconductor device 10 to remove any excess barrier layer 52 and/or activation layer 48 from the top surface 46 A of the device 10 .
  • FIG. 8 shows a cross-sectional view of the semiconductor structure 10 of FIG. 7 , in which the surface 46 A is covered with an insulating layer 54 which preferably comprises a low-k dielectric materials having a dielectric constant, typically of about 3.7 or less, for example.
  • a via is formed in layer 54 and is then lined with a layer 56 of a conductor material, typically comprising TaN or TiN, as examples.
  • the liner 56 may comprise Ta, W, Mo, TiW, TiN, TaN, WN, TiSiN, TaSiN, or a combination thereof, for example.
  • the via is then overfilled with a conductive material such as copper 58 , and the surface is planarized using a CMP or RIE, as examples, to form surface 60 .
  • the resulting semiconductor structure 10 after the Cu via fill 58 has been recessed, 10-20 nm, by a wet or dry etch, as examples.
  • the Cu surface 62 is covered with an activation layer 66 , typically of Pd, which forms surface 66 A.
  • a layer 68 typically comprising CoWP, and alternatively comprising CoWB, CoP, NiMoP, Re or Ru, as examples, is selectively and electrolessly deposited onto Pd surface 66 A to a thickness that makes the surface co-planar with surface 60 .
  • An inorganic insulator layer 65 is then deposited onto surface 60 .
  • a second inorganic insulating layer 70 typically comprising silicon nitride (Si 3 N 4 ), for example, onto surface 64 A.
  • Vias and trenches are formed into layer 64 and 70 , and conductors are then formed in the vias and trenches to facilitate electrical contact with terminals on a package in which the semiconductor 10 is housed.
  • each insulating layer While only one conductive line and/or via interconnect is shown within each insulating layer in the figures, there may be many conductive lines and via connections within each insulating layer. Also, while 3 insulating layers and interconnect layers are shown in the Figures, there may be only one interconnect layer, or 8 or more interconnect layers in the semiconductor device 10 , as examples. One or more of the interconnect layers may include recessed damascene conductive lines over which barrier layers are selectively formed, in accordance with embodiments of the invention.
  • a semiconductor device 10 includes a first insulating layer 24 formed over a substrate 12 , the first insulating layer 10 being patterned with a pattern for at least one conductive line, and a first conductive material 28 A disposed within the patterned first insulating layer 24 , the first conductive material 28 A being recessed below a top surface 30 of the first insulating layer 24 .
  • the device 10 includes an activation layer 34 disposed over the recessed first conductive material 28 A, and a barrier layer 36 disposed over the activation layer 34 , wherein the activation layer 34 and barrier layer 36 do not extend beyond the top surface 30 of the first insulating layer 24 .
  • Advantages of embodiments of the present invention include the prevention of line shorting by lateral growth of the barrier layers 32 , 52 and 68 . Because the conductive lines 28 A, 46 and 58 described herein are recessed below a top surface of the insulating layers 24 , 40 and 54 they are formed in, respectively, no lateral growth of the barrier layers 32 , 52 or 68 can occur during the selective formation of the barrier layers 32 , 52 or 68 .
  • An advantage of a preferred embodiment of the present invention is the introduction of a recess etch of damascene metal lines or other features to allow the deposition of a sufficiently thick selective deposited metallic cap layer without creating shorts in narrow features.
  • a further advantage of a preferred embodiment of the present invention is that the recess etch can be combined with an additional optional “touch-up” CMP process to remove overfill or any unintentional deposition on the dielectric material.

Abstract

Interconnect layers on a semiconductor device containing logic circuits (microprocessors, Asics or others) or random access memory cells (DRAM's) are formed in a manner to significantly reduce the number of shorts between adjacent conductor/vias with narrow separations in technologies having feature sizes of 0.18 microns or smaller. This is accomplished by etching to form recessed copper top surfaces on each layer after a chemical-mechanical polishing process has been completed. The thickness of a selectively formed barrier layer on the recessed copper surfaces, is controlled to be essentially co-planar with the surrounding insulator surfaces. Because the barrier layers are recessed, shorting of adjacent conductive lines is prevented.

Description

    TECHNICAL FIELD
  • Embodiments of the present invention relate to integrated circuit devices using copper for interconnecting discrete circuit components as part of the processing of semiconductor devices, and more particularly, to modifications in semiconductor device processing resulting in a reduction of electrical shorts between metal lines and vias with high aspect ratios and narrow spaces.
  • BACKGROUND
  • As Ultra Large Scale Integration (ULSI) circuit density increases and device feature sizes approach 0.18 microns or less, increased numbers of patterned metal levels are required with decreasing spacing between metal lines at each level to effectively interconnect discrete semiconductor devices on the semiconductor chips. Typically, the different levels of metal interconnections are separated by layers of insulator material. These interposed insulating layers have etched holes filled with a conductive material, referred to as vias, which are used to connect one level of metal to the next. Typically, the insulating layer is silicon oxide (SiO2) having a dielectric constant k (relative to vacuum) of about 4.0 to 4.5.
  • However, as semiconductor device dimensions decrease and the packing density increases, it is necessary to reduce the spacing between the metal lines at each level of interconnection to effectively wire up the integrated circuits. Unfortunately, as the spacing decreases, the intralevel and interlevel capacitances increase between metal lines, because the capacitance C is inversely proportional to the spacing d between the lines. Therefore, it is desirable to minimize the dielectric constant k of the insulating material (dielectric) between the conducting lines, in order to reduce the RC time constant and thereby increase the performance of the circuit, e.g., the frequency response, since the signal propagation time in the circuit is adversely affected by the RC delay time.
  • To achieve an insulating layer with a dielectric constant of 3 or less, relatively porous spin-on insulating films are commonly used, such as hydrogen silsesquioxane (HSQ), a silicon polymer with a k of 2.7-3.0, and SiLK™, which is a trademark of the Dow Chemical Company, having a k of 2.65. However, these low-k insulators (low compared to silicon oxide) are usually mechanically weak and some are porous and therefore, do not provide good structural support for integration. Further, absorbed moisture and other chemicals in the porous insulator can cause corrosion of the metal lines. Low-k materials, such as, Black Diamond™, a trademark of Applied Materials, Coral™, a trademark of Novellus, SiCOH and other similar materials are used in the semiconductor industry but are deposited by CVD, which distinguishes them from the spin-on dielectrics.
  • Copper is the preferred metal that is used on chip multilevel interconnections (both wiring and plugs) to replace aluminum, which has a higher bulk electrical resistivity and a low resistance to electromigration. Copper can be deposited by either electrolytic or electroless deposition and also by Chemical Vapor Deposition (CVD) and Physical Vapor Deposition (PVD), as examples.
  • However, copper has relatively poor resistance to corrosion. Unlike other metal oxidation (such as aluminum oxidation), copper is readily oxidized to form Cu2O and CuO at relatively low temperatures, e.g., below 200 degrees C., and no self-protective oxide layer forms to prevent the copper from further oxidation. Oxidized copper degrades the electrical and mechanical properties of the copper interconnect. Accordingly, a protection, or encapsulation, e.g., diffusion barrier, layer of high corrosion resistance material is necessary to cover exposed copper surfaces.
  • A variety of materials are known for forming diffusion barriers on copper. Such materials include Ta, W, Mo, TiW, TiN, TaN, WN, TiSiN and TaSiN, as examples, which can be deposited by CVD or PVD. More recently, electrolessly deposited CoWP has been used as a barrier material to encapsulate a conductor material. Furthermore, the W in the CoWP significantly enhances the barrier properties.
  • However, in very narrow spaces like those found between first level metal lines in 0.18 or less micron technologies, if the copper diffusion barrier cap layer is selectively deposited onto the exposed copper of the previously planarized surface there is some lateral (sideways) growth which is proportional to the thickness of the selectively deposited layer. When the lateral growth exceeds half the distance between copper lines, the cap layer can make contact with the adjacent cap layer to create an electrical short. Therefore, in some technologies a very thin layer of CoWP, proposed to achieve an improvement in electromigration, would be less prone to form electrical shorts. But an extremely thin layer is insufficient as a copper diffusion barrier and therefore, an additional cap layer of, for example, SiN (Si3N4), SiC, SiCN or Blok™ (a barrier low-k insulator material developed by Applied Materials, Inc.) is required.
  • SUMMARY OF THE INVENTION
  • These and other problems are generally solved or circumvented, and technical advantages are generally achieve, by preferred embodiments of the present invention in which the conductive material is recessed prior to forming a barrier layer, eliminated the need for an additional cap layer. The above-mentioned problem, in which an insufficiently thick CoWP layer fails as a diffusion barrier to copper (Cu), necessitates the use of an additional cap layer. Embodiments of the present invention provide a method for eliminating the need for this additional cap layer and, thereby improve the overall circuit performance.
  • It is to be noted that the low-k dielectrics described herein may be either organic (e.g., SiLK) or inorganic (e.g., HSQ) and therefore, the term “low-k dielectrics” will be used to refer to both organic and inorganic low-k insulators herein. These ‘low k-dielectrics’ may be of a porous or non-porous nature. This term does not include materials, such as SiO2 or Si3N4, which have k values of about 4 and 8, respectively. However, embodiments of the present invention are not restricted to low k dielectric insulating layer; the insulating layers described herein may comprise conventional dielectric materials such as SiO2 or FSG, as examples, as well. In accordance with a preferred embodiment of the present invention, a method of forming conductive lines of a semiconductor device comprises depositing a first insulating layer over a substrate, patterning the first insulating layer with a pattern for at least one conductive line, and filling the first insulating layer pattern with a first conductive material. Excess first conductive material is removed from a top surface of the first insulating layer, the first conductive material is recessed below the top surface of the first insulating layer, and a barrier layer is selectively formed over the recessed first conductive material.
  • In accordance with another preferred embodiment of the present invention, a method of forming conductors over a semiconductor body having a top surface in which electrical contact areas are formed is disclosed. The method includes forming a first inorganic insulating layer having a relatively high k over the top surface, forming vias completely through the first inorganic insulating layer which are in contact with the contact areas of the semiconductor device, and filling the vias through the first inorganic insulating layer with conductive material to form conductive plugs and make contact with the contact areas. The method includes forming a first dielectric insulating layer having a relatively low-k over the first inorganic insulating layer, forming trenches in the first dielectric layer from a top surface thereof, lining the vias and trenches in the first dielectric insulating layer with a conductive barrier liner layer, and filling the vias and trenches in the first dielectric insulating layer with copper to at least a level of a top surface of the first dielectric insulating layer. A portion of the copper fill in the vias and trenches is removed to recess the copper in the vias and trenches from the top surface of the first dielectric insulating layer, and a conductive barrier layer is formed on a top surface of the copper in the vias and trenches, the conductive barrier layer having a top surface that is essentially planar with the top surface of the first low-k dielectric layer. A second dielectric insulating layer is formed, having a relatively low-k and being of the same type as the first dielectric insulating layer over the first dielectric insulating layer. Vias and trenches are formed in the second dielectric insulating layer, and these are lined with a conductive barrier liner layer, after which copper filling, copper recessing are performed, and then a barrier layer is formed over the recessed copper in essentially the same manner as was done with respect to the first dielectric insulating layer. A second inorganic layer is formed having a relatively high-k over a top surface of the last of the additional plurality of the dielectric insulating layers.
  • In accordance with yet another preferred embodiment of the present invention, a semiconductor device includes a first insulating layer formed over a substrate, the first insulating layer being patterned with a pattern for at least one conductive line, and a first conductive material disposed within the patterned first insulating layer, the first conductive material being recessed below a top surface of the first insulating layer. The device includes an activation layer disposed over the recessed first conductive material, and a barrier layer disposed over the activation layer, wherein the activation layer and barrier layer do not extend beyond the top surface of the first insulating layer.
  • Embodiments of the present invention provide technical advantages by providing improved circuit performance, which is the result of a reduction in capacitive coupling, thermo-mechanical stress and thermal budget. The thermal budget reduction is the result of a decrease in the number of processing steps, which leads to lower processing costs. The reduction in capacitive coupling is the result of an elimination of the need for an additional dielectric cap layers (i.e. dielectric diffusion barriers) over the CoWP layer, such as SiN (Si3N4), SiC, SiCN or Blok™, as examples. The elimination of these additional dielectric cap layers also reduces the effective k-value of the dielectric stack in the interconnect architecture.
  • The foregoing has outlined rather broadly the features and technical advantages of embodiments of the present invention in order that the detailed description of the invention that follows, taken in conjunction with the accompanying drawings and claims, may be better understood. Additional features and advantages of embodiments of the invention will be described hereinafter, which form the subject of the claims of the invention. It should be appreciated by those skilled in the art that the conception and specific embodiments disclosed may be readily utilized as a basis for modifying or designing other structures or processes for carrying out the same purposes of the present invention. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the invention as set forth in the appended claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of embodiments of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
  • FIG. 1 illustrates a cross-section of an integrated circuit fabricated using both single and dual Damascene processes in accordance with an embodiment of the present invention; and
  • FIGS. 2-8 illustrate cross-sections of integrated circuits in which processing methods are used in accordance with embodiments of the present invention.
  • Corresponding numerals and symbols in the different figures generally refer to corresponding parts unless otherwise indicated. The figures are drawn to clearly illustrate the relevant aspects of the preferred embodiments and are not necessarily drawn to scale.
  • DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
  • The making and using of the presently preferred embodiments are discussed in detail below. It should be appreciated, however, that the present invention provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention.
  • The present invention will be described with respect to preferred embodiments in a specific context, namely semiconductor devices having one or more metallization layers in which conductive lines are formed to form interconnects. Processes are described herein which bear on the elimination of an inorganic cap layer by recessing the surface of the copper conductors and vias to provide for a thicker, conducting diffusion barrier while still maintaining the overall thickness requirements for the metal/insulator stack. It is to be noted that the low-k dielectrics described herein may be either organic, such as SiLK™, as an example, or inorganic, such as HSQ, and therefore, the term “low-k dielectrics” will be used to refer to both organic and inorganic low-k insulators. The low k-dielectrics may be of porous or non-porous nature. In particular, this term does not include materials such as SiO2 or Si3N4, which have k values of about 4 and about 8, respectively. However, embodiments of the present invention are not restricted to low k dielectrics; they may be also implemented in structures having more traditional dielectrics like SiO2 or FSG, as examples.
  • An embodiment of the present invention will first be summarized, with reference to FIG. 1. FIG. 1 shows a cross-sectional view of a semiconductor device 10 structure which comprises a plurality of logic circuitry of a microprocessor or ASIC, or, alternatively, as an example, memory cells of a dynamic random access memory (DRAM) represented by a drain region 14 formed in a semiconductor substrate 12 in accordance with a preferred embodiment of the present invention. A first insulating (Pre-Metal Dielectric, PMD) layer 18, typically comprising silicon oxide (SiO2) is deposited onto a silicon substrate surface 16 of a semiconductor substrate 12 and is patterned, lithographically, to form contact openings (not shown) which are overfilled with a first conducting layer 20, typically tungsten (W), which becomes the contact metallurgy to the semiconductor drain region 14. The surface is planarized using a chemical-mechanical polishing (CMP) process, for example, to result in an essentially planar surface 22. A low-k dielectric insulating layer (Inter-Metal Dielectric, IMD) 24, comprising a material having a low dielectric constant, for example, typically about 3.7 or less, is deposited over the first inorganic insulating layer 18. A single damascene process is used to form openings (not shown) in insulating layer 24 and the openings (trenches) are lined with a second conductor material 26, typically, of tantalum nitride (TaN) or titanium nitride (TiN), as examples. Alternatively the liner 26 may comprise Ta, W, Mo, TiW, TiN, TaN, WN, TiSiN, TaSiN, or a combination thereof, for example.
  • The lined openings are filled with a third conductor material 28A, typically Cu, to form conductors and to make contact to the first conductor layer 20. The surface is then planarized, using CMP, for example, to form a planar surface 30. The exposed copper layer 28A is etched to result in a recessed top surface 28B. A catalytic activation layer 34, typically comprising palladium (Pd), for example, is deposited over the copper top surface 28B to provide a catalytically activated copper surface. A barrier layer 36, typically comprising cobalt tungsten phosphide (CoWP) and alternatively comprising CoWB, CoP, NiMoP, Re or Ru, as examples, is deposited selectively and preferably electrolessly, onto a surface 32 of the Pd layer to form a surface 38 which is essentially co-planar with the surface 30 of the low-k dielectric layer 24.
  • One or more insulating layers and conductive structures may subsequently be formed, in accordance with embodiments of the present invention. For example, in FIG. 1, a low-k dielectric insulating layer 40, comprising a material having a low dielectric constant, typically k equals about 3.7 or less, is deposited over the resulting structure. Layer 40 is etched to form a dual damascene structure with both trench and via hole openings (not shown) having surfaces 40B, which are then covered with a liner layer 44, typically comprising TaN, TiN, WN or other similar materials. Alternatively the liner 44 may comprise Ta, W, Mo, TiW, TiN, TaN, WN, TiSiN, TaSiN, or a combination thereof, for example. The trench and hole openings are then overfilled with copper 46, and the surface is planarized, for example, using CMP. The planarized copper 46 is then etched to form a recessed top surface 50A. A catalytic activation layer 48, typically comprising palladium (Pd), for example, is deposited over the copper surface 50A. The Pd activation layer 48 is then covered, preferably selectively and electrolessly, with a conductive barrier layer 52, typically comprising CoWP and alternatively comprising CoWB, CoP, NiMoP, Re or Ru, as examples. An optional touch-up CMP process can be performed after the CoWP deposition to remove any CoWP overgrowth and CoWP islands (spots) on the top surface of the dielectric layer 40. It is, however, recommended that a CoWP layer having a thickness greater than 10 nm thick remains in the recessed areas above the copper line. A surface 52A of the barrier layer 52 is then essentially co-planar with the low-k dielectric insulator layer 40 surface 46A.
  • Again, additional insulating layers may be deposited over the structure. For example, shown in FIG. 1, a low-k dielectric insulating material having a dielectric constant of about 3.7 or less, is then deposited to form an insulating layer 54 into which a via opening (not shown) is etched. The via opening is lined with a conductor material 56, typically comprising TaN or TiN, as examples. Alternatively the liner 56 may comprise Ta, W, Mo, TiW, TiN, TaN, WN, TiSiN, TaSiN, or a combination thereof, for example. The via opening is then overfilled with layer 58, typically comprising copper, and the surface of the insulating layer 54 is planarized using CMP, for example. The exposed copper 58 is etched to form a recessed top surface 62. A catalytic activation layer 66, typically comprising Pd, for example, is deposited onto the top surface 62 layer and has a top surface 66A. A conductive barrier layer 68 is then deposited, selectively and electrolessly, onto the surface 66A forming a top surface 68A which is essentially co-planar with surface 60 of insulating layer 54. A second layer 64, typically comprising SiO2, is deposited onto the resulting structure and a third inorganic layer 70, typically comprising Si3N4, is deposited onto the surface 64A. Conducting vias (not shown) are formed through layers 64 and 70 and in other layers as required to facilitate electrical contact with terminals (not shown) on a package in which the semiconductor structure 10 is housed.
  • An embodiment of the present invention will next be described in more detail, with reference to FIGS. 2 through 8. FIG. 2 shows a cross-sectional view of a semiconductor device 10 structure at an early stage of fabrication with an element region 14 which may comprise a drain region, for example, is formed in a semiconductor substrate 12. A first inorganic insulating layer 18, typically comprising silicon oxide (SiO2) and alternatively comprising B-type doped oxide, such as boron phosphosilicate glass (BPSG) or boron silicate glass (BSG), P-doped oxide, such as BPSG or phosphosilicate glass (PSG), or fluorine-doped oxide (FSG), as examples, and typically comprising a thickness of 200 nm-1000 nm, is deposited onto a silicon surface 16 of semiconductor substrate 12. The first inorganic insulating layer 18 is lithographically patterned to form contact openings, exposing a top surface of the underlying element region 14. The contact openings are filled with a first conducting layer 20, typically comprising tungsten (W), for example, and alternatively comprising other conductive material. The first conducting layer 20 becomes the contact metallurgy to the element region 14. The surface of the first inorganic insulating layer 18 is planarized, for example, using chemical-mechanical polishing (CMP) and alternatively comprising a reactive ion etch (RIE) to form a top surface 22.
  • FIG. 3 shows a cross-sectional view of the semiconductor structure 10 shown in FIG. 2, in which an insulating layer 24, preferably comprising a low-k dielectric material having a dielectric constant of about 3.7 or less. For example the low-k insulating layer 24 may be deposited or spun onto the surface of the semiconductor substrate 12, e.g., over the top surface 22 of the first insulating layer 18. A single damascene process is then used to form openings in layer 24, exposing the first conductive layer 20 and portions of the first insulating layer 18. The openings are lined with a second conducting layer 26 comprising a barrier layer to the diffusion of copper. For example, the second conducting layer 26 typically comprises tantalum nitride (TaN) or titanium nitride (TiN). Alternatively the liner 26 may comprise Ta, W, Mo, TiW, TiN, TaN, WN, TiSiN, TaSiN, or a combination thereof, for example.
  • The opening (e.g., trench) lined with layer 26 is overfilled with a third conducting layer 28, typically comprising copper or other conductive materials, for example, to form conductors and to make contact to the first conductor layer 20. The resulting surface may then be planarized, using CMP or RIE, as examples, to form a planar surface 30.
  • In accordance with an embodiment of the present invention, next, the third conducting layer 28 is etched to recess the third conducting layer 28 below the top surface 30 of the insulating layer 24, as shown in FIG. 4. The recessed layer 28A is preferably recessed below the insulating layer 24 top surface 30 by approximately 10-20 nm and has a top surface 28B. The liner layer 26 will be left intact if a wet chemical etch, comprising ammonium persulfate, for example, is used to recess the third conducting layer 28A, because such an etch has good selectivity for copper, whereas, the liner layer 26 will be partially or completely removed if a reactive ion etch (RIE) is used.
  • The top surface 28B of the recessed third conducting layer 28A is then covered with a catalytic activation layer 34, as shown in FIG. 5. The catalytic activation layer 34 preferably comprises of palladium (Pd) and may alternatively comprise other materials, for example. The catalytic activation layer 34 is preferably, approximately one to three atom layers thick, which is useful to activate the Cu surface. A conductive barrier layer 36, typically comprising cobalt-tungsten-phosphide (CoWP), and alternatively comprising CoWB, CoP, NiMoP, Re or Ru, as examples, is then deposited onto the top surface of the palladium layer 34 by selective, electroless deposition. Preferably the CoWP surface 36A is essentially co-planar with the surface 30 of insulator layer 24 after the selective deposition of the conductive barrier layer 36.
  • In an optional step, if any excess conductive barrier layer 36 and/or catalytic activation layer 34 is left remaining on the top surface 30 of the insulating layer 24, this excess material 36 or 34 may be removed a CMP or RIE process, as examples (not shown).
  • Next, additional insulating layers 40 and 54 may be deposited over the top surface 30 and 36A, as shown in FIGS. 6 through 8, to be described herein. Each conductive layer that is formed is recessed below a top surface of the insulating layer the conductive lines are formed in, and a conductive barrier layer is selectively formed over the conductive lines, leaving a top surface of the conductive lines that is co-planar with the insulating layer the conductive lines are formed in.
  • In particular, FIG. 6 shows a cross-sectional view of the semiconductor structure 10 as shown in FIG. 5, in which a layer 40, (a low-k dielectric material with a dielectric constant, typically about 3.7 or less), is deposited onto the essentially planar surfaces 30 and 36A. Layer 40 is etched to form a dual damascene structure resulting in both a via and trench opening, thereby creating new surfaces 42 on modified layer 40. The surfaces 42 are covered, conformally, with a liner layer 44, typically comprising TaN or TiN. Alternatively the liner 44 may comprise Ta, W, Mo, TiW, TiN, TaN, WN, TiSiN, TaSiN, or a combination thereof, for example. The via and trench openings are then overfilled with a conductive layer 46 which may comprise copper, for example, and the surface of insulating layer 40 is planarized using CMP or RIE, as examples, to form a top surface 46A.
  • FIG. 7 shows a cross-sectional view of the semi-conductor structure 10 shown in FIG. 6, in which the Cu layer is 46 is etched, typically with a wet etch of ammonium persulfate, to form a recessed surface 50A in the Cu layer 46. An activation layer 48, typically comprising Pd, one to three atom layers thick, is then deposited to cover the recessed Cu surface 50A. A layer 52, typically comprising cobalt tungsten phosphide (CoWP), and alternatively comprising CoWB, CoP, NiMoP, Re or Ru, as examples, is selectively and electrolessly deposited onto activation layer 48 to form a new surface 52A. The thickness of CoWP layer 52 makes the surface 52A essentially co-planar with the surface 46A of layer 40. If needed, an optional additional “touch-up” CMP process may be performed on the semiconductor device 10 to remove any excess barrier layer 52 and/or activation layer 48 from the top surface 46A of the device 10.
  • FIG. 8 shows a cross-sectional view of the semiconductor structure 10 of FIG. 7, in which the surface 46A is covered with an insulating layer 54 which preferably comprises a low-k dielectric materials having a dielectric constant, typically of about 3.7 or less, for example. A via is formed in layer 54 and is then lined with a layer 56 of a conductor material, typically comprising TaN or TiN, as examples. Alternatively the liner 56 may comprise Ta, W, Mo, TiW, TiN, TaN, WN, TiSiN, TaSiN, or a combination thereof, for example. The via is then overfilled with a conductive material such as copper 58, and the surface is planarized using a CMP or RIE, as examples, to form surface 60.
  • Referring again to FIG. 1, therein is shown the resulting semiconductor structure 10 after the Cu via fill 58 has been recessed, 10-20 nm, by a wet or dry etch, as examples. The Cu surface 62 is covered with an activation layer 66, typically of Pd, which forms surface 66A. A layer 68, typically comprising CoWP, and alternatively comprising CoWB, CoP, NiMoP, Re or Ru, as examples, is selectively and electrolessly deposited onto Pd surface 66A to a thickness that makes the surface co-planar with surface 60. An inorganic insulator layer 65, typically of SiO2, is then deposited onto surface 60. This is followed by the deposition of a second inorganic insulating layer 70, typically comprising silicon nitride (Si3N4), for example, onto surface 64A. Vias and trenches are formed into layer 64 and 70, and conductors are then formed in the vias and trenches to facilitate electrical contact with terminals on a package in which the semiconductor 10 is housed.
  • It is to be noted that the specific embodiment that has been described herein is illustrative of the general principles of the invention. Various other embodiments can be devised without departing from the spirit and scope of the invention. For example, other organic or inorganic materials can be used for the insulating layers and other materials can be used to replace the diffusion barrier materials of the liners and selective cap layers.
  • While only one conductive line and/or via interconnect is shown within each insulating layer in the figures, there may be many conductive lines and via connections within each insulating layer. Also, while 3 insulating layers and interconnect layers are shown in the Figures, there may be only one interconnect layer, or 8 or more interconnect layers in the semiconductor device 10, as examples. One or more of the interconnect layers may include recessed damascene conductive lines over which barrier layers are selectively formed, in accordance with embodiments of the invention.
  • In accordance with one embodiment of the present invention, a semiconductor device 10 includes a first insulating layer 24 formed over a substrate 12, the first insulating layer 10 being patterned with a pattern for at least one conductive line, and a first conductive material 28A disposed within the patterned first insulating layer 24, the first conductive material 28A being recessed below a top surface 30 of the first insulating layer 24. The device 10 includes an activation layer 34 disposed over the recessed first conductive material 28A, and a barrier layer 36 disposed over the activation layer 34, wherein the activation layer 34 and barrier layer 36 do not extend beyond the top surface 30 of the first insulating layer 24.
  • Advantages of embodiments of the present invention include the prevention of line shorting by lateral growth of the barrier layers 32, 52 and 68. Because the conductive lines 28A, 46 and 58 described herein are recessed below a top surface of the insulating layers 24, 40 and 54 they are formed in, respectively, no lateral growth of the barrier layers 32, 52 or 68 can occur during the selective formation of the barrier layers 32, 52 or 68.
  • An advantage of a preferred embodiment of the present invention is the introduction of a recess etch of damascene metal lines or other features to allow the deposition of a sufficiently thick selective deposited metallic cap layer without creating shorts in narrow features.
  • A further advantage of a preferred embodiment of the present invention is that the recess etch can be combined with an additional optional “touch-up” CMP process to remove overfill or any unintentional deposition on the dielectric material.
  • Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims (39)

1-28. (canceled)
29. A semiconductor device, comprising:
a first insulating layer formed over a substrate, the first insulating layer being patterned with a pattern for at least one conductive line;
a first conductive material disposed within the patterned first insulating layer, the first conductive material being recessed below a top surface of the first insulating layer;
an activation layer disposed over the recessed first conductive material; and
a barrier layer disposed over the activation layer, wherein the activation layer and barrier layer do not extend beyond the top surface of the first insulating layer.
30. The semiconductor device according to claim 29, wherein the first conductive material comprises copper.
31. The semiconductor device according to claim 29, wherein the activation layer comprises Pd.
32. The semiconductor device according to claim 29, wherein the barrier layer comprises CoWP, CoWB, CoP, NiMoP, Re or Ru.
33. The semiconductor device according to claim 29, wherein the first insulating layer comprises a dielectric material with a dielectric constant of 3.7 or less.
34. The semiconductor device according to claim 29, further comprising a liner formed over the patterned first insulating layer.
35. The semiconductor device according to claim 34, wherein forming the liner comprises Ta, W, Mo, TiW, TiN, TaN, WN, TiSiN, TaSiN, or a combination thereof.
36. An integrated circuit chip comprising:
a semiconductor device having an electrical contact area;
a first inorganic insulating layer having a relatively high-k formed over the contact area;
a contact via formed completely through the first inorganic insulating layer, the contact via being in contact with the contact area, and the contact via being filled with conductive via material to form a conductive plug that makes electrical contact with the contact area;
a first dielectric layer having a relatively low-k formed over the first inorganic insulating layer;
a first dielectric layer trench formed in a top surface of the first dielectric layer, at least a portion of the first dielectric layer trench opening to the conductive plug;
a first conductive barrier liner layer lining the first dielectric layer trench, at least a portion of the first conductive barrier liner layer being in electrical contact with the conductive plug;
a first conductive material partially fills the first dielectric layer trench such that a first conductive material recess from the top surface of the first dielectric layer is formed in the first dielectric layer trench; and
a first conductive barrier layer formed on a top surface of the first conductive material in the first conductive material recess, the first conductive barrier layer having a top surface that is essentially planar with the top surface of the first dielectric layer.
37. The chip according to claim 36, wherein the first conductive material comprises copper.
38. The chip according to claim 36, further comprising:
a second dielectric layer having a relatively low-k and being of the same type as the first dielectric layer formed over the first dielectric layer;
a second dielectric layer trench formed in a top surface of the second dielectric layer, at least a portion of the second dielectric layer trench opening to the first conductive barrier layer;
a second conductive barrier liner layer lining the second dielectric layer trench, at least a portion of the second conductive barrier liner layer being in electrical contact with the first conductive barrier layer;
a second conductive material partially fills the second dielectric layer trench such that a second conductive material recess from the top surface of the second dielectric layer is formed in the second dielectric layer trench; and
a second conductive barrier layer formed on a top surface of the second conductive material in the second conductive material recess, the second conductive barrier layer having a top surface that is essentially planar with the top surface of the second dielectric layer.
39. The chip according to claim 38, further comprising a second inorganic insulating layer having a relatively high-k formed over a top surface of a last of the dielectric layers.
40. The chip according to claim 39, wherein the first and second inorganic insulating layers have a dielectric constant of 3.7 or greater, and wherein the first and second dielectric layers have a dielectric constant of 3.7 or less.
41. The chip according to claim 40, wherein the first and second inorganic layers are each selected from a group consisting of silicon oxide, B-doped oxide (BPSG or BSG), P-doped oxide (BPSG or PSG), and fluorine-doped oxide (FSG).
42. The chip according to claim 38, wherein the second dielectric layer trench comprises a second dielectric layer via extending therefrom and formed in the second dielectric layer, the second dielectric layer via being lined with the same second conductive barrier liner layer used for lining the second dielectric layer trench, and the second dielectric layer via being filled with the same second conductive material used to partially fill the second dielectric layer trench.
43. The chip according to claim 36, wherein the first dielectric layer trench comprises a first dielectric layer via extending therefrom and formed in the first dielectric layer, the first dielectric layer via being lined with the same first conductive barrier liner layer used for lining the first dielectric layer trench, and the first dielectric layer via being filled with the same first conductive material used to partially fill the first dielectric layer trench.
44. The chip according to claim 36, wherein the conductive via material filling the contact via in the first inorganic insulating layer comprises tungsten.
45. The chip according to claim 36, wherein the first conductive barrier liner layer comprises Ta, W, Mo, TiW, TiN, TaN, WN, TiSiN, TaSiN, or a combination thereof.
46. The chip according to claim 36, further comprising a conductive activation layer formed in the first conductive material recess over the first conductive material.
47. The chip according to claim 46, wherein the conductive activation layer comprises Pd.
48. The chip according to claim 46, wherein the first conductive barrier layer is formed over the conductive activation layer.
49. The chip according to claim 36, further comprising a conductive activation layer formed in the second conductive material recess over the second conductive material.
50. The chip according to claim 49, wherein the conductive activation layer comprises Pd.
51. The chip according to claim 49, wherein the second conductive barrier layer is formed over the conductive activation layer.
52. An integrated circuit chip comprising:
a semiconductor device having an electrical contact area;
a first dielectric layer formed above the electrical contact area;
a first dielectric layer trench formed in a top surface of the first dielectric layer;
a first conductive barrier liner layer lining the first dielectric layer trench, at least a portion of the first conductive barrier liner layer being electrical connected to the electrical contact area;
a first conductive material partially fills the first dielectric layer trench such that a first conductive material recess from the top surface of the first dielectric layer is formed in the first dielectric layer trench;
a first conductive catalytic activation layer formed in the first conductive material recess over the first conductive material; and
a first conductive barrier layer formed in the first conductive material recess over the first activation layer, the first conductive barrier layer having a top surface that is essentially planar with the top surface of the first dielectric layer.
53. The chip according to claim 52, wherein the first conductive material comprises copper.
54. The chip according to claim 52, further comprising:
a second dielectric layer formed over the first dielectric layer;
a second dielectric layer trench formed in a top surface of the second dielectric layer, at least a portion of the second dielectric layer trench opening to the first conductive barrier layer;
a second conductive barrier liner layer lining the second dielectric layer trench, at least a portion of the second conductive barrier liner layer being electrically connected to the first conductive barrier layer;
a second conductive material partially fills the second dielectric layer trench such that a second conductive material recess from the top surface of the second dielectric layer is formed in the second dielectric layer trench;
a second conductive catalytic activation layer formed in the second conductive material recess over the second conductive material; and
a second conductive barrier layer formed in the second conductive material recess over the second activation layer, the second conductive barrier layer having a top surface that is essentially planar with the top surface of the second dielectric layer.
55. The chip according to claim 54, further comprising an inorganic insulating layer formed over a top surface of a last of the dielectric layers.
56. The chip according to claim 55, wherein the inorganic insulating layer has a dielectric constant of 3.7 or greater, and wherein the first and second dielectric layers have a dielectric constant of 3.7 or less.
57. The chip according to claim 56, wherein the inorganic insulating layer comprises a material selected from a group consisting of silicon oxide, B-doped oxide (BPSG or BSG), P-doped oxide (BPSG or PSG), and fluorine-doped oxide (FSG).
58. The chip according to claim 54, wherein the second dielectric layer trench comprises a second dielectric layer via extending therefrom and formed in the second dielectric layer, the second dielectric layer via being lined with the same second conductive barrier liner layer used for lining the second dielectric layer trench, and the second dielectric layer via being filled with the same second conductive material used to partially fill the second dielectric layer trench.
59. The chip according to claim 54, wherein the second activation layer comprises Pd.
60. The chip according to claim 52, further comprising:
a first inorganic insulating layer having a relatively high-k formed over the contact area; and
a contact via formed completely through the first inorganic insulating layer, the contact via being in contact with the contact area, and the contact via being filled with conductive via material to form a conductive plug that makes electrical contact with the contact area.
61. The chip according to claim 60, wherein the conductive via material filling the contact via in the first inorganic insulating layer comprises tungsten.
62. The chip according to claim 60, wherein the first inorganic insulating layer has a dielectric constant of 3.7 or greater.
63. The chip according to claim 62, wherein the first inorganic insulating layer comprises a material selected from a group consisting of silicon oxide, B-doped oxide (BPSG or BSG), P-doped oxide (BPSG or PSG), and fluorine-doped oxide (FSG).
64. The chip according to claim 52, wherein the first conductive barrier liner layer comprises Ta, W, Mo, TiW, TiN, TaN, WN, TiSiN, TaSiN, or a combination thereof.
65. The chip according to claim 52, wherein the first activation layer comprises Pd.
66. The chip according to claim 52, wherein the first dielectric layer trench comprises a first dielectric layer via extending therefrom and formed in the first dielectric layer, the first dielectric layer via being lined with the same first conductive barrier liner layer used for lining the first dielectric layer trench, and the first dielectric layer via being filled with the same first conductive material used to partially fill the first dielectric layer trench.
US10/973,207 2003-05-05 2004-10-26 Method to form selective cap layers on metal features with narrow spaces Abandoned US20050082678A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/973,207 US20050082678A1 (en) 2003-05-05 2004-10-26 Method to form selective cap layers on metal features with narrow spaces

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/429,470 US6893959B2 (en) 2003-05-05 2003-05-05 Method to form selective cap layers on metal features with narrow spaces
US10/973,207 US20050082678A1 (en) 2003-05-05 2004-10-26 Method to form selective cap layers on metal features with narrow spaces

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/429,470 Division US6893959B2 (en) 2003-05-05 2003-05-05 Method to form selective cap layers on metal features with narrow spaces

Publications (1)

Publication Number Publication Date
US20050082678A1 true US20050082678A1 (en) 2005-04-21

Family

ID=33416058

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/429,470 Expired - Lifetime US6893959B2 (en) 2003-05-05 2003-05-05 Method to form selective cap layers on metal features with narrow spaces
US10/973,207 Abandoned US20050082678A1 (en) 2003-05-05 2004-10-26 Method to form selective cap layers on metal features with narrow spaces

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/429,470 Expired - Lifetime US6893959B2 (en) 2003-05-05 2003-05-05 Method to form selective cap layers on metal features with narrow spaces

Country Status (3)

Country Link
US (2) US6893959B2 (en)
TW (1) TW200425341A (en)
WO (1) WO2004100257A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070123039A1 (en) * 2004-11-03 2007-05-31 Elkins Patricia C Electroless plating of metal caps for chalcogenide-based memory devices
US20070222073A1 (en) * 2006-03-21 2007-09-27 International Business Machines Corporation Structure and method to improve current-carrying capabilities of c4 joints
US20080265369A1 (en) * 2007-04-30 2008-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Capacitor Structure
US20090212334A1 (en) * 2005-11-15 2009-08-27 Ji Ho Hong Semiconductor device and a method for manufacturing the same
US8404582B2 (en) 2010-05-04 2013-03-26 International Business Machines Corporation Structure and method for manufacturing interconnect structures having self-aligned dielectric caps

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040061817A (en) * 2002-12-31 2004-07-07 주식회사 하이닉스반도체 A method for forming a metal line of a semiconductor device
US7060619B2 (en) * 2003-03-04 2006-06-13 Infineon Technologies Ag Reduction of the shear stress in copper via's in organic interlayer dielectric material
US6949442B2 (en) * 2003-05-05 2005-09-27 Infineon Technologies Ag Methods of forming MIM capacitors
US7217663B2 (en) * 2005-01-18 2007-05-15 Taiwan Semiconductor Manufacturing Company Via hole and trench structures and fabrication methods thereof and dual damascene structures and fabrication methods thereof
US20060205204A1 (en) * 2005-03-14 2006-09-14 Michael Beck Method of making a semiconductor interconnect with a metal cap
US7317253B2 (en) 2005-04-25 2008-01-08 Sony Corporation Cobalt tungsten phosphate used to fill voids arising in a copper metallization process
US7407885B2 (en) * 2005-05-11 2008-08-05 Micron Technology, Inc. Methods of forming electrically conductive plugs
US7348238B2 (en) * 2005-08-22 2008-03-25 Micron Technology, Inc. Bottom electrode for memory device and method of forming the same
US20070049008A1 (en) * 2005-08-26 2007-03-01 Martin Gerald A Method for forming a capping layer on a semiconductor device
US7348648B2 (en) * 2006-03-13 2008-03-25 International Business Machines Corporation Interconnect structure with a barrier-redundancy feature
US7800228B2 (en) * 2006-05-17 2010-09-21 International Business Machines Corporation Reliable via contact interconnect structure
US7619310B2 (en) * 2006-11-03 2009-11-17 Infineon Technologies Ag Semiconductor interconnect and method of making same
US7544609B2 (en) * 2007-02-09 2009-06-09 International Business Machines Corporation Method for integrating liner formation in back end of line processing
KR100881055B1 (en) * 2007-06-20 2009-01-30 삼성전자주식회사 Phase-change memory unit, method of forming the phase-change memory unit, phase-change memory device having the phase-change memory unit and method of manufacturing the phase-change memory device
WO2008156054A1 (en) * 2007-06-20 2008-12-24 Asahi Glass Co., Ltd. Polishing composition and method for manufacturing semiconductor integrated circuit device
US8076664B2 (en) * 2007-12-20 2011-12-13 Intel Corporation Phase change memory with layered insulator
US7834457B2 (en) * 2008-02-28 2010-11-16 International Business Machines Corporation Bilayer metal capping layer for interconnect applications
US7803704B2 (en) * 2008-08-22 2010-09-28 Chartered Semiconductor Manufacturing, Ltd. Reliable interconnects
US8237191B2 (en) 2009-08-11 2012-08-07 International Business Machines Corporation Heterojunction bipolar transistors and methods of manufacture
US8766440B2 (en) * 2010-03-04 2014-07-01 Nec Corporation Wiring board with built-in semiconductor element
US8809183B2 (en) 2010-09-21 2014-08-19 International Business Machines Corporation Interconnect structure with a planar interface between a selective conductive cap and a dielectric cap layer
US8912658B2 (en) 2010-10-29 2014-12-16 International Business Machines Corporation Interconnect structure with enhanced reliability
US9209073B2 (en) * 2013-03-12 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Metal cap apparatus and method
US9577192B2 (en) * 2014-05-21 2017-02-21 Sony Semiconductor Solutions Corporation Method for forming a metal cap in a semiconductor memory device
US20190157213A1 (en) 2017-11-20 2019-05-23 Globalfoundries Inc. Semiconductor structure with substantially straight contact profile
US10741748B2 (en) 2018-06-25 2020-08-11 International Business Machines Corporation Back end of line metallization structures

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6235579B1 (en) * 1999-10-18 2001-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing stacked capacitor
US6579785B2 (en) * 2000-01-25 2003-06-17 Kabushiki Kaisha Toshiba Method of making multi-level wiring in a semiconductor device
US20030228749A1 (en) * 2002-06-06 2003-12-11 Nishant Sinha Plating metal caps on conductive interconnect for wirebonding

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US20020000665A1 (en) * 1999-04-05 2002-01-03 Alexander L. Barr Semiconductor device conductive bump and interconnect barrier
TW476134B (en) 2000-02-22 2002-02-11 Ibm Method for forming dual-layer low dielectric barrier for interconnects and device formed
JP2002043315A (en) * 2000-07-26 2002-02-08 Sony Corp Semiconductor device and manufacturing method thereof
JP4644924B2 (en) 2000-10-12 2011-03-09 ソニー株式会社 Semiconductor device and manufacturing method thereof
JP2002226974A (en) 2000-11-28 2002-08-14 Ebara Corp ELECTROLESS Ni-B PLATING SOLUTION, ELECTRONIC DEVICE, AND MANUFACTURING METHOD THEREOF
US7060619B2 (en) * 2003-03-04 2006-06-13 Infineon Technologies Ag Reduction of the shear stress in copper via's in organic interlayer dielectric material

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6235579B1 (en) * 1999-10-18 2001-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing stacked capacitor
US6579785B2 (en) * 2000-01-25 2003-06-17 Kabushiki Kaisha Toshiba Method of making multi-level wiring in a semiconductor device
US20030228749A1 (en) * 2002-06-06 2003-12-11 Nishant Sinha Plating metal caps on conductive interconnect for wirebonding

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070123039A1 (en) * 2004-11-03 2007-05-31 Elkins Patricia C Electroless plating of metal caps for chalcogenide-based memory devices
US7550380B2 (en) * 2004-11-03 2009-06-23 Micron Technology, Inc. Electroless plating of metal caps for chalcogenide-based memory devices
US20090212334A1 (en) * 2005-11-15 2009-08-27 Ji Ho Hong Semiconductor device and a method for manufacturing the same
US20070222073A1 (en) * 2006-03-21 2007-09-27 International Business Machines Corporation Structure and method to improve current-carrying capabilities of c4 joints
US8367543B2 (en) 2006-03-21 2013-02-05 International Business Machines Corporation Structure and method to improve current-carrying capabilities of C4 joints
US20080265369A1 (en) * 2007-04-30 2008-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Capacitor Structure
US9177908B2 (en) * 2007-04-30 2015-11-03 Taiwan Semiconductor Manufacturing Company, Limited Stacked semiconductor capacitor structure
US8404582B2 (en) 2010-05-04 2013-03-26 International Business Machines Corporation Structure and method for manufacturing interconnect structures having self-aligned dielectric caps

Also Published As

Publication number Publication date
WO2004100257A1 (en) 2004-11-18
TW200425341A (en) 2004-11-16
US20040224497A1 (en) 2004-11-11
US6893959B2 (en) 2005-05-17

Similar Documents

Publication Publication Date Title
US6893959B2 (en) Method to form selective cap layers on metal features with narrow spaces
US7060619B2 (en) Reduction of the shear stress in copper via's in organic interlayer dielectric material
US20060205204A1 (en) Method of making a semiconductor interconnect with a metal cap
US7132363B2 (en) Stabilizing fluorine etching of low-k materials
US6509267B1 (en) Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
US6037664A (en) Dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US7514354B2 (en) Methods for forming damascene wiring structures having line and plug conductors formed from different materials
US6159845A (en) Method for manufacturing dielectric layer
US6838355B1 (en) Damascene interconnect structures including etchback for low-k dielectric materials
US7348672B2 (en) Interconnects with improved reliability
US7488643B2 (en) MIM capacitor and method of making same
US7834459B2 (en) Semiconductor device and semiconductor device manufacturing method
US20040251549A1 (en) Hybrid copper/low k dielectric interconnect integration method and device
US7052990B2 (en) Sealed pores in low-k material damascene conductive structures
US6555461B1 (en) Method of forming low resistance barrier on low k interconnect
US7056826B2 (en) Method of forming copper interconnects
US6870263B1 (en) Device interconnection
US20060113672A1 (en) Improved hdp-based ild capping layer
US6218282B1 (en) Method of forming low dielectric tungsten lined interconnection system
US6962870B2 (en) Method of manufacturing semiconductor device and semiconductor device
US20050112957A1 (en) Partial inter-locking metal contact structure for semiconductor devices and method of manufacture
US20050064629A1 (en) Tungsten-copper interconnect and method for fabricating the same
US20230187278A1 (en) Via alignment in single damascene structure
KR100621228B1 (en) Method of fabricating conductive lines and interconnections in semiconductor devices
TW508742B (en) Method of improving reliability of a dual damascene interconnection

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE