US20050111956A1 - Methods and systems for reducing the effect of vibration in a vacuum-based semiconductor handling system - Google Patents

Methods and systems for reducing the effect of vibration in a vacuum-based semiconductor handling system Download PDF

Info

Publication number
US20050111956A1
US20050111956A1 US10/985,843 US98584304A US2005111956A1 US 20050111956 A1 US20050111956 A1 US 20050111956A1 US 98584304 A US98584304 A US 98584304A US 2005111956 A1 US2005111956 A1 US 2005111956A1
Authority
US
United States
Prior art keywords
arm
robotic
vacuum
wafer
handling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/985,843
Inventor
Peter van der Meulen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Blueshift Technologies Inc
Brooks Automation US LLC
Brooks Automation Holding LLC
Original Assignee
Blueshift Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Blueshift Technologies Inc filed Critical Blueshift Technologies Inc
Priority to US10/985,843 priority Critical patent/US20050111956A1/en
Assigned to BLUESHIFT TECHNOLOGIES, INC. reassignment BLUESHIFT TECHNOLOGIES, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: VAN DER MEULEN, PETER
Publication of US20050111956A1 publication Critical patent/US20050111956A1/en
Assigned to BROOKS AUTOMATION US, LLC reassignment BROOKS AUTOMATION US, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BROOKS AUTOMATION HOLDING, LLC
Assigned to BROOKS AUTOMATION HOLDING, LLC reassignment BROOKS AUTOMATION HOLDING, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BROOKS AUTOMATION,INC
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T74/00Machine element or mechanism
    • Y10T74/20Control lever and linkage systems
    • Y10T74/20207Multiple controlling elements for single controlled element
    • Y10T74/20305Robotic arm

Definitions

  • This invention relates to the field of semiconductor manufacturing, and more particularly to machines used for material transport in a vacuum handling system.
  • Cluster tools machines that arrange a group of semiconductor processing modules radially about a central robotic arm, take up a large amount of space, are relatively slow, and, by virtue of their architecture, are limited to a small number of semiconductor process modules, typically a maximum of about five or six.
  • Linear tools while offering much greater flexibility and the potential for greater speed than cluster tools, do not fit well with the current infrastructure of most current semiconductor fabrication facilities; moreover, linear motion of equipment components within the typical vacuum environment of semiconductor manufacturing leads to problems in current linear systems, such as unacceptable levels of particles that are generated by friction among components.
  • linear system uses a rail or track, with a moving cart that can hold an item that is handled by the manufacturing equipment.
  • the cart may or may not hold the material on a moveable arm that is mounted to it.
  • problems with rail-type linear systems is the difficulty of including in-vacuum buffers, which may require sidewall mounting or other configurations that use more space.
  • in a rail-type system it is necessary to have a large number of cars on a rail to maintain throughput, which can be complicated, expensive and high-risk in terms of the reliability of the system and the security of the handled materials.
  • it may be necessary to mount one or two arms on the cart, which further complicates the system.
  • the arm mounted to the cart on a rail system can have significant deflection issues if the cart is floated magnetically, since the arm creates a cantilever that is difficult to compensate for.
  • the cart can have particle problems if it is mounted/riding with wheels on a physical rail.
  • a handling system such as a vacuum handling system
  • a vacuum handling system that can move wafers or other substrates in a very compact footprint.
  • the methods and systems can be used for semiconductor manufacturing, it should be understood that the methods and systems described herein could be used in any processes or industries where it is advantageous to handle materials in a vacuum.
  • the terms manufacturing equipment, handling system, robotic handling system, vacuum handling system, semiconductor handling system, semiconductor manufacturing equipment, wafer handling system, manufacturing system, and the like are intended to encompass all types of systems, processes and equipment for handling and manufacturing items such as semiconductor wafers or other items.
  • Methods and systems are provided for handling an item in a manufacturing process, including a plurality of process modules, each for executing a process on the item, and at least one 4-link robotic SCARA arm for moving the item between process modules.
  • the methods and systems are used in connection with a vacuum handling system.
  • the methods and systems include a plurality of process modules, each for executing a process on the item and a dual robotic arm facility, wherein the dual robotic arm facility includes a top arm and a bottom arm for handling items between process modules.
  • the dual robotic arm facility comprises two opposed 4-link SCARA arms.
  • Methods and systems include a plurality of process modules disposed along an axis in a substantially linear arrangement and at least one handling facility for moving the item from one process module to another process module, wherein the handling facility comprises a robotic arm.
  • the methods and systems include a vacuum manufacturing facility.
  • the robotic arm is a single or dual SCARA arm.
  • the SCARA arm is a 4-link SCARA arm.
  • the arm is a single, -dual, or Leap-Frog-leg style arm.
  • the SCARA arm has more or fewer than four links.
  • Methods and systems include a substantially linear arrangement of manufacturing equipment, having an input end and an output end, wherein the manufacturing equipment includes a vacuum facility and a return facility for returning the item to the input end after an item arrives at the output end during the manufacturing process.
  • the manufacturing equipment includes a plurality of process modules, wherein an item is moved between process modules by a robotic arm facility.
  • the robotic arm facility is a SCARA arm facility.
  • the SCARA arm facility includes a 4-link SCARA arm.
  • the SCARA arm facility includes dual opposed 4-link SCARA arms.
  • the return facility is an air return while the process modules are in a vacuum.
  • an air-based gripper can take a carrier with wafers and put it into the linear system at a point other than the initial entry point, such as half way down the line.
  • a gripper can remove material at a location other than the end exit point, such as at the midpoint of the line.
  • the methods and systems disclosed herein are curvilinear; that is, the linear systems do not have to be in a straight-line configuration.
  • a system disclosed herein includes a plurality of processing modules, also referred to as process modules, each process module performing one or more fabrication processes on a workpiece, the process modules arranged for sequential processing of the workpiece in a sequence from a first process module to a last process module; and a mid-entry point between the first process module and the last process module configured to add a workpiece to the sequence or remove a workpiece from the sequence at the mid-entry point.
  • the workpiece may enter the sequence at the mid-entry point.
  • the workpiece may exit the sequence at the mid-entry point.
  • the system may further include a plurality of mid-entry points, each mid-entry point positioned between two of the plurality of processing modules.
  • the system may further include a return mechanism that moves the workpiece to a first one of the plurality of mid-entry points and retrieves the workpiece from a second one of the plurality of mid-entry points.
  • the workpiece may be processed in a selected, sequential subset of the plurality of processing modules.
  • the processing modules may be arranged to perform a plurality of different fabrication processes depending upon at least one of a mid-entry point where a workpiece is added to the sequence or a mid-entry point where the workpiece is removed from the sequence.
  • the mid-entry point may connect a plurality of different manufacturing facilities.
  • the manufacturing facilities may be arranged to conserve space. Two manufacturing facilities may be more space economical when connected by a mid-entry point than when separated.
  • the processing modules may operate on the workpiece in a controlled environment.
  • the controlled environment may include at least one of a vacuum, a controlled pressure, a controlled temperature, a controlled air purity, or a controlled gas mixture.
  • a method for processing a workpiece as described herein may include arranging a plurality of processing modules in a sequence to sequentially operate on a workpiece; connecting two of the processing modules through a mid-entry point; and adding a workpiece to the sequence at the mid-entry point.
  • a method may include arranging a plurality of processing modules in a sequence to sequentially operate on a workpiece; connecting two of the processing modules through a mid-entry point; and removing a workpiece from the sequence at the mid-entry point.
  • a method disclosed herein may include providing a plurality of vacuum-based processing modules about a substantially linear axis between a loading end and an exit end; and providing an intermediate load lock facility for depositing items to or removing items from the vacuum-based processing modules between the loading end and the exit end.
  • the method may further include providing an air-based delivery system for delivering items to and from the intermediate load-lock facility.
  • the method may include introducing an item at the intermediate load lock point.
  • the method may further include removing an item at the intermediate load lock point.
  • the method may include providing a plurality of intermediate load lock points along a sequential process, each one of the intermediate load lock points position between two adjacent vacuum-based processing modules.
  • the method may include providing a return mechanism for moving an item to or from one of the plurality of intermediate load lock points.
  • the workpiece may be processed by a selected, sequential subset of the plurality of processing modules between two of the intermediate load lock points.
  • the vacuum-based processing modules may be arranged to perform a plurality of different fabrication processes depending upon at least one of the plurality of intermediate load lock points where a workpiece is added to the sequence or one of the plurality of intermediate load lock points where the workpiece is removed from the sequence.
  • the load lock point may connect a plurality of different manufacturing facilities.
  • the manufacturing facilities may be arranged to conserve space. Two of the plurality of manufacturing facilities may be more space economical when connected by a load lock point than when separated.
  • the vacuum-based processing modules may operate on a workpiece in a controlled environment.
  • the controlled environment may include at least one of a vacuum, a controlled pressure, a controlled temperature, a controlled air purity, or a controlled gas mixture.
  • a system described herein may include a plurality of processing modules arranged in a sequence to sequentially operate on a workpiece; connecting means for connecting two of the processing modules through a mid-entry point; and adding means for adding a workpiece to the sequence at the mid-entry point.
  • a system described herein may include a plurality of processing modules arranged in a sequence to sequentially operate on a workpiece; connecting means for connecting two of the processing modules through a mid-entry point; and removing means for removing a workpiece to the sequence at the mid-entry point.
  • a manufacturing facility described herein may include a series of vacuum-based process modules for processing items; and a pair of load locks for delivering items to and taking items from one or more of the vacuum-based process modules, wherein the load locks are disposed in a vertical stack in proximity to one or more of the vacuum-based process modules.
  • the system may further include one or more robotic arms for handling items.
  • the one or more robotic arms may include a SCARA arm.
  • the one or more robotic arms may include a four-link SCARA arm.
  • the one or more robotic arms may include a three-link SCARA arm.
  • the one or more robotic arms may include a pair of vertically stacked four-link SCARA arms.
  • the arm is a single, -dual, or Leap-Frog-leg style arm.
  • the system may include multiple pairs of vertically stacked load locks at different points in the handling system.
  • the different points may include an entry point and an exit point of the semiconductor handling system.
  • the different points may include an intermediate point of the semiconductor handling system.
  • a manufacturing facility described herein may include a robotic component; a workpiece; and a sensor for monitoring a process performed on the workpiece by the robotic component.
  • the sensor may include at least one of a light sensor, a contact sensor, a proximity sensor, a sonic sensor, a capacitive sensor, and a magnetic sensor.
  • the sensor may include a vertical proximity sensor.
  • the sensor may include a horizontal proximity sensor.
  • the system may include a plurality of sensors diagonally arranged.
  • the system may include a plurality of proximity sensors in a plurality of locations.
  • the sensor may include a sensor for detecting movement of one or more of the workpiece, the robotic component, or an effector arm.
  • the system may include a plurality of sensors used to determine a position of the robotic component.
  • the system may include a plurality of sensors positioned to detect a final position of the robotic component.
  • the final position may be an extended position or a retracted position or an interim position between an extended position and a retracted position.
  • the sensor may provide a signal used to verify a path of the workpiece.
  • the sensor may detect the workpiece shifting out of location.
  • the fabrication process may be stopped in response to a signal from the sensor that the workpiece has shifted out of location.
  • the robotic arm may move the workpiece to a safe location.
  • the robotic arm may move the workpiece automatically.
  • the robotic arm may move the workpiece under user control.
  • the sensor may be used to prevent collision of at least one of the robotic arm or the workpiece with the manufacturing facility.
  • the sensor may communicate to a transmitter.
  • the transmitter may include a wireless transmitter.
  • the wireless transmitter may communicate a sensor signal from the sensor to a wireless receiver.
  • the wireless receiver may be connected to a processor.
  • the processor may indicate to a user a location of the sensor.
  • the sensor signal may indicate a location of the sensor.
  • the system may include a battery that supplies power to the sensor.
  • the system may include a battery that supplies power to a transmitter coupled to the sensor.
  • the sensors may be used to train the robotic component.
  • the robotic component may be trained in a vacuum.
  • the sensor may be wirelessly coupled to an external receiver, thereby preventing a need to vent the vacuum to atmosphere and the need to bake moisture out of a processing module of the wafer fabrication system after exposure to atmospheric conditions.
  • Sensor feedback may provide a position of the sensor.
  • the sensor may be attached to the workpiece.
  • the sensor may be placed within a processing module of the wafer fabrication system.
  • the sensor may be used in a hazardous environment.
  • a user may control the robotic component based upon sensor feedback.
  • the sensor may be used for non-collision training of the robotic component.
  • the non-collision training prevents collision of at least one of the workpiece or the robotic component.
  • the sensor may provide a location of the robotic component, the robotic component including one or more robotic arms.
  • the sensor may provide a location of the workpiece, the workpiece including a semiconductor wafer.
  • the sensor may provide an orientation of the workpiece, the workpiece including a semiconductor wafer.
  • the sensor may be positioned within a processing module of the wafer fabrication system.
  • a method for instrumenting a robotic wafer manufacturing system as describe herein may include: providing a robotic component; providing a workpiece; and positioning a sensor on at least one of the robotic component, the workpiece, or a chamber of a processing module surrounding the robotic component and the workpiece, the sensor monitoring a fabrication process performed on the workpiece by the robotic component.
  • Another method may include providing a robotic arm for a semiconductor manufacturing process; and providing a plurality of sensors for detecting a position within a processing module of the manufacturing process, the position including a vertical position and a horizontal position.
  • the sensors may detect a position of an end effector of the robotic arm.
  • the sensors may detect a position of the robotic arm.
  • the sensors may detect a position of a workpiece held by an end effector of the robotic arm.
  • the workpiece may be a wafer and at least one of the sensors may be positioned to be covered by the wafer when the robotic arm is being retracted.
  • the workpiece may be a wafer and at least one of the sensors may be placed outside a radius of the wafer so that the sensor detects a leading edge of the wafer and a trailing edge of the wafer during a movement of the wafer between an extended and a retracted position of the robotic arm.
  • a detection of the leading edge and the trailing edge is used to determine whether the wafer is centered on an effector of the robotic arm.
  • the sensors may include an optical beam-breaking sensor. At least two of the sensors may be positioned across a vacuum chamber from each other. The sensors may be arranged along a diagonal of a vacuum chamber.
  • the method may further include providing a mirror to direct a beam from at least one of the sensors within a vacuum chamber. The method may further include detecting a position of the robotic arm to train the robotic arm to perform a semiconductor handling action.
  • a handling method disclosed herein may include providing a workpiece with a sensor for detecting a condition in proximity to the workpiece; disposing the workpiece in a handling system; and receiving data from the sensor in order to detect a condition related to handling of the workpiece by the handling system.
  • the workpiece may be a semiconductor wafer.
  • the workpiece may be configured in the shape of a semiconductor wafer.
  • the sensor may be at least one of a proximity sensor, a capacitive sensor, an optical sensor, a thermometer, a pressure sensor, a chemical sensor, a radiation detector, and a magnetic sensor.
  • the method may include transmitting radio frequency data from the sensor.
  • the method may include communicating data from the sensor to the handling system.
  • the method may include detecting a proximity of the workpiece to a feature of the handling system.
  • the method may include training the robotic arm in a semiconductor handling process using data from the sensor.
  • the handling system may be a semiconductor handling system.
  • a system described herein may include a handling system; a workpiece disposed within the handling system; and a sensor connected to the workpiece, the sensor detecting a condition in proximity to the workpiece, the sensor is providing a signal related to the condition.
  • the workpiece may be a semiconductor wafer.
  • the workpiece may be configured in the shape of a semiconductor wafer.
  • the sensor may be at least one of a proximity sensor, a capacitive sensor, an optical sensor, a thermometer, a pressure sensor, a chemical sensor, a radiation detector, and a magnetic sensor.
  • the system may include a transmitter for transmitting radio frequency data from the sensor.
  • the workpiece may have a data connection to the handling system.
  • the sensor may detect a proximity of the workpiece to a feature of the handling system.
  • the robotic arm may be trained to perform a semiconductor handling process using data from the sensor.
  • the handling system may be a semiconductor handling system.
  • a system described herein may include a robotic component positioned in a vacuum maintained in a chamber of a vacuum manufacturing process; and one or more drives for actuating movement of the robotic component, the one or more drives including motor drive hardware external to the vacuum.
  • the motor drive hardware may include one or more electrical wires.
  • the motor drive hardware may include one or more encoders.
  • the motor drive hardware may include one or more signal LEDs.
  • the motor drive hardware may include one or more pick-ups.
  • the motor drive hardware may include one or more bearings.
  • the motor drive hardware may include one or more magnets.
  • the motor drive hardware may be sealed from the vacuum, such as using lip-seals or ferrofluidic seals. There may be a minimal outgassing of components.
  • the system may include a vacuum pump that provides quick vacuum pump downs. Serviceability of the motor drive hardware may be improved by permitting access to the motor drive hardware without releasing the vacuum in the processing module.
  • the motor drive hardware may include robot drives.
  • the motor drive hardware may be external to the processing module.
  • the motor drive hardware may be positioned to present minimal surface in the vacuum. Minimal materials may be used to minimize outgassing. Positioning of motor drive hardware outside the vacuum may provide for quicker pump down.
  • the system may include at least one drive cavity for the robotic component. The vacuum may be maintained in the drive cavity. The volume of the drive cavity may be small.
  • a system for driving a robot in a vacuum-based semiconductor handling system as described herein may include a drive cartridge that provides rotary drive force to a drive shaft for a robot; and a rotary seal unit, wherein the rotary seal unit seals the drive cartridge outside the vacuum while the drive shaft is disposed in the vacuum.
  • the drive cartridge may include a pair of drive cartridges each having an integral encoder, bearings, and magnets.
  • the rotary seal unit may be a concentric, multiple-shaft rotary seal unit.
  • the rotary seal unit may use a lip seal.
  • the rotary seal unit may use a ferrofluidic seal.
  • the drive cartridge may be coupled to the drive shaft for removability and replaceability.
  • a method described herein may include positioning a robotic component in a vacuum maintained in a chamber of a vacuum manufacturing process; positioning one or more drives for actuating movement of the robotic component outside the vacuum, the one or more drives including motor drive hardware; and coupling the robotic component to the one or more drives through a vacuum-sealed interface.
  • the motor drive hardware may include one or more electrical wires, one or more encoders, one or more signal LEDs, one or more pick-ups, one or more bearings, and/or one or more magnets.
  • the motor drive hardware may be sealed from the vacuum, such as using lip-seals or ferrofluidic seals. There may be a minimal outgassing of components.
  • a vacuum pump may provide quick vacuum pump downs.
  • the serviceability of the motor drive hardware may be improved by permitting access to the motor drive hardware without releasing the vacuum in the processing module.
  • the motor drive hardware may include robot drives.
  • the motor drive hardware may be external to the processing module.
  • the motor drive hardware may be positioned to present minimal surface in the vacuum. Minimal materials may be used for to achieve minimal outgassing.
  • the motor drive hardware may be positioned outside the vacuum to provide for quicker pump down.
  • the method may include providing at least one drive cavity for the robotic component. A vacuum may be maintained in the drive cavity. The volume of the cavity may be small.
  • a system described herein may include a robotic component positioned in a vacuum maintained in a chamber of a vacuum manufacturing process; one or more components of motor drive hardware external to the vacuum; and coupling means for coupling the one or more components of motor drive hardware to the robotic component.
  • a semiconductor manufacturing system disclosed herein may include a plurality of vertically stacked loading stations; and a plurality of vertically stacked processing modules.
  • One of the plurality of vertically stacked loading stations may feed a manufacturing process that includes one or more of the plurality of vertically stacked processing modules.
  • a second one of the plurality of vertically stacked loading stations may be loaded while the one of the plurality of vertically stacked loading stations feeds the manufacturing process.
  • Loading of the plurality of vertically stacked loading modules may be coordinated to minimize wait time.
  • the plurality of vertically stacked processing modules may be arranged to reduce a footprint for the system. At least one robot may be able to access any one of the vertically stacked load stations.
  • the system may include a plurality of vertically stacked exit stations.
  • At least one robotic component may be able to access any one of the vertically stacked exit stations. At least one robotic component may be able to access more than one vertically stacked process module. At least one robotic component may be able to access more than one horizontally adjacent processing module.
  • the system may include at least one holding station between two horizontally adjacent processing modules.
  • the system may include one or more vertically stacked mid-entry stations.
  • the system may include at least one robotic component that can access more than one vertically stacked mid-entry station.
  • a workpiece may move through a plurality different paths of adjacent processing modules.
  • the plurality of vertically stacked processing modules may include one or more vacuum-based processing modules.
  • the system may include a plurality of vertically stacked load locks disposed in proximity to at least one of an entry point or an exit point of the semiconductor manufacturing process.
  • the plurality of vertically stacked processing modules may be arranged in a substantially linear configuration.
  • the system may include one or more robotic arms that move workpieces among the plurality of vertically stacked processing modules.
  • the system may include at least one of a top robotic arm set and a bottom robotic arm set. At least one of the one or more robotic arms may move vertically to access a top process module of a one of the plurality of vertically stacked process modules and a bottom process module of the one of the plurality of vertically stacked process modules.
  • At least one of the plurality of vertically stacked process modules may include more than two process modules in a vertical stack.
  • a method for arranging processing modules in a semiconductor manufacturing process comprising: providing a plurality of processing modules; arranging at least two of the plurality of processing modules so that they are horizontally adjacent; and arranging at least two of the plurality of processing modules so that they are vertically adjacent.
  • One of the plurality of vertically stacked loading stations may feed a manufacturing process that includes one or more of the plurality of vertically stacked processing modules.
  • a second one of the plurality of vertically stacked loading stations may be loaded while the one of the plurality of vertically stacked loading stations feeds the manufacturing process.
  • Loading of the plurality of vertically stacked loading stations is coordinated to minimize wait time.
  • the plurality of vertically stacked processing modules may be arranged to reduce a footprint for the system.
  • At least one robotic component may be capable of accessing any one of the vertically stacked load stations.
  • the method may include providing a plurality of vertically stacked exit stations.
  • At least one robotic component may be capable of accessing any one of the vertically stacked exit stations.
  • At least one robotic component may be capable of accessing more than one vertically stacked process module.
  • At least one robotic component may access more than one horizontally adjacent processing module.
  • the method may include providing at least one holding station between two horizontally adjacent processing modules.
  • the method may include providing one or more vertically stacked mid-entry stations. At least one robotic component may be capable of accessing more than one vertically stacked mid-entry station.
  • a workpiece may move through a plurality of different paths of adjacent processing modules.
  • the plurality of vertically stacked processing modules may include one or more vacuum-based processing modules.
  • the method may include providing a plurality of vertically stacked load locks disposed in proximity to at least one of an entry point or an exit point of the semiconductor manufacturing process.
  • the plurality of vertically stacked processing modules may be arranged in a substantially linear configuration.
  • the method may include providing one or more robotic arms that move workpieces among the plurality of vertically stacked processing modules.
  • the one or more robotic arms may include at least one of a top robotic arm set and a bottom robotic arm set. At least one of the one or more robotic arms can move vertically to access a top process module of a one of the plurality of vertically stacked process modules and a bottom process module of the one of the plurality of vertically stacked process modules. At least one of the plurality of vertically stacked process modules may include more than two process modules in a vertical stack.
  • a wafer fabrication method described herein may include providing a processing module having an operating temperature substantially above an ambient temperature; receiving a wafer for introduction into the processing module, the wafer having a temperature near the ambient temperature; and heating the wafer to a temperature that is closer to the operating temperature.
  • Heating the wafer may include heating the wafer in a preheating station before transfer to the processing module.
  • the method may further include cooling the wafer to a temperature that is closer to the ambient temperature before removing the wafer from a manufacturing process that includes the processing module. Cooling the wafer may include cooling the wafer to a temperature that prevents condensation on the wafer when the wafer is removed from the manufacturing process.
  • the method may include preheating a material handler before handling the wafer with the material handler. Heating the wafer may include heating the wafer to a temperature that prevents condensation on a surface of the wafer when the wafer is introduced into the processing module. Heating the wafer may include heating the wafer during a vacuum pump down of the processing module.
  • Heating the wafer may include heating the wafer to a temperature that prevents condensation on a surface of the wafer during an accelerated vacuum pump down of the processing module. Heating the wafer may include heating the wafer through an application of heat through a preheated material handler. The method may include controlling a cooling of the wafer by controlling a temperature of a material handler that handles the wafer.
  • a wafer fabrication system described herein may include a processing module having an operating temperature substantially above an ambient temperature; a wafer for introduction into the processing module, the wafer having a temperature near the ambient temperature; and heating means for heating the wafer to a temperature that is closer to the operating temperature.
  • a wafer fabrication system described herein may include a processing module having an operating temperature substantially above an ambient temperature; and a material handler that heats a wafer to a temperature that is closer to the operating temperature before introducing the wafer into the processing module.
  • Heating the wafer may include heating the wafer in a preheating station before transfer to the processing module.
  • the system may include a cooling means for cooling the wafer to a temperature that is closer to the ambient temperature before removing the wafer from a manufacturing process that includes the processing module. Cooling the wafer may include cooling the wafer to a temperature that prevents condensation on the wafer when the wafer is removed from the manufacturing process.
  • the material handler may be preheated before handling the wafer.
  • the wafer may be heated to a temperature that prevents condensation on a surface of the wafer when the wafer is introduced into the processing module.
  • the wafer may be heated during a vacuum pump down of the processing module.
  • the wafer may be heated to a temperature that prevents condensation on a surface of the wafer during an accelerated vacuum pump down of the processing module.
  • the wafer may be heated through an application of heat through a preheated material handler.
  • the wafer can be heated by a heater that heats the wafer itself.
  • This heater can be installed or contained in a load lock that is also heated independently from the wafer heater. This way one can independently control the load lock chamber (which primarily affects condensation during pumpdown), and wafer preheating or post cooling.
  • the load lock will have a large thermal mass, and so it may only react slowly to changes in the desired temperature.
  • the wafer heater can be created with a very small thermal mass, so that, for example, one could set the heater to 300 deg C during a pump down, and to 80 deg C during a vent.
  • the wafer may be cooled by controlling a temperature of a material handler that handles the wafer.
  • a semiconductor handling method including providing a load lock for delivering items to or receiving items from a vacuum-based semiconductor handling system; and heating the load lock.
  • the method may include heating the load lock during pumping down of the load lock.
  • the load lock may be heated to about fifty degrees C to about 100 degrees C.
  • the load lock may be heated to between ten degrees C and about 200 degrees C.
  • a semiconductor handling system described herein may include a load lock for delivering items to or receiving items from a vacuum-based semiconductor handling system; and a heating element for heating the load lock.
  • the load lock may be heated during pumping down of the load lock.
  • the load lock may be heated to about fifty degrees C to about 100 degrees C.
  • the load lock may be heated to between ten degrees C and about 200 degrees C.
  • a system described herein may include a component for material handling in a semiconductor manufacturing process, the component having a taper that establishes a non-uniform cross-section that mitigates a propagation of resonant vibrations in the component.
  • the component may include an end effector.
  • the top surface of the end effector may be flat.
  • the bottom surface of the end effector may be tapered.
  • the end effector may be made of cast material.
  • the taper may be designed into a casting for the cast material used to build the end effector.
  • the component may be a robotic arm.
  • the component may be a link of a robotic arm.
  • the system may include a plurality of tapered links, at least two of the links tapered in a manner to minimize a thickness of the tapered links when the tapered links are overlapped.
  • the component may include an end effector and a robotic arm, each of the end effector and the robotic arm being tapered.
  • a semiconductor handling method disclosed herein may include providing an end effector for handling a semiconductor wafer; and tapering the end effector to reduce resonant vibrations of the end effector.
  • the method may include constructing the end effector of aluminum silicon carbide.
  • a semiconductor handling method described herein may include providing a robotic arm facility; and tapering at least one link of the robotic arm facility to dampen vibrations of the robotic arm facility.
  • the method may include constructing at least one link of the robotic arm from aluminum silicon carbide.
  • a semiconductor handling method disclosed herein may include: positioning a plurality of robotic arms and a plurality of processing modules along an axis; and moving a workpiece among the plurality of processing modules by passing the workpiece from a first one of the plurality of robotic arms to a second one of the plurality of robotic arms.
  • the axis may be linear.
  • the axis may be curvilinear.
  • the axis may form a substantially U-shaped.
  • the plurality of robotic arms may include a SCARA arm.
  • the plurality of robotic arms may include a four-link SCARA arm.
  • the plurality of robotic arms may include a three-link SCARA arm.
  • the plurality of robotic arms may include linked pairs of robotic arms, each linked pair including two vertically disposed robotic arms.
  • a semiconductor handling system as disclosed herein may include: a plurality of robotic arms and a plurality of processing modules arranged along an axis; and passing means for moving a workpiece among the plurality of processing modules by passing the workpiece from a first one of the plurality of robotic arms to a second one of the plurality of robotic arms.
  • a method for semiconductor handling as disclosed herein may include: providing a first robotic arm for handling a workpiece; and disposing a second robotic arm for handling the workpiece in a position substantially vertically with respect to the first robotic arm.
  • the method may include mechanically coupling the first robotic arm to the second robotic arm.
  • the method may include mechanically decoupling the first robotic arm from the second robotic arm.
  • At least one of the first robotic arm and the second robotic arm may be a SCARA arm.
  • At least one of the first robotic arm and the second robotic arm may be a four-link SCARA arm.
  • At least one of the first robotic arm and the second robotic arm is a three-link SCARA arm.
  • a semiconductor handling system describe herein may include: a first robotic arm for handling a workpiece, the robotic arm positioned within a processing module; and a second robotic arm for handling the workpiece, the second robotic arm positioned within the processing module in a position substantially vertically with respect to the first robotic arm.
  • the first robotic arm may be mechanically coupled to the second robotic arm.
  • the first robotic arm may be mechanically decoupled from the second robotic arm.
  • At least one of the first robotic arm and the second robotic arm may be a SCARA arm.
  • At least one of the first robotic arm and the second robotic arm may be a four-link SCARA arm.
  • At least one of the first robotic arm and the second robotic arm may be a three-link SCARA arm.
  • a system disclosed herein may include: a robotic drive; an end effector for manipulating items; a robotic arm that connects the robotic drive mechanism to the end effector, the robotic arm including four or more links; one or more connectors that mechanically couple the four or more links to each other such that the end effector moves in a substantially linear direction under control of the robotic drive.
  • Each of the links may have a length selected to optimize a reach-to-containment ratio of the robotic arm.
  • Each of the links may have a length selected to avoid collision with a nearby component of the handling system.
  • the system may include a controller that controls operation of the robotic drive.
  • the controller may be a remote controller.
  • the controller may be integrated with a visualization software program.
  • the controller may control more than one robotic arm.
  • a link of the robotic arm proximal to the end effector may include an offset wrist to allow the arm to fold.
  • the robotic arm may include at least one link having a cutout into which at least one other link can fold. At least two consecutive links of the robotic arm may be stacked with a vertical gap so that at least one other link of the robotic arm can fold in the vertical space between the at least two consecutive links.
  • the system may include at least one bypass spline between links.
  • a method disclosed herein may include providing a robotic drive, an end effector for manipulating items, and a robotic arm that connects the robotic drive mechanism to the end effector, the robotic arm including four or more links; and interconnecting the four or more links to each other such that the end effector moves in a substantially linear direction under control of the robotic drive.
  • Each of the links may have a length selected to optimize a reach-to-containment ratio of the robotic arm.
  • Each of the links may have a length selected to avoid collision with a nearby component of the handling system.
  • the method may include controlling operation of the robotic drive with a controller.
  • the controller may be integrated with a visualization software program.
  • the controller may control more than one robotic arm.
  • a link of the robotic arm proximal to the end effector may include an offset wrist to allow the arm to fold.
  • the robotic arm may include at least one link having a cutout into which at least one other link can fold.
  • At least two consecutive links of the robotic arm may be stacked with a vertical gap so that at least one other link of the robotic arm can fold in the vertical space between the at least two consecutive links.
  • At least two consecutive links of the robotic arm may be stacked with a vertical gap so that the robotic arm is able to reach a predefined transfer plane without colliding with components of the handling system.
  • the method may include providing at least one bypass
  • a system disclosed herein may include a plurality of process modules for a semiconductor fabrication process disposed about a substantially linear track; a cart moveably coupled to the linear track and configured to move along the linear track; and a robotic arm disposed on the cart for manipulating workpieces among the plurality of process modules.
  • the robotic arm may include a SCARA arm.
  • the SCARA arm may include a four-link SCARA arm.
  • the SCARA arm may include a three-link SCARA arm.
  • a semiconductor handling system described herein may include a vacuum processing system disposed in a substantially linear configuration having a loading end and an exit end; and a non-vacuum return system for returning an item from the exit end to the loading end.
  • the non-vacuum return system may be disposed above the vacuum processing system.
  • the non-vacuum return system may be disposed below the vacuum processing system.
  • the non-vacuum return system may be disposed beside the vacuum processing system.
  • the non-vacuum return system may be disposed within the vacuum processing system.
  • the non-vacuum return system may include a load lock at the exit end for moving the item from the vacuum processing system to the non-vacuum return system.
  • the non-vacuum return system may include a slide mechanism and a gripper for moving the item from the exit end to the loading end.
  • the vacuum processing system may include a plurality of processing modules.
  • the vacuum processing system may include one or more robotic arms that move the item among the processing modules.
  • the system may include a plurality of robotic arms that move the item by passing the item from a first one of the plurality of robotic arms to a second one of the plurality of robotic arms.
  • the plurality of robotic arms may include a SCARA arm.
  • the plurality of robotic arms may include a four-link SCARA arm.
  • the plurality of robotic arms may include a three-link SCARA arm.
  • the plurality of robotic arms may include at least one pair of linked robotic arms disposed vertically with respect to each other.
  • the plurality of processing modules may vary in footprint by a factor of two or more.
  • the system may include a semiconductor fabrication facility, the semiconductor fabrication facility including a plurality of linear semiconductor handling systems, the plurality of linear semiconductor handling systems arranged side-by-side so that the loading ends of the plurality of linear semiconductor handling systems face a corridor of the semiconductor fabrication facility.
  • a semiconductor manufacturing facility described herein may include at least one tumble gripper for receiving a semiconductor wafer, the tumble gripper including a pair of gripping modules, wherein each gripping module is configured to receive one of a pair of parallel edges of the semiconductor wafer, wherein each gripping module rotates upon receiving a semiconductor wafer into a position wherein a horizontal portion of the gripping module supports the semiconductor wafer in a horizontal plane and a vertical portion of the gripping module prevents the semiconductor wafer from moving in the horizontal plane.
  • a method of handling a semiconductor wafer as described herein may include providing an end effector for holding a semiconductor wafer, wherein the end effector includes a receiving slot configured to support the semiconductor wafer on a horizontal plane while preventing the semiconductor wafer from moving in the horizontal plane and wherein the end effector includes a ramp configured to slide the semiconductor wafer into the receiving slot when the semiconductor wafer is placed onto the end effector.
  • a semiconductor handling system as described herein may include a plurality of robotic arms, at least two of the plurality of robotic arms sharing a common drive facility. At least of the plurality of robotic arms may be a SCARA arm. At least one of the plurality of robotic arms is a four-link SCARA arm. At least two of the plurality of robotic arms may operate independently, or may operate dependently.
  • a semiconductor handling system described herein may include a robotic arm having a frog-leg arm configuration, the frog-leg arm configuration including at least two pairs of frog leg arms.
  • robot shall include any kind of known robot or similar device or facility that includes a mechanical capability and a control capability, which may include a combination of a controller, processor, computer, or similar facility, a set of motors or similar facilities, one or more resolvers, encoders or similar facilities, one or more mechanical or operational facilities, such as arms, wheels, legs, links, claws, extenders, grips, nozzles, sprayers, effectors, actuators, and the like, as well as any combination of any of the above.
  • One embodiment is a robotic arm.
  • drive shall include any form of drive mechanism or facility for inducing motion. In embodiments it includes the motor/encoder section of a robot.
  • axis shall include a motor or drive connected mechanically through linkages, belts or similar facilities, to a mechanical member, such as arm member.
  • An “N-axis drive” shall include a drive containing N axes; for example a “2-axis drive” is a drive containing two axes.
  • arm shall include a passive or active (meaning containing motors/encoders) linkage that may include one or more arm or leg members, bearings, and one or more end effectors for holding or gripping material to be handled.
  • SCARA arm shall mean a Selectively Compliant Assembly Robot Arm (SCARA) robotic arm in one or more forms known to those of skill in the art, including an arm consisting of one or more upper links connected to a drive, one or more lower links connected through a belt or mechanism to a motor that is part of the drive, and one or more end units, such as an end effector or actuator.
  • SCARA Selectively Compliant Assembly Robot Arm
  • turn radius shall mean the radius that an arm fits in when it is fully retracted.
  • each shall include, with respect to a robotic arm, the maximum reach that is obtained when an arm is fully extended. Usually the mechanical limit is a little further out than the actual effective reach, because it is easier to control an arm that is not completely fully extended (in embodiments there is a left/right singularity at full extension that can be hard to control).
  • “containment” shall mean situations when the arm is optimally retracted such that an imaginary circle can be drawn around the arm/end effector/material that is of minimum radius.
  • the “reach-to-containment ratio” shall mean, with respect to a robotic arm, the ratio of maximum reach to minimum containment.
  • robot-to-robot distance shall include the horizontal distance between the mechanical central axis of rotation of two different robot drives.
  • slot valve shall include a rectangular shaped valve that opens and closes to allow a robot arm to pass through (as opposed to a vacuum (isolation) valve, which controls the pump down of a vacuum chamber).
  • SEMI E21.1-1296 standard (a published standard for semiconductor manufacturing) the slot valve for 300 mm wafers in certain semiconductor manufacturing process modules has an opening width of 336 mm, a opening height of 50 mm and a total valve thickness of 60 mm with the standard also specifying the mounting bolts and alignment pins.
  • transfer plane shall include the plane (elevation) at which material is passed from a robot chamber to a process module chamber through a slot valve.
  • the transfer plane is 14 mm above the slot valve centerline.
  • section shall include a vacuum chamber that has one or more robotic drives in it. This is the smallest repeatable element in a linear system.
  • link shall include a mechanical member of a robot arm, connected on both ends to another link, an end effector, or the robot drive.
  • L 1 ,” “L 2 ”, “L 3 ” or the like shall include the numbering of the arm links starting from the drive to the end effector.
  • end effector shall include an element at an active end of a robotic arm distal from the robotic drive and proximal to an item on which the robotic arm will act.
  • the end effector may be a hand of the robot that passively or actively holds the material to be transported in a semiconductor process or some other actuator disposed on the end of the robotic arm.
  • SCARA arm refers to a robotic arm that includes one or more links and may include an end effector, where the arm, under control, can move linearly, such as to engage an object.
  • a SCARA arm may have various numbers of links, such as 3, 4, or more.
  • 3-link SCARA arm shall include a SCARA robotic arm that has three members: link one (L 1 ), link two (L 2 ) and an end effector.
  • a drive for a 3-link SCARA arm usually has 3 motors: one connected to L 1 , one to the belt system, which in turn connects to the end effector through pulleys and a Z (lift) motor.
  • dual SCARA arm shall include a combination of two SCARA arms (such as two 3 or 4-link SCARA arms (typically designated A and B)) optionally connected to a common drive.
  • the two SCARA arms are either completely independent or share a common link member L 1 .
  • a drive for a dual independent SCARA arm usually has either five motors: one connected to L 1 -A, one connected to L 1 -B, one connected to the belt system of arm A, one connected to the belt system of arm B, and a common Z (lift) motor.
  • a drive for a dual dependent SCARA arm usually has a common share L 1 link for both arms A and B and contains typically four motors: one connected to the common link L 1 , one connected to the belt system for arm A, one connected to the belt system for arm B, and a common Z (lift) motor.
  • 4-link SCARA arm shall include an arm that has four members: L 1 , L 2 , L 3 and an end effector.
  • a drive for a 4-link SCARA arm can have four motors: one connected to L 1 , one to the belt systems connected to L 2 and L 3 , one to the end effector and a Z motor. In embodiments only 3 motors are needed: one connected to L 1 , one connected to the belt system that connects to L 2 , L 3 and the end effector, and a Z motor.
  • “Frog-leg style arm” shall include an arm that has five members: L 1 A, L 1 B, L 2 A, L 3 B and an end effector.
  • a drive for a frog-leg arm can have three motors, one connected to L 1 A—which is mechanically by means of gearing or the like connected to L 1 B—, one connected to a turret that rotates the entire arm assembly, and a Z motor.
  • the drive contains three motors, one connected to L 1 A, one connected to LIB and a Z motor and achieves the desired motion through coordination between the motors.
  • Double Frog-leg style arm shall include an arm that has eight members L 1 A, L 1 B, L 2 A- 1 , L 2 A- 2 , L 2 B- 1 , L 2 B- 2 and two end effectors.
  • the second link members L 2 A- 1 and L 2 B- 1 form a single Frog-leg style arm, whereas the second link members L 2 A- 2 and L 2 B- 2 also form a single Frog-leg style arm, however facing in an opposite direction.
  • a drive for a dual frog arm may be the same as for a single frog arm.
  • Leap Frog-leg style arm shall include an arm that has eight members L 1 A, L 1 B, L 2 A- 1 , L 2 A- 2 , L 2 B- 1 , L 2 B- 2 and two end effectors.
  • the first link members L 1 A and LIB are each connected to one of the motors substantially by their centers, rather than by their distal ends.
  • the second link members L 2 A- 1 and L 2 B- 1 form a single Frog-leg style arm, whereas the second link members L 2 A- 2 and L 2 B- 2 also form a single Frog-leg style arm, however facing in the same direction.
  • a drive for a dual frog arm may be the same as for a single frog arm.
  • FIG. 1 shows equipment architectures for a variety of manufacturing equipment types.
  • FIG. 2 shows a conventional, cluster-type architecture for handling items in a semiconductor manufacturing process.
  • FIGS. 3A and 3B show a series of cluster-type systems for accommodating between two and six process modules.
  • FIG. 4 shows high-level components of a linear processing architecture for handling items in a manufacturing process.
  • FIG. 5 shows a top view of a linear processing system, such as one with an architecture similar to that of FIG. 4 .
  • FIG. 6 shows a 3-link SCARA arm and a 4-link SCARA arm.
  • FIG. 7 shows reach and containment characteristics of a SCARA arm.
  • FIG. 8 shows high-level components for a robot system.
  • FIG. 9 shows components of a dual-arm architecture for a robotic arm system for use in a handling system.
  • FIG. 10 shows reach and containment capabilities of a 4-link SCARA arm.
  • FIG. 11 shows interference characteristics of a 4-link SCARA arm.
  • FIG. 12 shows a side view of a dual-arm set of 4-link SCARA arms using belts as the transmission mechanism.
  • FIG. 13 shows a side view of a dual-arm set of 4-link SCARA arms using a spline link as the transmission mechanism.
  • FIG. 14 shows an external return system for a handling system having a linear architecture.
  • FIG. 14 a shows a U-shaped configuration for a linear handling system.
  • FIG. 15 shows certain details of an external return system for a handling system of FIG. 14 .
  • FIG. 16 shows additional details of an external return system for a handling system of FIG. 14 .
  • FIG. 17 shows movement of the output carrier in the return system of FIG. 14 .
  • FIG. 18 shows handling of an empty carrier in the return system of FIG. 14 .
  • FIG. 19 shows movement of the empty carrier in the return system of FIG. 14 into a load lock position.
  • FIG. 20 shows the empty carrier lowered and evacuated and movement of the gripper in the return system of FIG. 14 .
  • FIG. 21 shows an empty carrier receiving material as a full carrier is being emptied in the return system of FIG. 14 .
  • FIG. 22 shows an empty carrier brought to a holding position, starting a new return cycle in the return system of FIG. 14 .
  • FIG. 23 shows an architecture for a handling facility for a manufacturing process, with a dual-arm robotic arm system and a return system in a linear architecture.
  • FIG. 24 shows an alternative embodiment of an overall system architecture for a handling method and system of the present invention.
  • FIG. 25 shows a comparison of the footprint of a linear system as compared to a conventional cluster system.
  • FIG. 26 shows a linear architecture deployed with oversized process modules in a handling system in accordance with embodiments of the invention.
  • FIG. 27 shows a rear-exit architecture for a handling system in accordance with embodiments of the invention.
  • FIG. 28 shows a variety of layout possibilities for a fabrication facility employing linear handling systems in accordance with various embodiments of the invention.
  • FIG. 29 shows an embodiment of the invention wherein a robot may include multiple drives and/or multiple controllers.
  • FIG. 30 shows transfer plane and slot valve characteristics relevant to embodiments of the invention.
  • FIG. 31 shows a tumble gripper for centering wafers.
  • FIG. 32 shows a passive sliding ramp for centering wafers.
  • FIG. 33 illustrates a fabrication facility including a mid-entry facility.
  • FIG. 34 illustrates a fabrication facility including a mid-entry facility from a top view.
  • FIG. 35 illustrates a fabrication facility including the placement of optical sensors for detection of robotic arm position and materials in accordance with embodiments of the invention.
  • FIG. 36 illustrates a fabrication facility in a cross-sectional side view showing optical beam paths and alternatives beam paths.
  • FIG. 37 illustrates how optical sensors can be used to determine the center of the material handled by a robotic arm.
  • FIG. 38 shows a conventional 3-axis robotic vacuum drive architecture
  • FIG. 39 shows a novel 3-axis robotic vacuum drive architecture in accordance with embodiments of the invention.
  • FIG. 40 illustrates a vertically arranged load lock assembly in accordance with embodiments of the invention.
  • FIG. 40B illustrates a vertically arranged load lock assembly at both sides of a wafer fabrication facility in accordance with embodiments of the invention.
  • FIG. 41 shows a vertically arranged load lock and vertically stacked process modules in accordance with embodiments of the invention.
  • FIG. 42 shows a linearly arranged, two-level handling architecture with vertically stacked process modules in a cross-sectional side view in accordance with embodiments of the invention.
  • FIG. 43 shows the handling layout of FIG. 42 in a top view.
  • FIG. 44 shows an instrumented object on a robotic arm with sensors to detect proximity of the object to a target, in accordance with embodiments of the invention.
  • FIG. 45 illustrates how the movement of sensors over a target can allow the robotic arm to detect its position relative to the obstacle.
  • FIG. 46 shows how an instrumented object can use radio frequency communications in a vacuum environment to communicate position to a central controller.
  • FIG. 47 illustrates the output of a series of sensors as a function of position.
  • FIG. 48 illustrates how heating elements can be placed in a load lock for thermal treatment of objects in accordance with embodiments of the invention.
  • FIG. 49 shows an end effector tapered in two dimensions, which reduces active vibration modes in the end effector.
  • FIG. 50 shows how vertical tapering of robotic arm elements for a robot planar arm can be used to reduce vibration in the arm set, without significantly affecting vertical stacking height.
  • FIG. 51 illustrates a dual independent SCARA robotic arm.
  • FIG. 52 illustrates a dual dependent SCARA robotic arm.
  • FIG. 53 illustrates a frog-leg style robotic arm.
  • FIG. 54 illustrates a dual Frog-leg style robotic arm.
  • FIG. 55A illustrates a 4-Link SCARA arm mounted on a moveable cart, as well as a 4-Link SCARA arm mounted on an inverted moveable cart.
  • FIG. 55B illustrates a top view of FIG. 55A .
  • FIG. 56 illustrates using a 3-Link single or dual SCARA arm robotic system to pass wafers along a substantially a linear axis.
  • FIG. 57 illustrates a 2-level vacuum handling robotic system where the top and bottom process modules are accessible by means of a vertical axis in the robotic arms.
  • FIG. 58A shows a two level processing facility where substrates are passed along a substantially linear axis on one of the two levels.
  • FIG. 58B illustrates a variation of FIG. 58 a where substrates are removed from the rear of the system.
  • FIG. 59A shows a manufacturing facility which accommodates very large processing modules in a substantially linear axis. Service space is made available to allow for access to the interior of the process modules.
  • FIG. 59B illustrates a more compact layout for 4 large process modules and one small process module.
  • FIG. 60 illustrates a dual Frog-Leg style robotic manipulator with substrates on the same side of the system.
  • FIG. 1 shows equipment architectures 1000 for a variety of manufacturing equipment types.
  • Each type of manufacturing equipment handles items, such as semiconductor wafers, between various processes, such as chemical vapor deposition processes, etching processes, and the like.
  • semiconductor manufacturing processes are typically extremely sensitive to contaminants, such as particulates and volatile organic compounds, the processes typically take place in a vacuum environment, in one or more process modules that are devoted to specific processes.
  • Semiconductor wafers are moved by a handling system among the various processes to produce the end product, such as a chip.
  • Various configurations 1000 exist for handling systems.
  • a prevalent system is a cluster tool 1002 , where process modules are positioned radially around a central handling system, such as a robotic arm.
  • a handling system can rotate items horizontally, such as in the embodiment 1004 .
  • An important aspect of each type of tool is the “footprint,” or the area that the equipment takes up in the semiconductor manufacturing facility. The larger the footprint, the more space required to accommodate multiple machines in a fabrication facility. Also, larger footprints typically are associated with a need for larger vacuum systems, which increase greatly in cost as they increase in size.
  • the architecture 1004 rotates items in a “lazy susan” facility.
  • the architecture in 1006 moves items in and out of a process module where the process modules are arranged next to each other.
  • the architecture 1008 positions process modules in a cluster similar to 1002 , with the difference that the central robot handles two wafers side by side.
  • FIG. 2 shows a conventional cluster-type architecture 2000 for handling items in a semiconductor manufacturing process.
  • a robotic arm 2004 moves items, such as wafers, among various process modules 2002 that are positioned in a cluster around the robotic arm 2004 .
  • An atmospheric substrate handling mini-environment chamber 2008 receives materials for handling by the equipment and holds materials once processing is complete. Note how difficult it would be to add more process modules 2002 . While one more module 2002 would potentially fit, the practical configuration is limited to five process modules 2002 . Adding a sixth module may significantly impact the serviceability of the equipment, in particular the robotic arm 2004 .
  • FIGS. 3A and 3B show cluster tool modules, atmospheric mini-environment handling chambers, vacuum handling chambers and other components 3000 from a flexible architecture system for a vacuum based manufacturing process.
  • Different modules can be assembled together to facilitate manufacturing of a desired process technology.
  • a given chip may require chemical vapor deposition of different chemical constituents (e.g., Titanium Nitride, Tungsten, etc.) in different process modules, as well as etching in other process modules.
  • the sequence of the processes in the different process modules produces a unique end product.
  • cluster tools can include configurations with two 3002 , three 3004 , four 3006 , five 3008 , 3010 or six 3012 process modules with staged vacuum isolation. Other components can be supplied in connection with the equipment.
  • FIG. 4 shows high-level components of a linear processing architecture 4000 for handling items in a manufacturing process.
  • the architecture uses two or more stationary robots 4002 arranged in a linear fashion.
  • the robots 4002 can be either mounted in the bottom of the system or hang down from the chamber lid or both at the same time.
  • the linear system uses a vacuum chamber 4012 around the robot.
  • the system could be comprised of multiple connected vacuum chambers 4012 , each with a vacuum chamber 4012 containing its own robot arranged in a linear fashion.
  • a single controller could be set up to handle one or more sections of the architecture.
  • vacuum chambers 4012 sections are extensible; that is, a manufacturer can easily add additional sections/chambers 4012 and thus add process capacity, much more easily than with cluster architectures.
  • each section uses independent robot drives 4004 and arms 4002 , the throughput may stay high when additional sections and thus robots are added.
  • cluster tools when the manufacturer adds process chambers 2002 , the system increases the load for the single robot, even if that robot is equipped with a dual arm, eventually the speed of the robot can become the limiting factor.
  • systems address this problem by adding additional robot arms 4002 into a single drive.
  • Other manufacturers have used a 4-axis robot with two completely independent arms such as a dual SCARA or dual Frog-leg robots.
  • the linear system disclosed herein may not be limited by robot capacity, since each section 4012 contains a robot, so each section 4012 is able to transport a much larger volume of material than with cluster tools.
  • the components of the system can be controlled by a software controller, which in embodiments may be a central controller that controls each of the components.
  • the components form a linkable handling system under control of the software, where the software controls each robot to hand off a material to another robot, or into a buffer for picking up by the next robot.
  • the software control system may recognize the addition of a new component, such as a process module or robot, when that component is plugged into the system, such as recognizing the component over a network, such as a USB, Ethernet, firewire, Bluetooth, 802.11 a, 802.11 a, 802.11 g or other network.
  • a software scheduler for the flow of a material to be handled, such as a wafer, can be reconfigured automatically so that the materials can be routed over the new link in the system.
  • the software scheduler is based on a neural net, or it can be a rule-based scheduler.
  • process modules can make themselves known over such a network, so that the software controller knows what new process modules, robots, or other components have been connected. When a new process module is plugged into an empty facet, the system can recognize it and allow it to be scheduled into the flow of material handling.
  • the software system may include an interface that permits the user to run a simulation of the system.
  • the interface may allow a user to view the linking and configuration of various links, robotic arms and other components, to optimize configuration (such as by moving the flow of materials through various components, moving process modules, moving robots, or the like), and to determine what configuration to purchase from a supplier.
  • the interface may be a web interface.
  • the methods and system disclosed herein can use optional buffer stations 4010 between robot drives. Robots could hand off to each other directly, but that is technically more difficult to optimize, and would occupy two robots, because they would both have to be available at the same time to do a handoff, which is more restrictive than if they can deposit to a dummy location 4010 in-between them where the other robot can pick up when it is ready.
  • the buffer 4010 also allows higher throughput, because the system does not have to wait for both robots to become available.
  • the buffers 4010 may also offer a good opportunity to perform some small processing steps on the wafer such as heating, cooling, aligning, inspection, metrology, testing or cleaning.
  • the methods and systems disclosed herein use optional vacuum isolation valves 4006 between robot areas/segments 4012 .
  • Each segment 4012 can be fully isolated from any other segment 4012 . If a robot handles ultra clean and sensitive materials (e.g., wafers) in its segment 4012 , then isolating that segment 4012 from the rest of the system may prevent cross-contamination from the dirtier segment 4012 to the clean segment 4012 .
  • the manufacturer can now operate segments 4012 at different pressures. The manufacturer can have stepped vacuum levels where the vacuum gets better and better further into the machine.
  • the big advantage of using vacuum isolation valves 4006 between segments 4012 may be that handling of atomically clean wafers (created after cleaning steps and needing to be transported between process modules without contamination from the environment) can be done without out-gassing from materials or wafers in other parts of the system entering the isolated chamber segment 4012 .
  • vacuum isolation between robots is possible, as is material buffering between robots, such as using a buffer module 4010 , a mini-process module or an inspection module 4010 .
  • FIG. 5 shows a top view of a linear processing system 4000 , such as one with a linear architecture similar to that of FIG. 4 .
  • robots can be used in semiconductor manufacturing equipment, whether a cluster tool or a linear processing machine such as disclosed in connection with FIGS. 4 and 5 .
  • FIG. 6 shows a 3-link SCARA arm 6002 and a 4-link SCARA arm 6004 .
  • the 3-link or 4-link arms 6002 , 6004 are driven by a robot drive.
  • the 3-link arm 6002 is commonly used in industry.
  • the system is not optimized in that the reach-to-containment ratio is not very good.
  • the vacuum chambers need to be bigger, and since costs rise dramatically with the size of the vacuum chamber, having a 3-link SCARA arm 6002 can increase the cost of the system.
  • the overall footprint of the system becomes bigger with the 3-link SCARA arm 6002 .
  • the reach of a 3-link SCARA arm 6002 is less than that of a 4-link arm 6004 .
  • a manufacturer may wish to achieve a large, deep handoff into a process module, and the 4-link arm 6004 reaches much farther beyond its containment ratio. This has advantages in some non-SEMI-standard process modules. It also has advantages when a manufacturer wants to cover large distances between segments.
  • the 4-link arm 6004 is advantageous in that it folds in a much smaller containment ratio than a 3-link SCARA arm 6002 , but it reaches a lot further than a conventional 3-link SCARA 6002 for the same containment diameter. In combination with the ability to have a second drive and second 4-link arm 6004 mounted on the top of the system, it may allow for a fast material swap in the process module.
  • the 4-link SCARA arm 6004 may be mounted, for example, on top of a stationary drive as illustrated, or on top of a moving cart that provides the transmission of the rotary motion to actuate the arms and belts. In either case, the 4-link arm 6004 , optionally together with a second 4-link arm 6004 , may provide a compact, long-reach arm that can go through a small opening, without colliding with the edges of the opening.
  • FIG. 7 shows reach and containment characteristics of a 4-link SCARA arm 7004 .
  • the 4-link SCARA arm 7004 link lengths are not constrained by the optimization of reach to containment ratio as in some other systems. Optimization of the reach to containment ratio may lead to a second arm member that is too long. When the arm reaches through a slot valve that is placed as close as practical to the minimum containment diameter, this second arm member may collide with the inside edges of the slot valve. Thus the second (and third) links may be dimensioned based on collision avoidance with a slot valve that the arm is designed to reach through. This results in very different ratios between L 1 , L 2 and L 3 . The length of L 2 may constrain the length of L 3 .
  • An equation for optimum arm length may be a 4 th power equation amenable to iterative solutions.
  • FIG. 8 shows high-level components for a robot system 8002 , including a controller 8004 , a drive/motor 8008 , an arm 8010 , an end effector 8012 , and a material to be handled 8014 .
  • FIG. 9 shows components of a dual-arm 9002 architecture for a robotic arm system for use in a handling system.
  • One arm is mounted from the bottom 9004 and the other from the top 9008 .
  • both are 4-link SCARA arms.
  • Mounting the second arm on the top is advantageous.
  • the lower and upper drives are conventionally mechanically coupled.
  • the second (top) arm 9008 may optionally be included only if necessary for throughput reasons.
  • Another feature is that only two motors, just like a conventional SCARA arm, may be needed to drive the 4-link arm. Belts in the arm may maintain parallelism. Parallelism or other coordinated movements may also be achieved, for example, using parallel bars instead of belts.
  • the use of only two motors may provide a substantial cost advantage.
  • three motors may provide a functional advantage in that the last (L 4 ) link may be independently steered, however the additional belts, bearings, connections, shafts and motor may render the system much more expensive.
  • the extra belts may add significant thickness to the arm mechanism, making it difficult to pass the arm through a (SEMI standard) slot valve.
  • the use of fewer motors generally simplifies related control software.
  • the wrist may be offset from centerline. Since the ideal system has a top-mount 9008 as well as a bottom 9004 mount 4-link arm, the vertical arrangement of the arm members may be difficult to adhere to if the manufacturer also must comply with the SEMI standards. In a nutshell, these standards specify the size and reach requirements through a slot valve 4006 into a process module. They also specify the level above centerline on which a wafer has to be carried. Many existing process modules are compliant with this standard. In systems that are non-compliant, the slot valves 4006 are of very similar shape although the opening size might be slightly different as well as the definition of the transfer plane. The SEMI standard dimensional restrictions require a very compact packaging of the arms.
  • top 9008 and bottom 9004 arms can get closer together, making it easier for them to pass through the slot valve 4006 . If the wrist is not offset, then the arms need to stay further apart vertically and wafer exchanges may take more time, because the drives need to move more in the vertical direction.
  • the proposed design of the top arm does not require that there is a wrist offset, but a wrist offset may advantageously reduce the turn radius of the system, and allows for a better mechanical arm layout, so no interferences occur.
  • FIG. 10 shows reach and containment capabilities of a 4-link SCARA arm 6004 .
  • FIG. 11 shows interference characteristics 1102 of a 4-link SCARA arm 6004 .
  • the wrist offset may help to fold the arm in a smaller space than would otherwise be possible.
  • FIG. 12 shows a side view of a dual-arm set of 4-link SCARA arms 6004 . Because of the packaging constraints of particularly the top arm, it may be necessary to construct an arm that has some unique features. In embodiments, one link upon retracting partially enters a cutout in another arm link. Belts can be set in duplicate, rather than a single belt, so that one belt is above 12004 and one below 12008 the cutout.
  • One solution, which is independent of the fact that this is a 4-link arm, is to make L 2 significantly lower 12002 , with a vertical gap to L 1 , so that L 3 and L 4 can fold inside. Lowering L 2 12002 may allow L 3 and L 4 to reach the correct transfer plane and may allow a better containment ratio. Because of the transfer plane definition, the lowering of L 2 12002 may be required.
  • FIG. 13 shows an embodiment in which a combination of belts and linkages is used.
  • the transmission of motion through L 1 13002 and L 3 13006 may be accomplished by either a single belt or a dual belt arrangement.
  • the motion transmission in L 2 13004 may be accomplished by a mechanical linkage (spline) 13010 .
  • the advantage of such an arrangement may be that enclosed joints can be used which reduces the vertical dimension of the arm assembly that may allow an arm to more easily pass through a SEMI standard slot valve.
  • FIG. 14 shows an external return system for a handling system having a linear architecture 14000 .
  • the return mechanism is optionally on the top of the linear vacuum chamber.
  • the return path is often through the same area as the entry path. This opens up the possibility of cross contamination, which occurs when clean wafers that are moving between process steps get contaminated by residuals entering the system from dirty wafers that are not yet cleaned. It also makes it necessary for the robot 4002 to handle materials going in as well as materials going out, and it makes it harder to control the vacuum environment.
  • the air return may relatively cheap to implement; the air return may free up the vacuum robots 4002 because they do not have to handle materials going out; and the air return may keep clean finished materials out of the incoming areas, thereby lowering cross-contamination risks.
  • Employing a small load lock 14010 in the rear may add some costs, and so may the air tunnel 14012 , so in systems that are short and where vacuum levels and cross contamination are not so important, an air return may have less value, but in long systems with many integrated process steps the above-system air return could have significant benefits.
  • the return system could also be a vacuum return, but that would be more expensive and more complicated to implement.
  • a load lock 14010 may be positioned at the end of a linear system, as depicted in FIG. 14 , the load lock 14010 could be positioned elsewhere, such as in the middle of the system.
  • a manufacturing item could enter or exit the system at such another point in the system, such as to exit the system into the air return.
  • the advantage of a mid-system exit point may be that in case of a partial system failure, materials or wafers can be recovered.
  • the advantage of a mid-system entry point may be that wafers can be inserted in multiple places in the system, allowing for a significantly more flexible process flow.
  • FIG. 14A shows an example of a U-shaped linear system.
  • an embodiment of the system uses a dual carrier mechanism 14008 so that wafers that are finished can quickly be returned to the front of the system, but also so that an empty carrier 14008 can be placed where a full one was just removed.
  • the air return will feature a carrier 14008 containing N wafers. N can be optimized depending on the throughput and cost requirements.
  • the air return mechanism may contain empty carriers 14008 so that when a full carrier 14018 is removed from the vacuum load lock 14010 , a new empty carrier 14008 can immediately be placed and load lock 14010 can evacuated to receive more materials.
  • the air return mechanism may be able to move wafers to the front of the system.
  • a vertical lift 14004 may be employed to lower the carrier to a level where the EFEM (Equipment Front End Module) robot can reach.
  • the vertical lift 14004 can lower to pick an empty carrier 14008 from the load lock.
  • the air return mechanism may feature a storage area 14014 for empty carriers 14008 , probably located at the very end and behind the location of the load lock 14010 .
  • the reason for this is that when the load lock 14010 releases a carrier 14018 , the gripper 14004 can grip the carrier 14018 and move it forward slightly. The gripper 14004 can then release the full carrier 14018 , move all the way back and retrieve an empty carrier 14008 , place it on the load lock 14010 . At this point the load lock 14010 can evacuate. The gripper 14004 can now go back to the full carrier 14018 and move it all the way to the front of the system. Once the carrier 14018 has been emptied by the EFEM, it can be returned to the very back where it waits for the next cycle.
  • FIG. 15 shows certain additional details of an external return system for a handling system of FIG. 14 .
  • FIG. 16 shows additional details of an external return system for a handling system of FIG. 14 .
  • FIG. 17 shows movement of the output carrier 14018 in the return tunnel 14012 of FIG. 14 .
  • FIG. 18 shows handling of an empty carrier 14008 in the return system 14012 of FIG. 14 .
  • FIG. 19 shows movement of the empty carrier 14008 in the return tunnel 14012 of FIG. 14 into a load lock 14010 position.
  • FIG. 20 shows the empty carrier 14008 lowered and evacuated and movement of the gripper 14004 in the return system of FIG. 14 .
  • FIG. 21 shows an empty carrier 14008 receiving material as a full carrier 14018 is being emptied in the return tunnel 14012 of FIG. 14 .
  • FIG. 22 shows an empty carrier 14008 brought to a holding position, starting a new return cycle in the return tunnel 14012 of FIG. 14 .
  • FIG. 23 shows an architecture for a handling facility for a manufacturing process, with a dual-arm robotic arm system 23002 and a return system in a linear architecture.
  • FIG. 24 shows an alternative embodiment of an overall system architecture for a handling method and system of the present invention.
  • FIG. 25 shows a comparison of the footprint of a linear system 25002 as compared to a conventional cluster system 25004 . Note that with the linear system 25002 the manufacturer can easily extend the machine with additional modules without affecting system throughput.
  • FIG. 26 shows a linear architecture deployed with oversized process modules 26002 in a handling system in accordance with embodiments of the invention.
  • FIG. 27 shows a rear-exit architecture for a handling system in accordance with embodiments of the invention.
  • FIG. 28 shows a variety of layout possibilities for a fabrication facility employing linear handling systems in accordance with various embodiments of the invention.
  • FIG. 29 shows an embodiment of the invention wherein a robot 29002 may include multiple drives 29004 and/or multiple controllers 29008 .
  • a controller 29008 may control multiple drives 29004 as well as other peripheral devices such as slot valves, vacuum gauges, thus a robot 29002 may be a controller 29008 with multiple drives 29004 or multiple controllers 29008 with multiple drives 29004 .
  • FIG. 30 shows transfer plane 30002 and slot valve 30004 characteristics relevant to embodiments of the invention.
  • FIG. 31 shows a tumble gripper 31002 for centering wafers.
  • the advantage of the tumble gripper 31002 over the passive centering gripper 32002 in FIG. 32 is that there is less relative motion between the tumblers 31004 and the back-side of the wafer 31008 .
  • the tumblers 31004 may gently nudge the wafer 31008 to be centered on the end effector, supporting it on both sides as it moves down. In certain manufacturing processes it may be desirable to center wafers 31008 , such as in a vacuum environment.
  • the tumble gripper 31004 may allow the handling of very fragile wafers 31008 , such as when employing an end effector at the end of a robotic arm, because it supports both ends of the wafer during handling.
  • FIG. 32 shows a passively centering end effector 32002 for holding wafers 31008 .
  • the wafer 31008 is typically slightly off-center when the end effector lifts (or the wafer 31008 is lowered). This results in the wafer 31008 sliding down the ramp and dropping into the cutout 32004 . This can result in the wafer 31008 abruptly falling or moving, which in turn can create particles.
  • the methods and systems disclosed herein offer many advantages in the handling of materials or items during manufacturing processes. Among other things, vacuum isolation between robots may be possible, as well as material buffering between robots. A manufacturer can return finished wafers over the top of the system without going through vacuum, which can be a very substantial advantage, requiring only half the necessary handling steps, eliminating cross contamination between finished and unfinished materials and remaining compatible with existing clean room designs. When a manufacturer has relatively dirty wafers entering the system, the manufacturer may want to isolate them from the rest of the machine while they are being cleaned, which is usually the first step in the process. It may be advantageous to keep finished or partially finished materials away from the cleaning portion of the machine.
  • the dual arms may work in coordinated fashion, allowing very fast material exchanges. Regardless of the exact arm design (3-link, 4-link or other), mounting an arm in the lid that is not mechanically connected to the arm in the bottom can be advantageous.
  • the link lengths of the 4-link SCARA arm provided herein can be quite advantageous, as unlike conventional arms they are determined by the mechanical limits of slot valves and chamber radius.
  • the 4-link SCARA arms disclosed herein are also advantageous in that they can use two motors for the links, along with a Z motor, rather than three motors plus the Z motor.
  • a linear vacuum system where materials exit in the rear may offer substantial benefits.
  • Another implementation may be to have both the entry system and exit system installed through two opposing walls.
  • the 4-link SCARA arm disclosed herein may also allow link L 3 to swing into and over link L 2 for the top robot drive. This may not be easily done with the 3-link SCARA, nor with existing versions of 4-link SCARA arms, because they have the wrong link lengths.
  • the gripper for carriers and the multiple carrier locations in the linear system may also offer substantial benefits in materials handling in a linear manufacturing architecture. Including vertical movement in the gripper and/or in the rear load lock may offer benefits as well.
  • FIG. 33 illustrates a fabrication facility including a mid-entry point 33022 .
  • the fabrication facility may include a load lock mid-stream 33002 where wafers 31008 can be taken out or entered.
  • the air return system 14012 can also take new wafers 31008 to the midpoint 33022 and enter wafers 31008 there.
  • FIG. 34 illustrates several top views of a fabrication facility with mid-entry points 33002 . The figure also illustrates how the combination of a mid-entry point effectively functions to eliminate one of the EFEMs 34002 .
  • FIG. 35 illustrates a fabrication facility including a series of sensors 35002 .
  • sensors 35002 are commonly used to detect whether a material 35014 is still present on a robotic arm 35018 .
  • Such sensors 35002 may be commonly placed at each vacuum chamber 4012 entry and exit point.
  • Such sensors 35002 may consist of a vertical optical beam, either employing an emitter and detector, or employing a combination emitter/detector and a reflector.
  • the training of robotic stations is commonly accomplished by a skilled operator who views the position of the robot arm and materials and adjusts the robot position to ensure that the material 35014 is deposited in the correct location.
  • frequently these positions are very difficult to observe, and parallax and other optical problems present significant obstacles in properly training a robotic system.
  • a training procedure can consume many hours of equipment downtime.
  • a series of sensors 35002 - 35010 may include horizontal sensors 35004 - 35010 and vertical sensors 35002 .
  • This combination of sensors 35002 - 35010 may allow detection, for example through optical beam breaking, of either a robotic end effector, arm, or a handled object.
  • the vertical sensor 35002 may be placed slightly outside the area of the wafer 31008 when the robotic arm 35018 is in a retracted position.
  • the vertical sensor 35002 may also, or instead, be placed in a location such as a point 35012 within the wafer that is centered in front of the entrance opening and covered by the wafer when the robot is fully retracted. In this position the sensor may be able to tell the robotic controller that it has successfully picked up a wafer 31008 from a peripheral module.
  • Horizontal sensors 35004 - 35010 may also be advantageously employed.
  • horizontal sensors 35004 - 35010 are sometimes impractical due to the large diameter of the vacuum chamber, which may make alignment of the horizontal sensors 35004 - 35010 more complicated.
  • the chamber size may be reduced significantly, thus may make it practical to include one or more horizontal sensors 35004 - 35010 .
  • FIG. 36 illustrates other possible locations of the horizontal sensors 35004 - 35010 and vertical sensors 35002 , such as straight across the chamber ( 36002 and 36008 ) and/or through mirrors 36006 placed inside the vacuum system.
  • FIG. 37 illustrates a possible advantage of placing the sensor 35002 slightly outside the wafer 37001 radius when the robot arm is fully retracted.
  • the sensor 35002 detects the leading edge of the wafer 37001 at point “a” 37002 and the trailing edge at point “b” 37004 .
  • These results may indicate that the wafer 37001 was successfully retrieved, but by tying the sensor 35002 signal to the encoders, resolvers or other position elements present in the robotic drive, one can also calculate if the wafer 37001 is centered with respect to the end effector.
  • the midpoint of the line segment “a-b” 37002 37004 should correspond to the center of the end effector because of the circular geometry of a wafer 37001 . If the wafer 37001 slips on the end effector, inconsistent length measurements may reveal the slippage.
  • a second line segment “c-d” 37008 37010 may be detected when the wafer 37001 edges pass through the sensor. Again, the midpoint between “c” 37008 and “d” 37010 should coincide with the center of the end effector, and may permit a measurement or confirmation of wafer centering.
  • the above method may allow the robot to detect the wafer 37001 as well as determine if the wafer 37001 is off-set from the expected location on the end effector.
  • the combination of horizontal and vertical sensors 35002 - 35010 may allow the system to be taught very rapidly using non-contact methods: the robotic arm and end effectors may be detected optically without the need for mechanical contact. Furthermore, the optical beams can be used during real-time wafer 37001 handling to verify that wafers 37001 are in the correct position during every wafer 37001 handling move.
  • FIG. 38 illustrates a conventional vacuum drive with two rotary axes 38020 and 38018 and a vertical (Z) axis 38004 .
  • a bellows 38016 may allow for the vertical Z-axis 38002 motion.
  • a thin metal cylinder 38024 affixed to the bottom of the bellows 18016 may provide a vacuum barrier between the rotor and the stator of the motors 38010 and 38014 . This arrangement may require in-vacuum placement of many components: electrical wires and feedthroughs, encoders, signal LEDs and pick-ups 38008 , bearings 38012 , and magnets 38006 .
  • Magnets 38006 , bearings 38012 , wires and connectors, and encoders can be susceptible to residual processing gasses present in the vacuum environment. Furthermore, it may be difficult to remove gasses trapped in the bottom of the cylinder 38024 , as the gasses may have to follow a convoluted path 38022 when evacuated.
  • FIG. 39 illustrates a vacuum robot drive that may be used with the systems described herein.
  • the rotary drive forces may be provided by two motor cartridges 39004 and 39006 .
  • Each cartridge may have an integral encoder 39008 , bearings 39018 and magnets 39020 . Some or all of these components may be positioned outside the vacuum envelope.
  • a concentric dual-shaft rotary seal unit 39016 may provide vacuum isolation for the rotary motion using, for example, lip-seals or ferrofluidic seals. This approach may reduce the number of components inside the vacuum system. It may also permit servicing of the motors 39004 , 39006 and encoders 39008 without breaking vacuum, thereby increasing serviceability of the drive unit.
  • FIG. 40 shows a stacked vacuum load lock 4008 , 40004 for entering materials into a vacuum environment.
  • One limiting factor on bringing wafers 31008 into a vacuum system is the speed with which the load lock can be evacuated to high vacuum. If the load lock is pumped too fast, condensation may occur in the air in the load lock chamber, resulting in precipitation of nuclei on the wafer 31008 surfaces, which can result in particles and can cause defects or poor device performance.
  • Cluster tools may employ two load locks side by side, each of which is alternately evacuated. The pumping speed of each load lock can thus be slower, resulting in improved performance of the system. With two load locks 4008 40004 in a vertical stack, the equipment footprint stays very small, but retains the benefit of slower pumping speed.
  • the load lock 40004 can be added as an option.
  • the robotic arms 4004 and 40006 can each access either one of the two load locks 4008 40004 .
  • the remaining handoff module 7008 could be a single level handoff module.
  • FIG. 40B shows another load lock layout.
  • wafers 31008 can be entered and can exit at two levels on either side of the system, but follow a shared level in the rest of the system.
  • FIG. 41 details how the previous concept of stacked load locks 4008 40004 can be also implemented throughout a process by stacking two process modules 41006 , 41008 . Although such modules would not be compliant with the SEMI standard, such an architecture may offer significant benefits in equipment footprint and throughput.
  • FIG. 42 shows a system with two handling levels 4008 , 40004 , 4010 , 42004 : wafers may be independently transported between modules using either the top link 40006 or the bottom link 4004 .
  • each handling level may have two load is locks to provide the advantage of reduced evacuation speed noted above.
  • a system with four input load locks, two handling levels, and optionally four output load locks, is also contemplated by description provided herein, as are systems with additional load lock and handling levels.
  • FIG. 43 shows a top view of the system of FIG. 42 .
  • FIG. 44 depicts a special instrumented object 44014 , such as a wafer.
  • One or more sensors 44010 may be integrated into the object 44014 , and may be able to detect environmental factors around the object 44014 .
  • the sensors 44010 may include proximity sensors such as capacitive, optical or magnetic proximity sensors.
  • the sensors 44010 may be connected to an amplifier/transmitter 44012 , which may use battery power to transmit radio frequency or other sensor signals, such as signals conforming to the 802.11b standard, to a receiver 44004 .
  • the object 44014 can be equipped with numerous sensors of different types and in different geometrically advantageous patterns.
  • the sensors 1 through 6 ( 44010 ) are laid out in a radius equal to the radius of the target object 44008 .
  • these sensors are proximity sensors.
  • By comparing the transient signals from the sensors 44010 for example sensor 1 and sensor 6 , it can be determined if the object 44014 is approaching a target 44008 at the correct orientation. If the target 44008 is not approached correctly, one of the two sensors 44010 may show a premature trigger.
  • the system may determine if the object 44010 is properly centered above the target 44008 before affecting a handoff.
  • the sensors 44010 can be arranged in any pattern according to, for example, efficiency of signal analysis or any other constraints. Radio frequency signals also advantageously operate in a vacuum environment.
  • FIG. 45 shows the system of FIG. 44 in a side orientation illustrating the non-contact nature of orienting the instrumented object 44014 to a target 44008 .
  • the sensors 44010 may include other sensors for measuring properties of the target 44008 , such as temperature.
  • FIG. 46 depicts radio frequency communication with one or more sensors.
  • a radio frequency sensor signal 44016 may be transmitted to an antenna 46002 within a vacuum. Appropriate selection of wavelengths may improve signal propagation with a fully metallic vacuum enclosure.
  • the use of sensors in wireless communication with an external receiver and controller may provide significant advantages. For example, this technique may reduce the time required for operations such as finding the center of a target, and information from the sensor(s) may be employed to provide visual feedback to an operator, or to automate certain operations using a robotic arm.
  • the use of one or more sensors may permit measurements within the chamber that would otherwise require release of the vacuum to open and physically inspect the chamber. This may avoid costly or time consuming steps in conditioning the interior of the chamber, such as depressurization and baking (to drive out moisture or water vapor).
  • FIG. 47 illustrates the output from multiple sensors 44010 as a function of the robot movement.
  • the motion may result in the sensors providing information about, for example, distance to the target 44008 if the sensors are proximity sensors.
  • the signals can be individually or collectively analyzed to determine a location for the target 44008 relative to the sensors. Location or shape may be resolved in difference directions by moving the sensor(s) in two different directions and monitoring sensor signals, without physically contacting the target 44008 .
  • FIG. 48 depicts a technique for inserting and removing wafers 48008 from a vacuum system.
  • One or more heating elements such as a set of heating elements 48002 , 48004 , and 48006 may be employed, individually or in combination, to heat a chamber 4008 and a substrate material 48008 to an elevated temperature of 50° C. to 400° C. or more. This increase in starting temperature may mitigate condensation that would otherwise occur as pressure decreases in the chamber, and may allow for a more rapid pump down sequence to create a vacuum.
  • heated wafers 48008 When heated wafers 48008 are moved to the load lock 4008 by the robotic arm 4002 , they may be significantly warmer than shelves 48004 , 48006 , such that shelves 48004 , 48006 may cool the wafers on contact.
  • a heating power supply may regulate heat provided to the shelves 48004 48006 to maintain a desired temperature for the shelves and/or wafers.
  • a suitable material selection for the shelves 48004 , 48006 may result in the system reacting quickly to heating power changes, resulting in the possibility of different temperature settings for different conditions, for example a higher temperature setting during pump-down of the chamber 4008 and a lower setting during venting of chamber 4008 .
  • Preheating the wafers 48008 may reduce condensation and particles while reducing process time. At the same time, the wafers 48008 may be too hot when exiting the system, such that they present a safety hazard, or melt handling and support materials such as plastic. Internal temperatures of about 80 to 100° C. degrees, and external temperatures of about 50° C. degrees or less may, for example, meet these general concerns.
  • FIG. 49 illustrates a robotic end effector 49002 .
  • the robotic end effector 49002 may be tapered so that it has a non-uniform thickness through one or more axes.
  • the robotic end effector 49002 may have a taper when viewed from the side or from the top.
  • the taper may mitigate resonant vibrations along the effector 49002 .
  • a relatively narrow cross-sectional profile when viewed from the side) may permit easier maneuvering between wafers.
  • the side-view taper may be achieved by grinding or machining, or by a casting process of the effector 49002 with a taper.
  • AlSiC 9 Aluminum Silicon Carbide
  • a casting process offers the additional advantage that the wafer support materials 49004 can be cast into the mold during the casting process, thereby reducing the number of components that require physical assembly.
  • FIG. 51 shows a dual independent SCARA arm employing five motors 51014 .
  • Each lower arm 51002 and 51008 can be independently actuated by the motors 51014 .
  • the arms are connected at the distal end to upper arms 51004 and 51010 .
  • the configuration gives a relatively small retract radius, but a somewhat limited extension.
  • FIG. 52 shows a dual dependent SCARA arm employing 4 motors 52010 .
  • the links 52002 and 52004 may be common to the end effectors 52006 and 52008 .
  • the motors 52010 may control the end effectors 52006 and 52008 in such a way that during an extension motion of the lower arm 52002 , the desired end effector, (say 52008 ) may be extended into the processing modules, whereas the inactive end effector (say 52006 ) may be pointed away from the processing module.
  • FIG. 53 shows a frog-leg style robotic arm.
  • the arm can be used in connection with various embodiments described herein, such as to enable passing of workpieces, such as semiconductor wafers, from arm-to-arm in a series of such arms, such as to move workpieces among semiconductor process modules.
  • FIG. 54 shows a dual frog-leg arm that can be employed in a planar robotic system, such as one of the linear, arm-to-arm systems described in this disclosure.
  • FIG. 55A illustrates a 4-Link SCARA arm as described in this disclosure mounted to a cart 55004 .
  • a cart may move in a linear fashion by a guide rail or magnetic levitation track 55008 and driven by a motor 55002 internal or external to the system.
  • the 4-Link SCARA arm has the advantage that it fold into a smaller retract radius than a 3-Link SCARA arm, while achieving a larger extension into a peripheral module such as a process module all the while avoiding a collision with the opening that the arm has to reach through.
  • An inverted cart 55006 could be used to pass substrates over the cart 55004 .
  • FIG. 55B shows a top view of the system described in FIG. 55A .
  • FIG. 56 illustrates a linear system described in this disclosure using a combination of dual independent and single SCARA robotic arms. Such a system may not be as compact as a system employing a 4-Link SCARA arm robotic system.
  • FIG. 57 demonstrates a vertically stacked handling system employing a 4-Link SCARA robotic arm, where the arm can reach any and all of the peripheral process modules 5002 .
  • the arm can reach any and all of the peripheral process modules 5002 .
  • the top and bottom of each of the process modules may remain exposed for service access as well as for mounting components such as pumps, electrodes, gas lines and the like.
  • the proposed layout may allow for the combination of seven process modules 5002 in a very compact space.
  • FIG. 58A illustrates a variation of FIG. 57 , where the bottom level 58002 of the system consists of a plurality of robotic systems as described in this disclosure and the top level system 58004 employs process modules 5002 oriented at a 45 degree angle to the main system axis.
  • the proposed layout allows for the combination of nine process modules 5002 in a very compact space.
  • FIG. 58B illustrates a variation of FIG. 58A with the use of a rear-exit load lock facility to remove substrates such as semiconductor wafers from the system.
  • FIG. 59A shows a linear handling system accommodating large substrate processing modules 59004 while still allowing for service access 59002 , and simultaneously still providing locations for two standard sized process module 5002 .
  • FIG. 59B demonstrates a system layout accommodating four large process modules 59004 and a standard sized process module 59002 while still allowing service access to the interior of process modules 59002 .
  • FIG. 60 shows a dual frog robot with arms substantially on the same side of the robotic drive component.
  • the lower arms 60002 support two sets of upper arms 60004 which are mechanically coupled to the motor set 54010 .

Abstract

Methods and systems are provided for reducing the effects of vibration in a vacuum-based semiconductor handling system, including methods and systems for tapering robotic arm components and tapering end effectors of robotic arm components to reduce vibration in the same.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims the benefit under 35 U.S.C. §119(e) of the following U.S. Provisional Applications:
      • Ser. No. 60/518,823, filed Nov. 10, 2003, entitled “Methods and Systems for Semiconductor Manufacturing;” and
      • Ser. No. 60/607,649, filed Sep. 7, 2004, entitled “Methods and Systems for Semiconductor Manufacturing.”
  • Each of the foregoing applications are incorporated herein by reference.
  • BACKGROUND
  • 1. Field of the Invention
  • This invention relates to the field of semiconductor manufacturing, and more particularly to machines used for material transport in a vacuum handling system.
  • 2. Description of the Related Art
  • Current semiconductor manufacturing equipment takes several different forms, each of which has significant drawbacks. Cluster tools, machines that arrange a group of semiconductor processing modules radially about a central robotic arm, take up a large amount of space, are relatively slow, and, by virtue of their architecture, are limited to a small number of semiconductor process modules, typically a maximum of about five or six. Linear tools, while offering much greater flexibility and the potential for greater speed than cluster tools, do not fit well with the current infrastructure of most current semiconductor fabrication facilities; moreover, linear motion of equipment components within the typical vacuum environment of semiconductor manufacturing leads to problems in current linear systems, such as unacceptable levels of particles that are generated by friction among components. Several hybrid architectures exist that use a combination of a radial process module arrangement and a linear arrangement.
  • One form of linear system uses a rail or track, with a moving cart that can hold an item that is handled by the manufacturing equipment. The cart may or may not hold the material on a moveable arm that is mounted to it. Among other problems with rail-type linear systems is the difficulty of including in-vacuum buffers, which may require sidewall mounting or other configurations that use more space. Also, in a rail-type system it is necessary to have a large number of cars on a rail to maintain throughput, which can be complicated, expensive and high-risk in terms of the reliability of the system and the security of the handled materials. Furthermore, in order to move the material from the cart into a process module, it may be necessary to mount one or two arms on the cart, which further complicates the system. With a rail system it is difficult to isolate sections of the vacuum system without breaking the linear motor or rail, which can be technically very complicated and expensive. The arm mounted to the cart on a rail system can have significant deflection issues if the cart is floated magnetically, since the arm creates a cantilever that is difficult to compensate for. The cart can have particle problems if it is mounted/riding with wheels on a physical rail.
  • A need exists for semiconductor manufacturing equipment that can overcome the inherent constraints of cluster tools while avoiding the problems of linear tools.
  • SUMMARY
  • Provided herein are methods and systems used for material transport, in particular a handling system, such as a vacuum handling system, that can move wafers or other substrates in a very compact footprint. While the methods and systems can be used for semiconductor manufacturing, it should be understood that the methods and systems described herein could be used in any processes or industries where it is advantageous to handle materials in a vacuum. As used herein, except where the context dictates otherwise, the terms manufacturing equipment, handling system, robotic handling system, vacuum handling system, semiconductor handling system, semiconductor manufacturing equipment, wafer handling system, manufacturing system, and the like are intended to encompass all types of systems, processes and equipment for handling and manufacturing items such as semiconductor wafers or other items.
  • Methods and systems are provided for handling an item in a manufacturing process, including a plurality of process modules, each for executing a process on the item, and at least one 4-link robotic SCARA arm for moving the item between process modules. In embodiments, the methods and systems are used in connection with a vacuum handling system.
  • The methods and systems include a plurality of process modules, each for executing a process on the item and a dual robotic arm facility, wherein the dual robotic arm facility includes a top arm and a bottom arm for handling items between process modules. In embodiments the dual robotic arm facility comprises two opposed 4-link SCARA arms.
  • Methods and systems include a plurality of process modules disposed along an axis in a substantially linear arrangement and at least one handling facility for moving the item from one process module to another process module, wherein the handling facility comprises a robotic arm. In embodiments the methods and systems include a vacuum manufacturing facility. In embodiments, the robotic arm is a single or dual SCARA arm. In embodiments, the SCARA arm is a 4-link SCARA arm. In embodiments the arm is a single, -dual, or Leap-Frog-leg style arm. In embodiments, the SCARA arm has more or fewer than four links.
  • Methods and systems include a substantially linear arrangement of manufacturing equipment, having an input end and an output end, wherein the manufacturing equipment includes a vacuum facility and a return facility for returning the item to the input end after an item arrives at the output end during the manufacturing process. In embodiments the manufacturing equipment includes a plurality of process modules, wherein an item is moved between process modules by a robotic arm facility. In embodiments the robotic arm facility is a SCARA arm facility. In embodiments the SCARA arm facility includes a 4-link SCARA arm. In embodiments the SCARA arm facility includes dual opposed 4-link SCARA arms. In embodiments the return facility is an air return while the process modules are in a vacuum.
  • In embodiments there could be multiple input and output facilities along the linear system. In embodiments an air-based gripper can take a carrier with wafers and put it into the linear system at a point other than the initial entry point, such as half way down the line. In embodiments a gripper can remove material at a location other than the end exit point, such as at the midpoint of the line.
  • In embodiments, the methods and systems disclosed herein are curvilinear; that is, the linear systems do not have to be in a straight-line configuration.
  • In one aspect, a system disclosed herein includes a plurality of processing modules, also referred to as process modules, each process module performing one or more fabrication processes on a workpiece, the process modules arranged for sequential processing of the workpiece in a sequence from a first process module to a last process module; and a mid-entry point between the first process module and the last process module configured to add a workpiece to the sequence or remove a workpiece from the sequence at the mid-entry point.
  • The workpiece may enter the sequence at the mid-entry point. The workpiece may exit the sequence at the mid-entry point. The system may further include a plurality of mid-entry points, each mid-entry point positioned between two of the plurality of processing modules. The system may further include a return mechanism that moves the workpiece to a first one of the plurality of mid-entry points and retrieves the workpiece from a second one of the plurality of mid-entry points. The workpiece may be processed in a selected, sequential subset of the plurality of processing modules. The processing modules may be arranged to perform a plurality of different fabrication processes depending upon at least one of a mid-entry point where a workpiece is added to the sequence or a mid-entry point where the workpiece is removed from the sequence. The mid-entry point may connect a plurality of different manufacturing facilities. The manufacturing facilities may be arranged to conserve space. Two manufacturing facilities may be more space economical when connected by a mid-entry point than when separated. The processing modules may operate on the workpiece in a controlled environment. The controlled environment may include at least one of a vacuum, a controlled pressure, a controlled temperature, a controlled air purity, or a controlled gas mixture.
  • In another aspect, a method for processing a workpiece as described herein may include arranging a plurality of processing modules in a sequence to sequentially operate on a workpiece; connecting two of the processing modules through a mid-entry point; and adding a workpiece to the sequence at the mid-entry point. In another aspect, a method may include arranging a plurality of processing modules in a sequence to sequentially operate on a workpiece; connecting two of the processing modules through a mid-entry point; and removing a workpiece from the sequence at the mid-entry point.
  • A method disclosed herein may include providing a plurality of vacuum-based processing modules about a substantially linear axis between a loading end and an exit end; and providing an intermediate load lock facility for depositing items to or removing items from the vacuum-based processing modules between the loading end and the exit end.
  • The method may further include providing an air-based delivery system for delivering items to and from the intermediate load-lock facility. The method may include introducing an item at the intermediate load lock point. The method may further include removing an item at the intermediate load lock point. The method may include providing a plurality of intermediate load lock points along a sequential process, each one of the intermediate load lock points position between two adjacent vacuum-based processing modules. The method may include providing a return mechanism for moving an item to or from one of the plurality of intermediate load lock points. The workpiece may be processed by a selected, sequential subset of the plurality of processing modules between two of the intermediate load lock points. The vacuum-based processing modules may be arranged to perform a plurality of different fabrication processes depending upon at least one of the plurality of intermediate load lock points where a workpiece is added to the sequence or one of the plurality of intermediate load lock points where the workpiece is removed from the sequence. The load lock point may connect a plurality of different manufacturing facilities. The manufacturing facilities may be arranged to conserve space. Two of the plurality of manufacturing facilities may be more space economical when connected by a load lock point than when separated. The vacuum-based processing modules may operate on a workpiece in a controlled environment. The controlled environment may include at least one of a vacuum, a controlled pressure, a controlled temperature, a controlled air purity, or a controlled gas mixture.
  • A system described herein may include a plurality of processing modules arranged in a sequence to sequentially operate on a workpiece; connecting means for connecting two of the processing modules through a mid-entry point; and adding means for adding a workpiece to the sequence at the mid-entry point.
  • A system described herein may include a plurality of processing modules arranged in a sequence to sequentially operate on a workpiece; connecting means for connecting two of the processing modules through a mid-entry point; and removing means for removing a workpiece to the sequence at the mid-entry point.
  • In another aspect, a manufacturing facility described herein may include a series of vacuum-based process modules for processing items; and a pair of load locks for delivering items to and taking items from one or more of the vacuum-based process modules, wherein the load locks are disposed in a vertical stack in proximity to one or more of the vacuum-based process modules.
  • The system may further include one or more robotic arms for handling items. The one or more robotic arms may include a SCARA arm. The one or more robotic arms may include a four-link SCARA arm. The one or more robotic arms may include a three-link SCARA arm. The one or more robotic arms may include a pair of vertically stacked four-link SCARA arms. In embodiments the arm is a single, -dual, or Leap-Frog-leg style arm. The system may include multiple pairs of vertically stacked load locks at different points in the handling system. The different points may include an entry point and an exit point of the semiconductor handling system. The different points may include an intermediate point of the semiconductor handling system.
  • A manufacturing facility described herein may include a robotic component; a workpiece; and a sensor for monitoring a process performed on the workpiece by the robotic component. The sensor may include at least one of a light sensor, a contact sensor, a proximity sensor, a sonic sensor, a capacitive sensor, and a magnetic sensor. The sensor may include a vertical proximity sensor. The sensor may include a horizontal proximity sensor. The system may include a plurality of sensors diagonally arranged. The system may include a plurality of proximity sensors in a plurality of locations. The sensor may include a sensor for detecting movement of one or more of the workpiece, the robotic component, or an effector arm. The system may include a plurality of sensors used to determine a position of the robotic component. The system may include a plurality of sensors positioned to detect a final position of the robotic component. The final position may be an extended position or a retracted position or an interim position between an extended position and a retracted position. The sensor may provide a signal used to verify a path of the workpiece. The sensor may detect the workpiece shifting out of location. The fabrication process may be stopped in response to a signal from the sensor that the workpiece has shifted out of location. The robotic arm may move the workpiece to a safe location. The robotic arm may move the workpiece automatically. The robotic arm may move the workpiece under user control. The sensor may be used to prevent collision of at least one of the robotic arm or the workpiece with the manufacturing facility.
  • The sensor may communicate to a transmitter. The transmitter may include a wireless transmitter. The wireless transmitter may communicate a sensor signal from the sensor to a wireless receiver. The wireless receiver may be connected to a processor. The processor may indicate to a user a location of the sensor. The sensor signal may indicate a location of the sensor. The system may include a battery that supplies power to the sensor. The system may include a battery that supplies power to a transmitter coupled to the sensor. The sensors may be used to train the robotic component. The robotic component may be trained in a vacuum. The sensor may be wirelessly coupled to an external receiver, thereby preventing a need to vent the vacuum to atmosphere and the need to bake moisture out of a processing module of the wafer fabrication system after exposure to atmospheric conditions. Sensor feedback may provide a position of the sensor. The sensor may be attached to the workpiece. The sensor may be placed within a processing module of the wafer fabrication system. The sensor may be used in a hazardous environment. A user may control the robotic component based upon sensor feedback. The sensor may be used for non-collision training of the robotic component. The non-collision training prevents collision of at least one of the workpiece or the robotic component. The sensor may provide a location of the robotic component, the robotic component including one or more robotic arms. The sensor may provide a location of the workpiece, the workpiece including a semiconductor wafer. The sensor may provide an orientation of the workpiece, the workpiece including a semiconductor wafer. The sensor may be positioned within a processing module of the wafer fabrication system.
  • In another aspect, a method for instrumenting a robotic wafer manufacturing system as describe herein may include: providing a robotic component; providing a workpiece; and positioning a sensor on at least one of the robotic component, the workpiece, or a chamber of a processing module surrounding the robotic component and the workpiece, the sensor monitoring a fabrication process performed on the workpiece by the robotic component. Another method may include providing a robotic arm for a semiconductor manufacturing process; and providing a plurality of sensors for detecting a position within a processing module of the manufacturing process, the position including a vertical position and a horizontal position.
  • The sensors may detect a position of an end effector of the robotic arm. The sensors may detect a position of the robotic arm. The sensors may detect a position of a workpiece held by an end effector of the robotic arm. The workpiece may be a wafer and at least one of the sensors may be positioned to be covered by the wafer when the robotic arm is being retracted. The workpiece may be a wafer and at least one of the sensors may be placed outside a radius of the wafer so that the sensor detects a leading edge of the wafer and a trailing edge of the wafer during a movement of the wafer between an extended and a retracted position of the robotic arm. A detection of the leading edge and the trailing edge is used to determine whether the wafer is centered on an effector of the robotic arm. The sensors may include an optical beam-breaking sensor. At least two of the sensors may be positioned across a vacuum chamber from each other. The sensors may be arranged along a diagonal of a vacuum chamber. The method may further include providing a mirror to direct a beam from at least one of the sensors within a vacuum chamber. The method may further include detecting a position of the robotic arm to train the robotic arm to perform a semiconductor handling action.
  • In one aspect, a handling method disclosed herein may include providing a workpiece with a sensor for detecting a condition in proximity to the workpiece; disposing the workpiece in a handling system; and receiving data from the sensor in order to detect a condition related to handling of the workpiece by the handling system.
  • The workpiece may be a semiconductor wafer. The workpiece may be configured in the shape of a semiconductor wafer. The sensor may be at least one of a proximity sensor, a capacitive sensor, an optical sensor, a thermometer, a pressure sensor, a chemical sensor, a radiation detector, and a magnetic sensor. The method may include transmitting radio frequency data from the sensor. The method may include communicating data from the sensor to the handling system. The method may include detecting a proximity of the workpiece to a feature of the handling system. The method may include training the robotic arm in a semiconductor handling process using data from the sensor. The handling system may be a semiconductor handling system.
  • In another aspect, a system described herein may include a handling system; a workpiece disposed within the handling system; and a sensor connected to the workpiece, the sensor detecting a condition in proximity to the workpiece, the sensor is providing a signal related to the condition.
  • The workpiece may be a semiconductor wafer. The workpiece may be configured in the shape of a semiconductor wafer. The sensor may be at least one of a proximity sensor, a capacitive sensor, an optical sensor, a thermometer, a pressure sensor, a chemical sensor, a radiation detector, and a magnetic sensor. The system may include a transmitter for transmitting radio frequency data from the sensor. The workpiece may have a data connection to the handling system. The sensor may detect a proximity of the workpiece to a feature of the handling system. The robotic arm may be trained to perform a semiconductor handling process using data from the sensor. The handling system may be a semiconductor handling system.
  • In one aspect, a system described herein may include a robotic component positioned in a vacuum maintained in a chamber of a vacuum manufacturing process; and one or more drives for actuating movement of the robotic component, the one or more drives including motor drive hardware external to the vacuum.
  • The motor drive hardware may include one or more electrical wires. The motor drive hardware may include one or more encoders. The motor drive hardware may include one or more signal LEDs. The motor drive hardware may include one or more pick-ups. The motor drive hardware may include one or more bearings. The motor drive hardware may include one or more magnets. The motor drive hardware may be sealed from the vacuum, such as using lip-seals or ferrofluidic seals. There may be a minimal outgassing of components. The system may include a vacuum pump that provides quick vacuum pump downs. Serviceability of the motor drive hardware may be improved by permitting access to the motor drive hardware without releasing the vacuum in the processing module. The motor drive hardware may include robot drives. The motor drive hardware may be external to the processing module. The motor drive hardware may be positioned to present minimal surface in the vacuum. Minimal materials may be used to minimize outgassing. Positioning of motor drive hardware outside the vacuum may provide for quicker pump down. The system may include at least one drive cavity for the robotic component. The vacuum may be maintained in the drive cavity. The volume of the drive cavity may be small.
  • A system for driving a robot in a vacuum-based semiconductor handling system as described herein may include a drive cartridge that provides rotary drive force to a drive shaft for a robot; and a rotary seal unit, wherein the rotary seal unit seals the drive cartridge outside the vacuum while the drive shaft is disposed in the vacuum.
  • The drive cartridge may include a pair of drive cartridges each having an integral encoder, bearings, and magnets. The rotary seal unit may be a concentric, multiple-shaft rotary seal unit. The rotary seal unit may use a lip seal. The rotary seal unit may use a ferrofluidic seal. The drive cartridge may be coupled to the drive shaft for removability and replaceability.
  • In one aspect, a method described herein may include positioning a robotic component in a vacuum maintained in a chamber of a vacuum manufacturing process; positioning one or more drives for actuating movement of the robotic component outside the vacuum, the one or more drives including motor drive hardware; and coupling the robotic component to the one or more drives through a vacuum-sealed interface.
  • The motor drive hardware may include one or more electrical wires, one or more encoders, one or more signal LEDs, one or more pick-ups, one or more bearings, and/or one or more magnets. The motor drive hardware may be sealed from the vacuum, such as using lip-seals or ferrofluidic seals. There may be a minimal outgassing of components. A vacuum pump may provide quick vacuum pump downs. The serviceability of the motor drive hardware may be improved by permitting access to the motor drive hardware without releasing the vacuum in the processing module. The motor drive hardware may include robot drives. The motor drive hardware may be external to the processing module. The motor drive hardware may be positioned to present minimal surface in the vacuum. Minimal materials may be used for to achieve minimal outgassing. The motor drive hardware may be positioned outside the vacuum to provide for quicker pump down. The method may include providing at least one drive cavity for the robotic component. A vacuum may be maintained in the drive cavity. The volume of the cavity may be small.
  • A system described herein may include a robotic component positioned in a vacuum maintained in a chamber of a vacuum manufacturing process; one or more components of motor drive hardware external to the vacuum; and coupling means for coupling the one or more components of motor drive hardware to the robotic component.
  • A semiconductor manufacturing system disclosed herein may include a plurality of vertically stacked loading stations; and a plurality of vertically stacked processing modules.
  • Four or more vertically stacked process load stations may be provided. One of the plurality of vertically stacked loading stations may feed a manufacturing process that includes one or more of the plurality of vertically stacked processing modules. A second one of the plurality of vertically stacked loading stations may be loaded while the one of the plurality of vertically stacked loading stations feeds the manufacturing process. Loading of the plurality of vertically stacked loading modules may be coordinated to minimize wait time. The plurality of vertically stacked processing modules may be arranged to reduce a footprint for the system. At least one robot may be able to access any one of the vertically stacked load stations. The system may include a plurality of vertically stacked exit stations.
  • At least one robotic component may be able to access any one of the vertically stacked exit stations. At least one robotic component may be able to access more than one vertically stacked process module. At least one robotic component may be able to access more than one horizontally adjacent processing module. The system may include at least one holding station between two horizontally adjacent processing modules. The system may include one or more vertically stacked mid-entry stations. The system may include at least one robotic component that can access more than one vertically stacked mid-entry station. A workpiece may move through a plurality different paths of adjacent processing modules. The plurality of vertically stacked processing modules may include one or more vacuum-based processing modules. The system may include a plurality of vertically stacked load locks disposed in proximity to at least one of an entry point or an exit point of the semiconductor manufacturing process. The plurality of vertically stacked processing modules may be arranged in a substantially linear configuration. The system may include one or more robotic arms that move workpieces among the plurality of vertically stacked processing modules. The system may include at least one of a top robotic arm set and a bottom robotic arm set. At least one of the one or more robotic arms may move vertically to access a top process module of a one of the plurality of vertically stacked process modules and a bottom process module of the one of the plurality of vertically stacked process modules. At least one of the plurality of vertically stacked process modules may include more than two process modules in a vertical stack.
  • Disclosed herein is a method for arranging processing modules in a semiconductor manufacturing process comprising: providing a plurality of processing modules; arranging at least two of the plurality of processing modules so that they are horizontally adjacent; and arranging at least two of the plurality of processing modules so that they are vertically adjacent.
  • Four or more vertically stacked loading stations may be provided. One of the plurality of vertically stacked loading stations may feed a manufacturing process that includes one or more of the plurality of vertically stacked processing modules. A second one of the plurality of vertically stacked loading stations may be loaded while the one of the plurality of vertically stacked loading stations feeds the manufacturing process. Loading of the plurality of vertically stacked loading stations is coordinated to minimize wait time. The plurality of vertically stacked processing modules may be arranged to reduce a footprint for the system. At least one robotic component may be capable of accessing any one of the vertically stacked load stations. The method may include providing a plurality of vertically stacked exit stations. At least one robotic component may be capable of accessing any one of the vertically stacked exit stations. At least one robotic component may be capable of accessing more than one vertically stacked process module. At least one robotic component may access more than one horizontally adjacent processing module.
  • The method may include providing at least one holding station between two horizontally adjacent processing modules. The method may include providing one or more vertically stacked mid-entry stations. At least one robotic component may be capable of accessing more than one vertically stacked mid-entry station. A workpiece may move through a plurality of different paths of adjacent processing modules. The plurality of vertically stacked processing modules may include one or more vacuum-based processing modules. The method may include providing a plurality of vertically stacked load locks disposed in proximity to at least one of an entry point or an exit point of the semiconductor manufacturing process. The plurality of vertically stacked processing modules may be arranged in a substantially linear configuration. The method may include providing one or more robotic arms that move workpieces among the plurality of vertically stacked processing modules. The one or more robotic arms may include at least one of a top robotic arm set and a bottom robotic arm set. At least one of the one or more robotic arms can move vertically to access a top process module of a one of the plurality of vertically stacked process modules and a bottom process module of the one of the plurality of vertically stacked process modules. At least one of the plurality of vertically stacked process modules may include more than two process modules in a vertical stack.
  • A wafer fabrication method described herein may include providing a processing module having an operating temperature substantially above an ambient temperature; receiving a wafer for introduction into the processing module, the wafer having a temperature near the ambient temperature; and heating the wafer to a temperature that is closer to the operating temperature.
  • Heating the wafer may include heating the wafer in a preheating station before transfer to the processing module. The method may further include cooling the wafer to a temperature that is closer to the ambient temperature before removing the wafer from a manufacturing process that includes the processing module. Cooling the wafer may include cooling the wafer to a temperature that prevents condensation on the wafer when the wafer is removed from the manufacturing process. The method may include preheating a material handler before handling the wafer with the material handler. Heating the wafer may include heating the wafer to a temperature that prevents condensation on a surface of the wafer when the wafer is introduced into the processing module. Heating the wafer may include heating the wafer during a vacuum pump down of the processing module. Heating the wafer may include heating the wafer to a temperature that prevents condensation on a surface of the wafer during an accelerated vacuum pump down of the processing module. Heating the wafer may include heating the wafer through an application of heat through a preheated material handler. The method may include controlling a cooling of the wafer by controlling a temperature of a material handler that handles the wafer.
  • A wafer fabrication system described herein may include a processing module having an operating temperature substantially above an ambient temperature; a wafer for introduction into the processing module, the wafer having a temperature near the ambient temperature; and heating means for heating the wafer to a temperature that is closer to the operating temperature.
  • In another aspect, a wafer fabrication system described herein may include a processing module having an operating temperature substantially above an ambient temperature; and a material handler that heats a wafer to a temperature that is closer to the operating temperature before introducing the wafer into the processing module.
  • Heating the wafer may include heating the wafer in a preheating station before transfer to the processing module. The system may include a cooling means for cooling the wafer to a temperature that is closer to the ambient temperature before removing the wafer from a manufacturing process that includes the processing module. Cooling the wafer may include cooling the wafer to a temperature that prevents condensation on the wafer when the wafer is removed from the manufacturing process. The material handler may be preheated before handling the wafer. The wafer may be heated to a temperature that prevents condensation on a surface of the wafer when the wafer is introduced into the processing module. The wafer may be heated during a vacuum pump down of the processing module. The wafer may be heated to a temperature that prevents condensation on a surface of the wafer during an accelerated vacuum pump down of the processing module. The wafer may be heated through an application of heat through a preheated material handler. In embodiments, the wafer can be heated by a heater that heats the wafer itself. This heater can be installed or contained in a load lock that is also heated independently from the wafer heater. This way one can independently control the load lock chamber (which primarily affects condensation during pumpdown), and wafer preheating or post cooling. The load lock will have a large thermal mass, and so it may only react slowly to changes in the desired temperature. The wafer heater can be created with a very small thermal mass, so that, for example, one could set the heater to 300 deg C during a pump down, and to 80 deg C during a vent. In embodiments the wafer may be cooled by controlling a temperature of a material handler that handles the wafer.
  • In another aspect, disclosed herein is a semiconductor handling method including providing a load lock for delivering items to or receiving items from a vacuum-based semiconductor handling system; and heating the load lock. The method may include heating the load lock during pumping down of the load lock. The load lock may be heated to about fifty degrees C to about 100 degrees C. The load lock may be heated to between ten degrees C and about 200 degrees C.
  • A semiconductor handling system described herein may include a load lock for delivering items to or receiving items from a vacuum-based semiconductor handling system; and a heating element for heating the load lock. The load lock may be heated during pumping down of the load lock. The load lock may be heated to about fifty degrees C to about 100 degrees C. The load lock may be heated to between ten degrees C and about 200 degrees C.
  • In another aspect, a system described herein may include a component for material handling in a semiconductor manufacturing process, the component having a taper that establishes a non-uniform cross-section that mitigates a propagation of resonant vibrations in the component.
  • The component may include an end effector. The top surface of the end effector may be flat. The bottom surface of the end effector may be tapered. The end effector may be made of cast material. The taper may be designed into a casting for the cast material used to build the end effector. The component may be a robotic arm. The component may be a link of a robotic arm. The system may include a plurality of tapered links, at least two of the links tapered in a manner to minimize a thickness of the tapered links when the tapered links are overlapped. The component may include an end effector and a robotic arm, each of the end effector and the robotic arm being tapered.
  • In another aspect, a semiconductor handling method disclosed herein may include providing an end effector for handling a semiconductor wafer; and tapering the end effector to reduce resonant vibrations of the end effector. The method may include constructing the end effector of aluminum silicon carbide.
  • In another aspect, a semiconductor handling method described herein may include providing a robotic arm facility; and tapering at least one link of the robotic arm facility to dampen vibrations of the robotic arm facility. The method may include constructing at least one link of the robotic arm from aluminum silicon carbide.
  • A semiconductor handling method disclosed herein may include: positioning a plurality of robotic arms and a plurality of processing modules along an axis; and moving a workpiece among the plurality of processing modules by passing the workpiece from a first one of the plurality of robotic arms to a second one of the plurality of robotic arms.
  • The axis may be linear. The axis may be curvilinear. The axis may form a substantially U-shaped. The plurality of robotic arms may include a SCARA arm. The plurality of robotic arms may include a four-link SCARA arm. The plurality of robotic arms may include a three-link SCARA arm. The plurality of robotic arms may include linked pairs of robotic arms, each linked pair including two vertically disposed robotic arms.
  • A semiconductor handling system as disclosed herein may include: a plurality of robotic arms and a plurality of processing modules arranged along an axis; and passing means for moving a workpiece among the plurality of processing modules by passing the workpiece from a first one of the plurality of robotic arms to a second one of the plurality of robotic arms.
  • A method for semiconductor handling as disclosed herein may include: providing a first robotic arm for handling a workpiece; and disposing a second robotic arm for handling the workpiece in a position substantially vertically with respect to the first robotic arm.
  • The method may include mechanically coupling the first robotic arm to the second robotic arm. The method may include mechanically decoupling the first robotic arm from the second robotic arm. At least one of the first robotic arm and the second robotic arm may be a SCARA arm. At least one of the first robotic arm and the second robotic arm may be a four-link SCARA arm. At least one of the first robotic arm and the second robotic arm is a three-link SCARA arm.
  • A semiconductor handling system describe herein may include: a first robotic arm for handling a workpiece, the robotic arm positioned within a processing module; and a second robotic arm for handling the workpiece, the second robotic arm positioned within the processing module in a position substantially vertically with respect to the first robotic arm.
  • The first robotic arm may be mechanically coupled to the second robotic arm. The first robotic arm may be mechanically decoupled from the second robotic arm. At least one of the first robotic arm and the second robotic arm may be a SCARA arm. At least one of the first robotic arm and the second robotic arm may be a four-link SCARA arm. At least one of the first robotic arm and the second robotic arm may be a three-link SCARA arm.
  • A system disclosed herein may include: a robotic drive; an end effector for manipulating items; a robotic arm that connects the robotic drive mechanism to the end effector, the robotic arm including four or more links; one or more connectors that mechanically couple the four or more links to each other such that the end effector moves in a substantially linear direction under control of the robotic drive.
  • Each of the links may have a length selected to optimize a reach-to-containment ratio of the robotic arm. Each of the links may have a length selected to avoid collision with a nearby component of the handling system. The system may include a controller that controls operation of the robotic drive. The controller may be a remote controller. The controller may be integrated with a visualization software program. The controller may control more than one robotic arm. A link of the robotic arm proximal to the end effector may include an offset wrist to allow the arm to fold. The robotic arm may include at least one link having a cutout into which at least one other link can fold. At least two consecutive links of the robotic arm may be stacked with a vertical gap so that at least one other link of the robotic arm can fold in the vertical space between the at least two consecutive links. The system may include at least one bypass spline between links.
  • A method disclosed herein may include providing a robotic drive, an end effector for manipulating items, and a robotic arm that connects the robotic drive mechanism to the end effector, the robotic arm including four or more links; and interconnecting the four or more links to each other such that the end effector moves in a substantially linear direction under control of the robotic drive.
  • Each of the links may have a length selected to optimize a reach-to-containment ratio of the robotic arm. Each of the links may have a length selected to avoid collision with a nearby component of the handling system. The method may include controlling operation of the robotic drive with a controller. The controller may be integrated with a visualization software program. The controller may control more than one robotic arm. A link of the robotic arm proximal to the end effector may include an offset wrist to allow the arm to fold. The robotic arm may include at least one link having a cutout into which at least one other link can fold. At least two consecutive links of the robotic arm may be stacked with a vertical gap so that at least one other link of the robotic arm can fold in the vertical space between the at least two consecutive links. At least two consecutive links of the robotic arm may be stacked with a vertical gap so that the robotic arm is able to reach a predefined transfer plane without colliding with components of the handling system. The method may include providing at least one bypass spline between links.
  • A system disclosed herein may include a plurality of process modules for a semiconductor fabrication process disposed about a substantially linear track; a cart moveably coupled to the linear track and configured to move along the linear track; and a robotic arm disposed on the cart for manipulating workpieces among the plurality of process modules.
  • The robotic arm may include a SCARA arm. The SCARA arm may include a four-link SCARA arm. The SCARA arm may include a three-link SCARA arm.
  • A semiconductor handling system described herein may include a vacuum processing system disposed in a substantially linear configuration having a loading end and an exit end; and a non-vacuum return system for returning an item from the exit end to the loading end.
  • The non-vacuum return system may be disposed above the vacuum processing system. The non-vacuum return system may be disposed below the vacuum processing system. The non-vacuum return system may be disposed beside the vacuum processing system. The non-vacuum return system may be disposed within the vacuum processing system. The non-vacuum return system may include a load lock at the exit end for moving the item from the vacuum processing system to the non-vacuum return system. The non-vacuum return system may include a slide mechanism and a gripper for moving the item from the exit end to the loading end.
  • The vacuum processing system may include a plurality of processing modules. The vacuum processing system may include one or more robotic arms that move the item among the processing modules. The system may include a plurality of robotic arms that move the item by passing the item from a first one of the plurality of robotic arms to a second one of the plurality of robotic arms. The plurality of robotic arms may include a SCARA arm. The plurality of robotic arms may include a four-link SCARA arm. The plurality of robotic arms may include a three-link SCARA arm. The plurality of robotic arms may include at least one pair of linked robotic arms disposed vertically with respect to each other. The plurality of processing modules may vary in footprint by a factor of two or more. The system may include a semiconductor fabrication facility, the semiconductor fabrication facility including a plurality of linear semiconductor handling systems, the plurality of linear semiconductor handling systems arranged side-by-side so that the loading ends of the plurality of linear semiconductor handling systems face a corridor of the semiconductor fabrication facility.
  • A semiconductor manufacturing facility described herein may include at least one tumble gripper for receiving a semiconductor wafer, the tumble gripper including a pair of gripping modules, wherein each gripping module is configured to receive one of a pair of parallel edges of the semiconductor wafer, wherein each gripping module rotates upon receiving a semiconductor wafer into a position wherein a horizontal portion of the gripping module supports the semiconductor wafer in a horizontal plane and a vertical portion of the gripping module prevents the semiconductor wafer from moving in the horizontal plane.
  • A method of handling a semiconductor wafer as described herein may include providing an end effector for holding a semiconductor wafer, wherein the end effector includes a receiving slot configured to support the semiconductor wafer on a horizontal plane while preventing the semiconductor wafer from moving in the horizontal plane and wherein the end effector includes a ramp configured to slide the semiconductor wafer into the receiving slot when the semiconductor wafer is placed onto the end effector.
  • A semiconductor handling system as described herein may include a plurality of robotic arms, at least two of the plurality of robotic arms sharing a common drive facility. At least of the plurality of robotic arms may be a SCARA arm. At least one of the plurality of robotic arms is a four-link SCARA arm. At least two of the plurality of robotic arms may operate independently, or may operate dependently.
  • In another aspect, a semiconductor handling system described herein may include a robotic arm having a frog-leg arm configuration, the frog-leg arm configuration including at least two pairs of frog leg arms.
  • As used herein, “robot” shall include any kind of known robot or similar device or facility that includes a mechanical capability and a control capability, which may include a combination of a controller, processor, computer, or similar facility, a set of motors or similar facilities, one or more resolvers, encoders or similar facilities, one or more mechanical or operational facilities, such as arms, wheels, legs, links, claws, extenders, grips, nozzles, sprayers, effectors, actuators, and the like, as well as any combination of any of the above. One embodiment is a robotic arm.
  • As used herein “drive” shall include any form of drive mechanism or facility for inducing motion. In embodiments it includes the motor/encoder section of a robot.
  • As used herein, “axis” shall include a motor or drive connected mechanically through linkages, belts or similar facilities, to a mechanical member, such as arm member. An “N-axis drive” shall include a drive containing N axes; for example a “2-axis drive” is a drive containing two axes.
  • As used herein, “arm” shall include a passive or active (meaning containing motors/encoders) linkage that may include one or more arm or leg members, bearings, and one or more end effectors for holding or gripping material to be handled.
  • As used herein, “SCARA arm” shall mean a Selectively Compliant Assembly Robot Arm (SCARA) robotic arm in one or more forms known to those of skill in the art, including an arm consisting of one or more upper links connected to a drive, one or more lower links connected through a belt or mechanism to a motor that is part of the drive, and one or more end units, such as an end effector or actuator.
  • As used herein, “turn radius” shall mean the radius that an arm fits in when it is fully retracted.
  • As used herein, “reach” shall include, with respect to a robotic arm, the maximum reach that is obtained when an arm is fully extended. Usually the mechanical limit is a little further out than the actual effective reach, because it is easier to control an arm that is not completely fully extended (in embodiments there is a left/right singularity at full extension that can be hard to control).
  • As used herein, “containment” shall mean situations when the arm is optimally retracted such that an imaginary circle can be drawn around the arm/end effector/material that is of minimum radius.
  • As used herein, the “reach-to-containment ratio” shall mean, with respect to a robotic arm, the ratio of maximum reach to minimum containment.
  • As used herein, “robot-to-robot” distance shall include the horizontal distance between the mechanical central axis of rotation of two different robot drives.
  • As used herein, “slot valve” shall include a rectangular shaped valve that opens and closes to allow a robot arm to pass through (as opposed to a vacuum (isolation) valve, which controls the pump down of a vacuum chamber). For example, the SEMI E21.1-1296 standard (a published standard for semiconductor manufacturing) the slot valve for 300 mm wafers in certain semiconductor manufacturing process modules has an opening width of 336 mm, a opening height of 50 mm and a total valve thickness of 60 mm with the standard also specifying the mounting bolts and alignment pins.
  • As used herein, “transfer plane” shall include the plane (elevation) at which material is passed from a robot chamber to a process module chamber through a slot valve. Per the SEMI E21.1-1296 standard for semiconductor manufacturing equipment the transfer plane is 14 mm above the slot valve centerline.
  • As used herein, “section” shall include a vacuum chamber that has one or more robotic drives in it. This is the smallest repeatable element in a linear system.
  • As used herein, “link” shall include a mechanical member of a robot arm, connected on both ends to another link, an end effector, or the robot drive.
  • As used herein, “L1,” “L2”, “L3” or the like shall include the numbering of the arm links starting from the drive to the end effector.
  • As used herein, “end effector” shall include an element at an active end of a robotic arm distal from the robotic drive and proximal to an item on which the robotic arm will act. The end effector may be a hand of the robot that passively or actively holds the material to be transported in a semiconductor process or some other actuator disposed on the end of the robotic arm.
  • As used herein, the term “SCARA arm” refers to a robotic arm that includes one or more links and may include an end effector, where the arm, under control, can move linearly, such as to engage an object. A SCARA arm may have various numbers of links, such as 3, 4, or more. As used herein, “3-link SCARA arm” shall include a SCARA robotic arm that has three members: link one (L1), link two (L2) and an end effector. A drive for a 3-link SCARA arm usually has 3 motors: one connected to L1, one to the belt system, which in turn connects to the end effector through pulleys and a Z (lift) motor. One can connect a fourth motor to the end effector, which allows for some unusual moves not possible with only three motors.
  • As used herein, “dual SCARA arm” shall include a combination of two SCARA arms (such as two 3 or 4-link SCARA arms (typically designated A and B)) optionally connected to a common drive. In embodiments the two SCARA arms are either completely independent or share a common link member L1. A drive for a dual independent SCARA arm usually has either five motors: one connected to L1-A, one connected to L1-B, one connected to the belt system of arm A, one connected to the belt system of arm B, and a common Z (lift) motor. A drive for a dual dependent SCARA arm usually has a common share L1 link for both arms A and B and contains typically four motors: one connected to the common link L1, one connected to the belt system for arm A, one connected to the belt system for arm B, and a common Z (lift) motor.
  • As used herein, “4-link SCARA arm” shall include an arm that has four members: L1, L2, L3 and an end effector. A drive for a 4-link SCARA arm can have four motors: one connected to L1, one to the belt systems connected to L2 and L3, one to the end effector and a Z motor. In embodiments only 3 motors are needed: one connected to L1, one connected to the belt system that connects to L2, L3 and the end effector, and a Z motor.
  • As used herein, “Frog-leg style arm” shall include an arm that has five members: L1A, L1B, L2A, L3B and an end effector. A drive for a frog-leg arm can have three motors, one connected to L1A—which is mechanically by means of gearing or the like connected to L1B—, one connected to a turret that rotates the entire arm assembly, and a Z motor. In embodiments the drive contains three motors, one connected to L1A, one connected to LIB and a Z motor and achieves the desired motion through coordination between the motors.
  • As used herein, “Dual Frog-leg style arm” shall include an arm that has eight members L1A, L1B, L2A-1, L2A-2, L2B-1, L2B-2 and two end effectors. The second link members L2A-1 and L2B-1 form a single Frog-leg style arm, whereas the second link members L2A-2 and L2B-2 also form a single Frog-leg style arm, however facing in an opposite direction. A drive for a dual frog arm may be the same as for a single frog arm.
  • As used herein, “Leap Frog-leg style arm” shall include an arm that has eight members L1A, L1B, L2A-1, L2A-2, L2B-1, L2B-2 and two end effectors. The first link members L1A and LIB are each connected to one of the motors substantially by their centers, rather than by their distal ends. The second link members L2A-1 and L2B-1 form a single Frog-leg style arm, whereas the second link members L2A-2 and L2B-2 also form a single Frog-leg style arm, however facing in the same direction. A drive for a dual frog arm may be the same as for a single frog arm.
  • All patents, patent applications and other documents referenced herein are hereby incorporated by reference.
  • BRIEF DESCRIPTION OF THE FIGURES
  • FIG. 1 shows equipment architectures for a variety of manufacturing equipment types.
  • FIG. 2 shows a conventional, cluster-type architecture for handling items in a semiconductor manufacturing process.
  • FIGS. 3A and 3B show a series of cluster-type systems for accommodating between two and six process modules.
  • FIG. 4 shows high-level components of a linear processing architecture for handling items in a manufacturing process.
  • FIG. 5 shows a top view of a linear processing system, such as one with an architecture similar to that of FIG. 4.
  • FIG. 6 shows a 3-link SCARA arm and a 4-link SCARA arm.
  • FIG. 7 shows reach and containment characteristics of a SCARA arm.
  • FIG. 8 shows high-level components for a robot system.
  • FIG. 9 shows components of a dual-arm architecture for a robotic arm system for use in a handling system.
  • FIG. 10 shows reach and containment capabilities of a 4-link SCARA arm.
  • FIG. 11 shows interference characteristics of a 4-link SCARA arm.
  • FIG. 12 shows a side view of a dual-arm set of 4-link SCARA arms using belts as the transmission mechanism.
  • FIG. 13 shows a side view of a dual-arm set of 4-link SCARA arms using a spline link as the transmission mechanism.
  • FIG. 14 shows an external return system for a handling system having a linear architecture.
  • FIG. 14 a shows a U-shaped configuration for a linear handling system.
  • FIG. 15 shows certain details of an external return system for a handling system of FIG. 14.
  • FIG. 16 shows additional details of an external return system for a handling system of FIG. 14.
  • FIG. 17 shows movement of the output carrier in the return system of FIG. 14.
  • FIG. 18 shows handling of an empty carrier in the return system of FIG. 14.
  • FIG. 19 shows movement of the empty carrier in the return system of FIG. 14 into a load lock position.
  • FIG. 20 shows the empty carrier lowered and evacuated and movement of the gripper in the return system of FIG. 14.
  • FIG. 21 shows an empty carrier receiving material as a full carrier is being emptied in the return system of FIG. 14.
  • FIG. 22 shows an empty carrier brought to a holding position, starting a new return cycle in the return system of FIG. 14.
  • FIG. 23 shows an architecture for a handling facility for a manufacturing process, with a dual-arm robotic arm system and a return system in a linear architecture.
  • FIG. 24 shows an alternative embodiment of an overall system architecture for a handling method and system of the present invention.
  • FIG. 25 shows a comparison of the footprint of a linear system as compared to a conventional cluster system.
  • FIG. 26 shows a linear architecture deployed with oversized process modules in a handling system in accordance with embodiments of the invention.
  • FIG. 27 shows a rear-exit architecture for a handling system in accordance with embodiments of the invention.
  • FIG. 28 shows a variety of layout possibilities for a fabrication facility employing linear handling systems in accordance with various embodiments of the invention.
  • FIG. 29 shows an embodiment of the invention wherein a robot may include multiple drives and/or multiple controllers.
  • FIG. 30 shows transfer plane and slot valve characteristics relevant to embodiments of the invention.
  • FIG. 31 shows a tumble gripper for centering wafers.
  • FIG. 32 shows a passive sliding ramp for centering wafers.
  • FIG. 33 illustrates a fabrication facility including a mid-entry facility.
  • FIG. 34 illustrates a fabrication facility including a mid-entry facility from a top view.
  • FIG. 35 illustrates a fabrication facility including the placement of optical sensors for detection of robotic arm position and materials in accordance with embodiments of the invention.
  • FIG. 36 illustrates a fabrication facility in a cross-sectional side view showing optical beam paths and alternatives beam paths.
  • FIG. 37 illustrates how optical sensors can be used to determine the center of the material handled by a robotic arm.
  • FIG. 38 shows a conventional 3-axis robotic vacuum drive architecture FIG. 39 shows a novel 3-axis robotic vacuum drive architecture in accordance with embodiments of the invention.
  • FIG. 40 illustrates a vertically arranged load lock assembly in accordance with embodiments of the invention.
  • FIG. 40B illustrates a vertically arranged load lock assembly at both sides of a wafer fabrication facility in accordance with embodiments of the invention.
  • FIG. 41 shows a vertically arranged load lock and vertically stacked process modules in accordance with embodiments of the invention.
  • FIG. 42 shows a linearly arranged, two-level handling architecture with vertically stacked process modules in a cross-sectional side view in accordance with embodiments of the invention.
  • FIG. 43 shows the handling layout of FIG. 42 in a top view.
  • FIG. 44 shows an instrumented object on a robotic arm with sensors to detect proximity of the object to a target, in accordance with embodiments of the invention.
  • FIG. 45 illustrates how the movement of sensors over a target can allow the robotic arm to detect its position relative to the obstacle.
  • FIG. 46 shows how an instrumented object can use radio frequency communications in a vacuum environment to communicate position to a central controller.
  • FIG. 47 illustrates the output of a series of sensors as a function of position.
  • FIG. 48 illustrates how heating elements can be placed in a load lock for thermal treatment of objects in accordance with embodiments of the invention.
  • FIG. 49 shows an end effector tapered in two dimensions, which reduces active vibration modes in the end effector.
  • FIG. 50 shows how vertical tapering of robotic arm elements for a robot planar arm can be used to reduce vibration in the arm set, without significantly affecting vertical stacking height.
  • FIG. 51 illustrates a dual independent SCARA robotic arm.
  • FIG. 52 illustrates a dual dependent SCARA robotic arm.
  • FIG. 53 illustrates a frog-leg style robotic arm.
  • FIG. 54 illustrates a dual Frog-leg style robotic arm.
  • FIG. 55A illustrates a 4-Link SCARA arm mounted on a moveable cart, as well as a 4-Link SCARA arm mounted on an inverted moveable cart.
  • FIG. 55B illustrates a top view of FIG. 55A.
  • FIG. 56 illustrates using a 3-Link single or dual SCARA arm robotic system to pass wafers along a substantially a linear axis.
  • FIG. 57 illustrates a 2-level vacuum handling robotic system where the top and bottom process modules are accessible by means of a vertical axis in the robotic arms.
  • FIG. 58A shows a two level processing facility where substrates are passed along a substantially linear axis on one of the two levels.
  • FIG. 58B illustrates a variation of FIG. 58 a where substrates are removed from the rear of the system.
  • FIG. 59A shows a manufacturing facility which accommodates very large processing modules in a substantially linear axis. Service space is made available to allow for access to the interior of the process modules.
  • FIG. 59B illustrates a more compact layout for 4 large process modules and one small process module.
  • FIG. 60 illustrates a dual Frog-Leg style robotic manipulator with substrates on the same side of the system.
  • DETAILED DESCRIPTION
  • FIG. 1 shows equipment architectures 1000 for a variety of manufacturing equipment types. Each type of manufacturing equipment handles items, such as semiconductor wafers, between various processes, such as chemical vapor deposition processes, etching processes, and the like. As semiconductor manufacturing processes are typically extremely sensitive to contaminants, such as particulates and volatile organic compounds, the processes typically take place in a vacuum environment, in one or more process modules that are devoted to specific processes. Semiconductor wafers are moved by a handling system among the various processes to produce the end product, such as a chip. Various configurations 1000 exist for handling systems. A prevalent system is a cluster tool 1002, where process modules are positioned radially around a central handling system, such as a robotic arm. In other embodiments, a handling system can rotate items horizontally, such as in the embodiment 1004. An important aspect of each type of tool is the “footprint,” or the area that the equipment takes up in the semiconductor manufacturing facility. The larger the footprint, the more space required to accommodate multiple machines in a fabrication facility. Also, larger footprints typically are associated with a need for larger vacuum systems, which increase greatly in cost as they increase in size. The architecture 1004 rotates items in a “lazy susan” facility. The architecture in 1006 moves items in and out of a process module where the process modules are arranged next to each other. The architecture 1008 positions process modules in a cluster similar to 1002, with the difference that the central robot handles two wafers side by side. Each of these systems shares many of the challenges of cluster tools, including significant swap time delays as one wafer is moved in and another out of a given process module, as well as considerable difficulty maintaining the cleanliness of the vacuum environment of a given process module, as more and more wafers are moved through the system.
  • FIG. 2 shows a conventional cluster-type architecture 2000 for handling items in a semiconductor manufacturing process. A robotic arm 2004 moves items, such as wafers, among various process modules 2002 that are positioned in a cluster around the robotic arm 2004. An atmospheric substrate handling mini-environment chamber 2008 receives materials for handling by the equipment and holds materials once processing is complete. Note how difficult it would be to add more process modules 2002. While one more module 2002 would potentially fit, the practical configuration is limited to five process modules 2002. Adding a sixth module may significantly impact the serviceability of the equipment, in particular the robotic arm 2004.
  • FIGS. 3A and 3B show cluster tool modules, atmospheric mini-environment handling chambers, vacuum handling chambers and other components 3000 from a flexible architecture system for a vacuum based manufacturing process. Different modules can be assembled together to facilitate manufacturing of a desired process technology. For example, a given chip may require chemical vapor deposition of different chemical constituents (e.g., Titanium Nitride, Tungsten, etc.) in different process modules, as well as etching in other process modules. The sequence of the processes in the different process modules produces a unique end product. Given the increasing complexity of semiconductor components, it is often desirable to have a flexible architecture that allows the manufacturer to add more process modules. However, the cluster tools described above are space-limited; therefore, it may be impossible to add more process modules, meaning that in order to complete a more complex semiconductor wafer it may be necessary to move manufacturing to a second cluster tool. As seen in FIG. 3A and FIG. 3B, cluster tools can include configurations with two 3002, three 3004, four 3006, five 3008, 3010 or six 3012 process modules with staged vacuum isolation. Other components can be supplied in connection with the equipment.
  • FIG. 4 shows high-level components of a linear processing architecture 4000 for handling items in a manufacturing process. The architecture uses two or more stationary robots 4002 arranged in a linear fashion. The robots 4002 can be either mounted in the bottom of the system or hang down from the chamber lid or both at the same time. The linear system uses a vacuum chamber 4012 around the robot. The system could be comprised of multiple connected vacuum chambers 4012, each with a vacuum chamber 4012 containing its own robot arranged in a linear fashion. In embodiments, a single controller could be set up to handle one or more sections of the architecture. In embodiments vacuum chambers 4012 sections are extensible; that is, a manufacturer can easily add additional sections/chambers 4012 and thus add process capacity, much more easily than with cluster architectures. Because each section uses independent robot drives 4004 and arms 4002, the throughput may stay high when additional sections and thus robots are added. By contrast, in cluster tools, when the manufacturer adds process chambers 2002, the system increases the load for the single robot, even if that robot is equipped with a dual arm, eventually the speed of the robot can become the limiting factor. In embodiments, systems address this problem by adding additional robot arms 4002 into a single drive. Other manufacturers have used a 4-axis robot with two completely independent arms such as a dual SCARA or dual Frog-leg robots. The linear system disclosed herein may not be limited by robot capacity, since each section 4012 contains a robot, so each section 4012 is able to transport a much larger volume of material than with cluster tools.
  • In embodiments the components of the system can be controlled by a software controller, which in embodiments may be a central controller that controls each of the components. In embodiments the components form a linkable handling system under control of the software, where the software controls each robot to hand off a material to another robot, or into a buffer for picking up by the next robot. In embodiments the software control system may recognize the addition of a new component, such as a process module or robot, when that component is plugged into the system, such as recognizing the component over a network, such as a USB, Ethernet, firewire, Bluetooth, 802.11 a, 802.11 a, 802.11 g or other network. In such embodiments, as soon as the next robot, process module, or other component is plugged in a software scheduler for the flow of a material to be handled, such as a wafer, can be reconfigured automatically so that the materials can be routed over the new link in the system. In embodiments the software scheduler is based on a neural net, or it can be a rule-based scheduler. In embodiments process modules can make themselves known over such a network, so that the software controller knows what new process modules, robots, or other components have been connected. When a new process module is plugged into an empty facet, the system can recognize it and allow it to be scheduled into the flow of material handling.
  • In embodiments the software system may include an interface that permits the user to run a simulation of the system. The interface may allow a user to view the linking and configuration of various links, robotic arms and other components, to optimize configuration (such as by moving the flow of materials through various components, moving process modules, moving robots, or the like), and to determine what configuration to purchase from a supplier. In embodiments the interface may be a web interface.
  • The methods and system disclosed herein can use optional buffer stations 4010 between robot drives. Robots could hand off to each other directly, but that is technically more difficult to optimize, and would occupy two robots, because they would both have to be available at the same time to do a handoff, which is more restrictive than if they can deposit to a dummy location 4010 in-between them where the other robot can pick up when it is ready. The buffer 4010 also allows higher throughput, because the system does not have to wait for both robots to become available. Furthermore, the buffers 4010 may also offer a good opportunity to perform some small processing steps on the wafer such as heating, cooling, aligning, inspection, metrology, testing or cleaning.
  • In embodiments, the methods and systems disclosed herein use optional vacuum isolation valves 4006 between robot areas/segments 4012. Each segment 4012 can be fully isolated from any other segment 4012. If a robot handles ultra clean and sensitive materials (e.g., wafers) in its segment 4012, then isolating that segment 4012 from the rest of the system may prevent cross-contamination from the dirtier segment 4012 to the clean segment 4012. Also the manufacturer can now operate segments 4012 at different pressures. The manufacturer can have stepped vacuum levels where the vacuum gets better and better further into the machine. The big advantage of using vacuum isolation valves 4006 between segments 4012 may be that handling of atomically clean wafers (created after cleaning steps and needing to be transported between process modules without contamination from the environment) can be done without out-gassing from materials or wafers in other parts of the system entering the isolated chamber segment 4012.
  • In embodiments, vacuum isolation between robots is possible, as is material buffering between robots, such as using a buffer module 4010, a mini-process module or an inspection module 4010.
  • FIG. 5 shows a top view of a linear processing system 4000, such as one with a linear architecture similar to that of FIG. 4.
  • Different forms of robots can be used in semiconductor manufacturing equipment, whether a cluster tool or a linear processing machine such as disclosed in connection with FIGS. 4 and 5.
  • FIG. 6 shows a 3-link SCARA arm 6002 and a 4-link SCARA arm 6004. The 3-link or 4- link arms 6002, 6004 are driven by a robot drive. The 3-link arm 6002 is commonly used in industry. When the 3-link SCARA arm 6002 is used, the system is not optimized in that the reach-to-containment ratio is not very good. Thus, the vacuum chambers need to be bigger, and since costs rise dramatically with the size of the vacuum chamber, having a 3-link SCARA arm 6002 can increase the cost of the system. Also the overall footprint of the system becomes bigger with the 3-link SCARA arm 6002. Moreover, the reach of a 3-link SCARA arm 6002 is less than that of a 4-link arm 6004. In some cases a manufacturer may wish to achieve a large, deep handoff into a process module, and the 4-link arm 6004 reaches much farther beyond its containment ratio. This has advantages in some non-SEMI-standard process modules. It also has advantages when a manufacturer wants to cover large distances between segments.
  • The 4-link arm 6004 is advantageous in that it folds in a much smaller containment ratio than a 3-link SCARA arm 6002, but it reaches a lot further than a conventional 3-link SCARA 6002 for the same containment diameter. In combination with the ability to have a second drive and second 4-link arm 6004 mounted on the top of the system, it may allow for a fast material swap in the process module. The 4-link SCARA arm 6004 may be mounted, for example, on top of a stationary drive as illustrated, or on top of a moving cart that provides the transmission of the rotary motion to actuate the arms and belts. In either case, the 4-link arm 6004, optionally together with a second 4-link arm 6004, may provide a compact, long-reach arm that can go through a small opening, without colliding with the edges of the opening.
  • FIG. 7 shows reach and containment characteristics of a 4-link SCARA arm 7004. In embodiments, the 4-link SCARA arm 7004 link lengths are not constrained by the optimization of reach to containment ratio as in some other systems. Optimization of the reach to containment ratio may lead to a second arm member that is too long. When the arm reaches through a slot valve that is placed as close as practical to the minimum containment diameter, this second arm member may collide with the inside edges of the slot valve. Thus the second (and third) links may be dimensioned based on collision avoidance with a slot valve that the arm is designed to reach through. This results in very different ratios between L1, L2 and L3. The length of L2 may constrain the length of L3. An equation for optimum arm length may be a 4th power equation amenable to iterative solutions.
  • FIG. 8 shows high-level components for a robot system 8002, including a controller 8004, a drive/motor 8008, an arm 8010, an end effector 8012, and a material to be handled 8014.
  • FIG. 9 shows components of a dual-arm 9002 architecture for a robotic arm system for use in a handling system. One arm is mounted from the bottom 9004 and the other from the top 9008. In embodiments both are 4-link SCARA arms. Mounting the second arm on the top is advantageous. In some other systems arms have been connected to a drive that is mounted through the top of the chamber, but the lower and upper drives are conventionally mechanically coupled. In embodiments, there is no mechanical connection between the two drives in the linear system disclosed in connection with FIG. 4 and FIG. 5; instead, the coordination of the two arms (to prevent collisions) may be done in a software system or controller. The second (top) arm 9008 may optionally be included only if necessary for throughput reasons.
  • Another feature is that only two motors, just like a conventional SCARA arm, may be needed to drive the 4-link arm. Belts in the arm may maintain parallelism. Parallelism or other coordinated movements may also be achieved, for example, using parallel bars instead of belts. Generally, the use of only two motors may provide a substantial cost advantage. At the same time, three motors may provide a functional advantage in that the last (L4) link may be independently steered, however the additional belts, bearings, connections, shafts and motor may render the system much more expensive. In addition the extra belts may add significant thickness to the arm mechanism, making it difficult to pass the arm through a (SEMI standard) slot valve. Also, the use of fewer motors generally simplifies related control software.
  • Another feature of the 4-link SCARA arm disclosed herein is that the wrist may be offset from centerline. Since the ideal system has a top-mount 9008 as well as a bottom 9004 mount 4-link arm, the vertical arrangement of the arm members may be difficult to adhere to if the manufacturer also must comply with the SEMI standards. In a nutshell, these standards specify the size and reach requirements through a slot valve 4006 into a process module. They also specify the level above centerline on which a wafer has to be carried. Many existing process modules are compliant with this standard. In systems that are non-compliant, the slot valves 4006 are of very similar shape although the opening size might be slightly different as well as the definition of the transfer plane. The SEMI standard dimensional restrictions require a very compact packaging of the arms. Using an offset wrist allows the top 9008 and bottom 9004 arms to get closer together, making it easier for them to pass through the slot valve 4006. If the wrist is not offset, then the arms need to stay further apart vertically and wafer exchanges may take more time, because the drives need to move more in the vertical direction. The proposed design of the top arm does not require that there is a wrist offset, but a wrist offset may advantageously reduce the turn radius of the system, and allows for a better mechanical arm layout, so no interferences occur.
  • FIG. 10 shows reach and containment capabilities of a 4-link SCARA arm 6004.
  • FIG. 11 shows interference characteristics 1102 of a 4-link SCARA arm 6004. The wrist offset may help to fold the arm in a smaller space than would otherwise be possible.
  • FIG. 12 shows a side view of a dual-arm set of 4-link SCARA arms 6004. Because of the packaging constraints of particularly the top arm, it may be necessary to construct an arm that has some unique features. In embodiments, one link upon retracting partially enters a cutout in another arm link. Belts can be set in duplicate, rather than a single belt, so that one belt is above 12004 and one below 12008 the cutout. One solution, which is independent of the fact that this is a 4-link arm, is to make L2 significantly lower 12002, with a vertical gap to L1, so that L3 and L4 can fold inside. Lowering L2 12002 may allow L3 and L4 to reach the correct transfer plane and may allow a better containment ratio. Because of the transfer plane definition, the lowering of L2 12002 may be required.
  • FIG. 13 shows an embodiment in which a combination of belts and linkages is used. The transmission of motion through L1 13002 and L3 13006 may be accomplished by either a single belt or a dual belt arrangement. In contrast, the motion transmission in L2 13004 may be accomplished by a mechanical linkage (spline) 13010. The advantage of such an arrangement may be that enclosed joints can be used which reduces the vertical dimension of the arm assembly that may allow an arm to more easily pass through a SEMI standard slot valve.
  • FIG. 14 shows an external return system for a handling system having a linear architecture 14000. The return mechanism is optionally on the top of the linear vacuum chamber. On conventional vacuum handling systems, the return path is often through the same area as the entry path. This opens up the possibility of cross contamination, which occurs when clean wafers that are moving between process steps get contaminated by residuals entering the system from dirty wafers that are not yet cleaned. It also makes it necessary for the robot 4002 to handle materials going in as well as materials going out, and it makes it harder to control the vacuum environment. By exiting the vacuum system at the rear and moving the wafers on the top back to the front in an air tunnel 14012, there are some significant advantages: the air return may relatively cheap to implement; the air return may free up the vacuum robots 4002 because they do not have to handle materials going out; and the air return may keep clean finished materials out of the incoming areas, thereby lowering cross-contamination risks. Employing a small load lock 14010 in the rear may add some costs, and so may the air tunnel 14012, so in systems that are short and where vacuum levels and cross contamination are not so important, an air return may have less value, but in long systems with many integrated process steps the above-system air return could have significant benefits. The return system could also be a vacuum return, but that would be more expensive and more complicated to implement. It should be understood that while in some embodiments a load lock 14010 may be positioned at the end of a linear system, as depicted in FIG. 14, the load lock 14010 could be positioned elsewhere, such as in the middle of the system. In such an embodiment, a manufacturing item could enter or exit the system at such another point in the system, such as to exit the system into the air return. The advantage of a mid-system exit point may be that in case of a partial system failure, materials or wafers can be recovered. The advantage of a mid-system entry point may be that wafers can be inserted in multiple places in the system, allowing for a significantly more flexible process flow. In effect a mid system entry or exit position behaves like two machines connected together by the mid-system position, effectively eliminating an EFEM position. It should also be understood that while the embodiment of FIG. 14 and subsequent figures is a straight line system, the linear system could be curvilinear; that is, the system could have curves, a U- or V-shape, an S-shape, or a combination of those or any other curvilinear path, in whatever format the manufacturer desires, such as to fit the configuration of a fabrication facility. In each case the system optionally includes an entry point and an exit point that is down the line (although optionally not a straight line) from the entry point. Optionally the air return returns the item from the exit point to the entry point. Optionally the system can include more than one exit point. In each case the robotic arms described herein can assist in efficiently moving items down the line, without the problems of other linear systems. FIG. 14A shows an example of a U-shaped linear system.
  • Referring still to FIG. 14, an embodiment of the system uses a dual carrier mechanism 14008 so that wafers that are finished can quickly be returned to the front of the system, but also so that an empty carrier 14008 can be placed where a full one was just removed. In embodiments the air return will feature a carrier 14008 containing N wafers. N can be optimized depending on the throughput and cost requirements. In embodiments the air return mechanism may contain empty carriers 14008 so that when a full carrier 14018 is removed from the vacuum load lock 14010, a new empty carrier 14008 can immediately be placed and load lock 14010 can evacuated to receive more materials. In embodiments the air return mechanism may be able to move wafers to the front of the system. At the drop-off point a vertical lift 14004 may be employed to lower the carrier to a level where the EFEM (Equipment Front End Module) robot can reach. At the load lock point(s) the vertical lift 14004 can lower to pick an empty carrier 14008 from the load lock.
  • In embodiments the air return mechanism may feature a storage area 14014 for empty carriers 14008, probably located at the very end and behind the location of the load lock 14010. The reason for this is that when the load lock 14010 releases a carrier 14018, the gripper 14004 can grip the carrier 14018 and move it forward slightly. The gripper 14004 can then release the full carrier 14018, move all the way back and retrieve an empty carrier 14008, place it on the load lock 14010. At this point the load lock 14010 can evacuate. The gripper 14004 can now go back to the full carrier 14018 and move it all the way to the front of the system. Once the carrier 14018 has been emptied by the EFEM, it can be returned to the very back where it waits for the next cycle.
  • It is also possible to put the lift in the load lock rather than using the vertical motion in the gripper, but that would be more costly. It would also be slightly less flexible. A manufacturer may want a vertical movement of the carrier 14018 in a few places, and putting it in the gripper 14004 would be more economical because the manufacturer then only needs one vertical mechanism.
  • FIG. 15 shows certain additional details of an external return system for a handling system of FIG. 14.
  • FIG. 16 shows additional details of an external return system for a handling system of FIG. 14.
  • FIG. 17 shows movement of the output carrier 14018 in the return tunnel 14012 of FIG. 14.
  • FIG. 18 shows handling of an empty carrier 14008 in the return system 14012 of FIG. 14.
  • FIG. 19 shows movement of the empty carrier 14008 in the return tunnel 14012 of FIG. 14 into a load lock 14010 position.
  • FIG. 20 shows the empty carrier 14008 lowered and evacuated and movement of the gripper 14004 in the return system of FIG. 14.
  • FIG. 21 shows an empty carrier 14008 receiving material as a full carrier 14018 is being emptied in the return tunnel 14012 of FIG. 14.
  • FIG. 22 shows an empty carrier 14008 brought to a holding position, starting a new return cycle in the return tunnel 14012 of FIG. 14.
  • FIG. 23 shows an architecture for a handling facility for a manufacturing process, with a dual-arm robotic arm system 23002 and a return system in a linear architecture.
  • FIG. 24 shows an alternative embodiment of an overall system architecture for a handling method and system of the present invention.
  • FIG. 25 shows a comparison of the footprint of a linear system 25002 as compared to a conventional cluster system 25004. Note that with the linear system 25002 the manufacturer can easily extend the machine with additional modules without affecting system throughput.
  • FIG. 26 shows a linear architecture deployed with oversized process modules 26002 in a handling system in accordance with embodiments of the invention.
  • FIG. 27 shows a rear-exit architecture for a handling system in accordance with embodiments of the invention.
  • FIG. 28 shows a variety of layout possibilities for a fabrication facility employing linear handling systems in accordance with various embodiments of the invention.
  • FIG. 29 shows an embodiment of the invention wherein a robot 29002 may include multiple drives 29004 and/or multiple controllers 29008. In embodiments a controller 29008 may control multiple drives 29004 as well as other peripheral devices such as slot valves, vacuum gauges, thus a robot 29002 may be a controller 29008 with multiple drives 29004 or multiple controllers 29008 with multiple drives 29004.
  • FIG. 30 shows transfer plane 30002 and slot valve 30004 characteristics relevant to embodiments of the invention.
  • FIG. 31 shows a tumble gripper 31002 for centering wafers. The advantage of the tumble gripper 31002 over the passive centering gripper 32002 in FIG. 32 is that there is less relative motion between the tumblers 31004 and the back-side of the wafer 31008. The tumblers 31004 may gently nudge the wafer 31008 to be centered on the end effector, supporting it on both sides as it moves down. In certain manufacturing processes it may be desirable to center wafers 31008, such as in a vacuum environment. The tumble gripper 31004 may allow the handling of very fragile wafers 31008, such as when employing an end effector at the end of a robotic arm, because it supports both ends of the wafer during handling.
  • FIG. 32 shows a passively centering end effector 32002 for holding wafers 31008. The wafer 31008 is typically slightly off-center when the end effector lifts (or the wafer 31008 is lowered). This results in the wafer 31008 sliding down the ramp and dropping into the cutout 32004. This can result in the wafer 31008 abruptly falling or moving, which in turn can create particles.
  • The methods and systems disclosed herein offer many advantages in the handling of materials or items during manufacturing processes. Among other things, vacuum isolation between robots may be possible, as well as material buffering between robots. A manufacturer can return finished wafers over the top of the system without going through vacuum, which can be a very substantial advantage, requiring only half the necessary handling steps, eliminating cross contamination between finished and unfinished materials and remaining compatible with existing clean room designs. When a manufacturer has relatively dirty wafers entering the system, the manufacturer may want to isolate them from the rest of the machine while they are being cleaned, which is usually the first step in the process. It may be advantageous to keep finished or partially finished materials away from the cleaning portion of the machine.
  • Other advantages may be provided by the methods and systems disclosed herein. The dual arms (top mounted and bottom mounted) may work in coordinated fashion, allowing very fast material exchanges. Regardless of the exact arm design (3-link, 4-link or other), mounting an arm in the lid that is not mechanically connected to the arm in the bottom can be advantageous. The link lengths of the 4-link SCARA arm provided herein can be quite advantageous, as unlike conventional arms they are determined by the mechanical limits of slot valves and chamber radius. The 4-link SCARA arms disclosed herein are also advantageous in that they can use two motors for the links, along with a Z motor, rather than three motors plus the Z motor.
  • A linear vacuum system where materials exit in the rear may offer substantial benefits. Another implementation may be to have both the entry system and exit system installed through two opposing walls.
  • The 4-link SCARA arm disclosed herein may also allow link L3 to swing into and over link L2 for the top robot drive. This may not be easily done with the 3-link SCARA, nor with existing versions of 4-link SCARA arms, because they have the wrong link lengths.
  • The gripper for carriers and the multiple carrier locations in the linear system may also offer substantial benefits in materials handling in a linear manufacturing architecture. Including vertical movement in the gripper and/or in the rear load lock may offer benefits as well.
  • While the invention has been described in connection with certain preferred embodiments, one of ordinary skill in the art will recognize other embodiments that are encompassed herein.
  • FIG. 33 illustrates a fabrication facility including a mid-entry point 33022. In an embodiment, the fabrication facility may include a load lock mid-stream 33002 where wafers 31008 can be taken out or entered. There can be significant advantages to such a system, including providing a processing facility that provides dual processing capabilities (e.g. connecting two machines behind each other, but only need to use one EFEM). In an embodiment, the air return system 14012 can also take new wafers 31008 to the midpoint 33022 and enter wafers 31008 there.
  • FIG. 34 illustrates several top views of a fabrication facility with mid-entry points 33002. The figure also illustrates how the combination of a mid-entry point effectively functions to eliminate one of the EFEMs 34002.
  • FIG. 35 illustrates a fabrication facility including a series of sensors 35002. In many fabrication facilities such sensors 35002 are commonly used to detect whether a material 35014 is still present on a robotic arm 35018. Such sensors 35002 may be commonly placed at each vacuum chamber 4012 entry and exit point. Such sensors 35002 may consist of a vertical optical beam, either employing an emitter and detector, or employing a combination emitter/detector and a reflector. In a vacuum handling facility, the training of robotic stations is commonly accomplished by a skilled operator who views the position of the robot arm and materials and adjusts the robot position to ensure that the material 35014 is deposited in the correct location. However, frequently these positions are very difficult to observe, and parallax and other optical problems present significant obstacles in properly training a robotic system. Hence a training procedure can consume many hours of equipment downtime.
  • Several automated training applications have been developed, but they may involve running the robotic arm into a physical obstacle such as a wall or edge. This approach has significant downsides to it: physically touching the robot to an obstacle risks damage to either the robot or the obstacle, for example many robot end effectors are constructed using ceramic materials that are brittle, but that are able to withstand very high wafer temperatures. Similarly, inside many process modules there objects that are very fragile and easily damaged. Furthermore, it may not be possible to employ these auto-training procedures with certain materials, such as a wafer 31008 present on the robot end effector. Moreover, the determination of vertical position is more difficult because upward or downward force on the arm caused by running into an obstacle is much more difficult to detect.
  • In the systems described herein, a series of sensors 35002-35010 may include horizontal sensors 35004-35010 and vertical sensors 35002. This combination of sensors 35002-35010 may allow detection, for example through optical beam breaking, of either a robotic end effector, arm, or a handled object. The vertical sensor 35002 may be placed slightly outside the area of the wafer 31008 when the robotic arm 35018 is in a retracted position. The vertical sensor 35002 may also, or instead, be placed in a location such as a point 35012 within the wafer that is centered in front of the entrance opening and covered by the wafer when the robot is fully retracted. In this position the sensor may be able to tell the robotic controller that it has successfully picked up a wafer 31008 from a peripheral module.
  • Horizontal sensors 35004-35010 may also be advantageously employed. In vacuum cluster tools, horizontal sensors 35004-35010 are sometimes impractical due to the large diameter of the vacuum chamber, which may make alignment of the horizontal sensors 35004-35010 more complicated. In the systems described above, the chamber size may be reduced significantly, thus may make it practical to include one or more horizontal sensors 35004-35010.
  • FIG. 36 illustrates other possible locations of the horizontal sensors 35004-35010 and vertical sensors 35002, such as straight across the chamber (36002 and 36008) and/or through mirrors 36006 placed inside the vacuum system.
  • FIG. 37 illustrates a possible advantage of placing the sensor 35002 slightly outside the wafer 37001 radius when the robot arm is fully retracted. During a retract motion the sensor 35002 detects the leading edge of the wafer 37001 at point “a” 37002 and the trailing edge at point “b” 37004. These results may indicate that the wafer 37001 was successfully retrieved, but by tying the sensor 35002 signal to the encoders, resolvers or other position elements present in the robotic drive, one can also calculate if the wafer 37001 is centered with respect to the end effector. The midpoint of the line segment “a-b” 37002 37004 should correspond to the center of the end effector because of the circular geometry of a wafer 37001. If the wafer 37001 slips on the end effector, inconsistent length measurements may reveal the slippage.
  • Additionally, during a subsequent rotation and movement, a second line segment “c-d” 37008 37010 may be detected when the wafer 37001 edges pass through the sensor. Again, the midpoint between “c” 37008 and “d” 37010 should coincide with the center of the end effector, and may permit a measurement or confirmation of wafer centering.
  • The above method may allow the robot to detect the wafer 37001 as well as determine if the wafer 37001 is off-set from the expected location on the end effector.
  • The combination of horizontal and vertical sensors 35002-35010 may allow the system to be taught very rapidly using non-contact methods: the robotic arm and end effectors may be detected optically without the need for mechanical contact. Furthermore, the optical beams can be used during real-time wafer 37001 handling to verify that wafers 37001 are in the correct position during every wafer 37001 handling move.
  • FIG. 38 illustrates a conventional vacuum drive with two rotary axes 38020 and 38018 and a vertical (Z) axis 38004. A bellows 38016 may allow for the vertical Z-axis 38002 motion. A thin metal cylinder 38024 affixed to the bottom of the bellows 18016 may provide a vacuum barrier between the rotor and the stator of the motors 38010 and 38014. This arrangement may require in-vacuum placement of many components: electrical wires and feedthroughs, encoders, signal LEDs and pick-ups 38008, bearings 38012, and magnets 38006. Magnets 38006, bearings 38012, wires and connectors, and encoders can be susceptible to residual processing gasses present in the vacuum environment. Furthermore, it may be difficult to remove gasses trapped in the bottom of the cylinder 38024, as the gasses may have to follow a convoluted path 38022 when evacuated.
  • FIG. 39 illustrates a vacuum robot drive that may be used with the systems described herein. The rotary drive forces may be provided by two motor cartridges 39004 and 39006. Each cartridge may have an integral encoder 39008, bearings 39018 and magnets 39020. Some or all of these components may be positioned outside the vacuum envelope. A concentric dual-shaft rotary seal unit 39016 may provide vacuum isolation for the rotary motion using, for example, lip-seals or ferrofluidic seals. This approach may reduce the number of components inside the vacuum system. It may also permit servicing of the motors 39004, 39006 and encoders 39008 without breaking vacuum, thereby increasing serviceability of the drive unit.
  • FIG. 40 shows a stacked vacuum load lock 4008, 40004 for entering materials into a vacuum environment. One limiting factor on bringing wafers 31008 into a vacuum system is the speed with which the load lock can be evacuated to high vacuum. If the load lock is pumped too fast, condensation may occur in the air in the load lock chamber, resulting in precipitation of nuclei on the wafer 31008 surfaces, which can result in particles and can cause defects or poor device performance. Cluster tools may employ two load locks side by side, each of which is alternately evacuated. The pumping speed of each load lock can thus be slower, resulting in improved performance of the system. With two load locks 4008 40004 in a vertical stack, the equipment footprint stays very small, but retains the benefit of slower pumping speed. In embodiments, the load lock 40004 can be added as an option. In embodiments the robotic arms 4004 and 40006 can each access either one of the two load locks 4008 40004. In embodiments the remaining handoff module 7008 could be a single level handoff module.
  • FIG. 40B shows another load lock layout. In this figure wafers 31008 can be entered and can exit at two levels on either side of the system, but follow a shared level in the rest of the system.
  • FIG. 41 details how the previous concept of stacked load locks 4008 40004 can be also implemented throughout a process by stacking two process modules 41006, 41008. Although such modules would not be compliant with the SEMI standard, such an architecture may offer significant benefits in equipment footprint and throughput.
  • FIG. 42 shows a system with two handling levels 4008, 40004, 4010, 42004: wafers may be independently transported between modules using either the top link 40006 or the bottom link 4004. Optionally, each handling level may have two load is locks to provide the advantage of reduced evacuation speed noted above. Thus a system with four input load locks, two handling levels, and optionally four output load locks, is also contemplated by description provided herein, as are systems with additional load lock and handling levels.
  • FIG. 43 shows a top view of the system of FIG. 42.
  • FIG. 44 depicts a special instrumented object 44014, such as a wafer. One or more sensors 44010 may be integrated into the object 44014, and may be able to detect environmental factors around the object 44014. The sensors 44010 may include proximity sensors such as capacitive, optical or magnetic proximity sensors. The sensors 44010 may be connected to an amplifier/transmitter 44012, which may use battery power to transmit radio frequency or other sensor signals, such as signals conforming to the 802.11b standard, to a receiver 44004.
  • In many instances it may be difficult or impossible to put instrumentation on an object 44014 used to train a robot, because the wires that are needed to power and communicate to the instruments and sensors interfere with proper robotic motion or with the environment that the robot moves through. By employing a wireless connection to the object, the problem of attached wires to the object may be resolved.
  • The object 44014 can be equipped with numerous sensors of different types and in different geometrically advantageous patterns. In the present example, the sensors 1 through 6 (44010) are laid out in a radius equal to the radius of the target object 44008. In embodiments these sensors are proximity sensors. By comparing the transient signals from the sensors 44010, for example sensor 1 and sensor 6, it can be determined if the object 44014 is approaching a target 44008 at the correct orientation. If the target 44008 is not approached correctly, one of the two sensors 44010 may show a premature trigger. By monitoring multiple sensors 44010, the system may determine if the object 44010 is properly centered above the target 44008 before affecting a handoff. The sensors 44010 can be arranged in any pattern according to, for example, efficiency of signal analysis or any other constraints. Radio frequency signals also advantageously operate in a vacuum environment.
  • FIG. 45 shows the system of FIG. 44 in a side orientation illustrating the non-contact nature of orienting the instrumented object 44014 to a target 44008. The sensors 44010 may include other sensors for measuring properties of the target 44008, such as temperature.
  • FIG. 46 depicts radio frequency communication with one or more sensors. A radio frequency sensor signal 44016 may be transmitted to an antenna 46002 within a vacuum. Appropriate selection of wavelengths may improve signal propagation with a fully metallic vacuum enclosure. The use of sensors in wireless communication with an external receiver and controller may provide significant advantages. For example, this technique may reduce the time required for operations such as finding the center of a target, and information from the sensor(s) may be employed to provide visual feedback to an operator, or to automate certain operations using a robotic arm. Furthermore, the use of one or more sensors may permit measurements within the chamber that would otherwise require release of the vacuum to open and physically inspect the chamber. This may avoid costly or time consuming steps in conditioning the interior of the chamber, such as depressurization and baking (to drive out moisture or water vapor).
  • FIG. 47 illustrates the output from multiple sensors 44010 as a function of the robot movement. When the robot moves over the target 44008 the motion may result in the sensors providing information about, for example, distance to the target 44008 if the sensors are proximity sensors. The signals can be individually or collectively analyzed to determine a location for the target 44008 relative to the sensors. Location or shape may be resolved in difference directions by moving the sensor(s) in two different directions and monitoring sensor signals, without physically contacting the target 44008.
  • FIG. 48 depicts a technique for inserting and removing wafers 48008 from a vacuum system. One or more heating elements, such as a set of heating elements 48002, 48004, and 48006 may be employed, individually or in combination, to heat a chamber 4008 and a substrate material 48008 to an elevated temperature of 50° C. to 400° C. or more. This increase in starting temperature may mitigate condensation that would otherwise occur as pressure decreases in the chamber, and may allow for a more rapid pump down sequence to create a vacuum. When heated wafers 48008 are moved to the load lock 4008 by the robotic arm 4002, they may be significantly warmer than shelves 48004, 48006, such that shelves 48004, 48006 may cool the wafers on contact. A heating power supply may regulate heat provided to the shelves 48004 48006 to maintain a desired temperature for the shelves and/or wafers. A suitable material selection for the shelves 48004, 48006 may result in the system reacting quickly to heating power changes, resulting in the possibility of different temperature settings for different conditions, for example a higher temperature setting during pump-down of the chamber 4008 and a lower setting during venting of chamber 4008.
  • Preheating the wafers 48008 may reduce condensation and particles while reducing process time. At the same time, the wafers 48008 may be too hot when exiting the system, such that they present a safety hazard, or melt handling and support materials such as plastic. Internal temperatures of about 80 to 100° C. degrees, and external temperatures of about 50° C. degrees or less may, for example, meet these general concerns.
  • FIG. 49 illustrates a robotic end effector 49002. The robotic end effector 49002 may be tapered so that it has a non-uniform thickness through one or more axes. For example, the robotic end effector 49002 may have a taper when viewed from the side or from the top. The taper may mitigate resonant vibrations along the effector 49002. At the same time, a relatively narrow cross-sectional profile (when viewed from the side) may permit easier maneuvering between wafers. The side-view taper may be achieved by grinding or machining, or by a casting process of the effector 49002 with a taper. Materials such as Aluminum Silicon Carbide (AlSiC 9) may be advantageously cast into this shape to avoid subsequent machining or other finishing steps. A casting process offers the additional advantage that the wafer support materials 49004 can be cast into the mold during the casting process, thereby reducing the number of components that require physical assembly.
  • As shown in FIG. 50, similar techniques may be applied to robotic arm segments 50002 and 50004. The same dampening effect may be achieved to attenuate resonant vibrations in the arm segments 50002 50004 as described above. The tapered shape may be achieved using a variety of known processes, and may allow more rapid movement and more precise control over a resulting robotic arm segment.
  • FIG. 51 shows a dual independent SCARA arm employing five motors 51014. Each lower arm 51002 and 51008 can be independently actuated by the motors 51014. The arms are connected at the distal end to upper arms 51004 and 51010. The configuration gives a relatively small retract radius, but a somewhat limited extension.
  • FIG. 52 shows a dual dependent SCARA arm employing 4 motors 52010. The links 52002 and 52004 may be common to the end effectors 52006 and 52008. The motors 52010 may control the end effectors 52006 and 52008 in such a way that during an extension motion of the lower arm 52002, the desired end effector, (say 52008) may be extended into the processing modules, whereas the inactive end effector (say 52006) may be pointed away from the processing module.
  • FIG. 53 shows a frog-leg style robotic arm. The arm can be used in connection with various embodiments described herein, such as to enable passing of workpieces, such as semiconductor wafers, from arm-to-arm in a series of such arms, such as to move workpieces among semiconductor process modules.
  • FIG. 54 shows a dual frog-leg arm that can be employed in a planar robotic system, such as one of the linear, arm-to-arm systems described in this disclosure.
  • FIG. 55A illustrates a 4-Link SCARA arm as described in this disclosure mounted to a cart 55004. Such a cart may move in a linear fashion by a guide rail or magnetic levitation track 55008 and driven by a motor 55002 internal or external to the system. The 4-Link SCARA arm has the advantage that it fold into a smaller retract radius than a 3-Link SCARA arm, while achieving a larger extension into a peripheral module such as a process module all the while avoiding a collision with the opening that the arm has to reach through. An inverted cart 55006 could be used to pass substrates over the cart 55004.
  • FIG. 55B shows a top view of the system described in FIG. 55A.
  • FIG. 56 illustrates a linear system described in this disclosure using a combination of dual independent and single SCARA robotic arms. Such a system may not be as compact as a system employing a 4-Link SCARA arm robotic system.
  • FIG. 57 demonstrates a vertically stacked handling system employing a 4-Link SCARA robotic arm, where the arm can reach any and all of the peripheral process modules 5002. By rotating the process modules in the top level 57004 by approximately 45 degrees and mounting the top level components to the bottom level chambers 57002, the top and bottom of each of the process modules may remain exposed for service access as well as for mounting components such as pumps, electrodes, gas lines and the like. The proposed layout may allow for the combination of seven process modules 5002 in a very compact space.
  • FIG. 58A illustrates a variation of FIG. 57, where the bottom level 58002 of the system consists of a plurality of robotic systems as described in this disclosure and the top level system 58004 employs process modules 5002 oriented at a 45 degree angle to the main system axis. The proposed layout allows for the combination of nine process modules 5002 in a very compact space.
  • FIG. 58B illustrates a variation of FIG. 58A with the use of a rear-exit load lock facility to remove substrates such as semiconductor wafers from the system.
  • FIG. 59A shows a linear handling system accommodating large substrate processing modules 59004 while still allowing for service access 59002, and simultaneously still providing locations for two standard sized process module 5002.
  • FIG. 59B demonstrates a system layout accommodating four large process modules 59004 and a standard sized process module 59002 while still allowing service access to the interior of process modules 59002.
  • FIG. 60 shows a dual frog robot with arms substantially on the same side of the robotic drive component. The lower arms 60002 support two sets of upper arms 60004 which are mechanically coupled to the motor set 54010.
  • Having thus described several illustrative embodiments, it is to be appreciated that various alterations, modifications, and improvements will readily occur to those skilled in the art. Such alterations, modifications, and improvements are intended to form a part of this disclosure, and are intended to be within the spirit and scope of this disclosure. While some examples presented herein involve specific combinations of functions or structural elements, it should be understood that those functions and elements may be combined in other ways according to the present invention to accomplish the same or different objectives. In particular, acts, elements, and features discussed in connection with one embodiment are not intended to be excluded from similar or other roles in other embodiments. Accordingly, the foregoing description and attached drawings are by way of example only, and are not intended to be limiting.

Claims (14)

1. A system comprising a component for material handling in a semiconductor manufacturing process, the component having a taper that establishes a non-uniform cross-section that mitigates a propagation of resonant vibrations in the component.
2. The system of claim 1 wherein the component includes an end effector.
3. The system of claim 2 wherein a top surface of the end effector is flat.
4. The system of claim 2 wherein a bottom surface of the end effector is tapered.
5. The system of claim 2 wherein the end effector is made of a cast material.
6. The system of claim 5 wherein the taper is designed into a casting for the cast material used to build the end effector.
7. The system of claim 1 wherein the component is a robotic arm.
8. The system of claim 1 wherein the component is a link of a robotic arm.
9. The system of claim 7 further comprising a plurality of tapered links, at least two of the links tapered in a manner to minimize a thickness of the tapered links when the tapered links are overlapped.
10. The system of claim 1 wherein the component includes an end effector and a robotic arm, each of the end effector and the robotic arm being tapered.
11. A semiconductor handling method, comprising:
providing an end effector for handling a semiconductor wafer; and
tapering the end effector to reduce resonant vibrations of the end effector.
12. The method of claim 11 further comprising constructing the end effector of aluminum silicon carbide.
13. A semiconductor handling method comprising:
providing a robotic arm facility; and
tapering at least one link of the robotic arm facility to dampen vibrations of the robotic arm facility.
14. The method of claim 13 further comprising constructing at least one link of the robotic arm from aluminum silicon carbide.
US10/985,843 2003-11-10 2004-11-10 Methods and systems for reducing the effect of vibration in a vacuum-based semiconductor handling system Abandoned US20050111956A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/985,843 US20050111956A1 (en) 2003-11-10 2004-11-10 Methods and systems for reducing the effect of vibration in a vacuum-based semiconductor handling system

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US51882303P 2003-11-10 2003-11-10
US60764904P 2004-09-07 2004-09-07
US10/985,843 US20050111956A1 (en) 2003-11-10 2004-11-10 Methods and systems for reducing the effect of vibration in a vacuum-based semiconductor handling system

Publications (1)

Publication Number Publication Date
US20050111956A1 true US20050111956A1 (en) 2005-05-26

Family

ID=34594927

Family Applications (12)

Application Number Title Priority Date Filing Date
US10/985,839 Active 2025-09-05 US7422406B2 (en) 2003-11-10 2004-11-10 Stacked process modules for a semiconductor handling system
US10/985,727 Active US7210246B2 (en) 2003-11-10 2004-11-10 Methods and systems for handling a workpiece in vacuum-based material handling system
US10/985,844 Abandoned US20050113964A1 (en) 2003-11-10 2004-11-10 Sensor methods and systems for semiconductor handling
US10/985,846 Abandoned US20050113976A1 (en) 2003-11-10 2004-11-10 Software controller for handling system
US10/985,730 Abandoned US20050223837A1 (en) 2003-11-10 2004-11-10 Methods and systems for driving robotic components of a semiconductor handling system
US10/985,843 Abandoned US20050111956A1 (en) 2003-11-10 2004-11-10 Methods and systems for reducing the effect of vibration in a vacuum-based semiconductor handling system
US11/382,491 Active 2027-09-06 US8439623B2 (en) 2003-11-10 2006-05-10 Linear semiconductor processing facilities
US11/846,290 Active 2026-05-09 US7959403B2 (en) 2003-11-10 2007-08-28 Linear semiconductor processing facilities
US12/206,382 Active 2024-11-15 US8029225B2 (en) 2003-11-10 2008-09-08 Stacked process modules for a semiconductor handling system
US13/158,883 Active 2025-01-12 US8807905B2 (en) 2003-11-10 2011-06-13 Linear semiconductor processing facilities
US13/248,600 Active 2025-10-26 US8944738B2 (en) 2003-11-10 2011-09-29 Stacked process modules for a semiconductor handling system
US14/611,697 Abandoned US20150221534A1 (en) 2003-11-10 2015-02-02 Stacked process modules for a semiconductor handling system

Family Applications Before (5)

Application Number Title Priority Date Filing Date
US10/985,839 Active 2025-09-05 US7422406B2 (en) 2003-11-10 2004-11-10 Stacked process modules for a semiconductor handling system
US10/985,727 Active US7210246B2 (en) 2003-11-10 2004-11-10 Methods and systems for handling a workpiece in vacuum-based material handling system
US10/985,844 Abandoned US20050113964A1 (en) 2003-11-10 2004-11-10 Sensor methods and systems for semiconductor handling
US10/985,846 Abandoned US20050113976A1 (en) 2003-11-10 2004-11-10 Software controller for handling system
US10/985,730 Abandoned US20050223837A1 (en) 2003-11-10 2004-11-10 Methods and systems for driving robotic components of a semiconductor handling system

Family Applications After (6)

Application Number Title Priority Date Filing Date
US11/382,491 Active 2027-09-06 US8439623B2 (en) 2003-11-10 2006-05-10 Linear semiconductor processing facilities
US11/846,290 Active 2026-05-09 US7959403B2 (en) 2003-11-10 2007-08-28 Linear semiconductor processing facilities
US12/206,382 Active 2024-11-15 US8029225B2 (en) 2003-11-10 2008-09-08 Stacked process modules for a semiconductor handling system
US13/158,883 Active 2025-01-12 US8807905B2 (en) 2003-11-10 2011-06-13 Linear semiconductor processing facilities
US13/248,600 Active 2025-10-26 US8944738B2 (en) 2003-11-10 2011-09-29 Stacked process modules for a semiconductor handling system
US14/611,697 Abandoned US20150221534A1 (en) 2003-11-10 2015-02-02 Stacked process modules for a semiconductor handling system

Country Status (7)

Country Link
US (12) US7422406B2 (en)
EP (1) EP1684951B1 (en)
JP (2) JP5226215B2 (en)
KR (1) KR20070008533A (en)
IL (1) IL175518A0 (en)
SG (1) SG132670A1 (en)
WO (1) WO2005048313A2 (en)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050194096A1 (en) * 2003-08-29 2005-09-08 Crossing Automation, Inc. Method and apparatus for semiconductor processing
US20070116549A1 (en) * 2005-11-21 2007-05-24 Michael Rice Methods and apparatus for transferring substrates during electronic device manufacturing
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US20070274810A1 (en) * 2006-05-26 2007-11-29 Holtkamp William H Linearly distributed semiconductor workpiece processing tool
US20080056856A1 (en) * 2006-08-31 2008-03-06 Brooks Automation, Inc. Compact Processing Apparatus
US20090162179A1 (en) * 2002-07-22 2009-06-25 Brooks Automation, Inc. Substrate processing apparatus
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US8371792B2 (en) 2002-07-22 2013-02-12 Brooks Automation, Inc. Substrate processing apparatus
US8602706B2 (en) 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
US20140042039A1 (en) * 2012-08-07 2014-02-13 Molecular Devices, Llc Apparatuses and methods for conditioning and reorienting components of an electrophysiology measurement system
US8747046B2 (en) 2010-12-28 2014-06-10 Hitachi High-Technologies Corporation Vacuum processing apparatus
US20140271050A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Wafer handling systems and methods
US20150044001A1 (en) * 2013-08-09 2015-02-12 Persimmon Technologies, Corp. Reduced Footprint Substrate Transport Vacuum Platform
US20160133519A1 (en) * 2014-11-07 2016-05-12 James M. Holden Transfer arm for film frame substrate handling during plasma singulation of wafers
US20170018446A1 (en) * 2015-07-13 2017-01-19 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
US10099377B2 (en) * 2016-06-29 2018-10-16 Applied Materials, Inc. Methods and systems providing misalignment correction in robots
US20190019708A1 (en) * 2015-04-20 2019-01-17 Applied Materials, Inc. Buffer Chamber Wafer Heating Mechanism And Supporting Robots
US11257696B2 (en) 2016-10-18 2022-02-22 Mattson Technology, Inc. Systems and methods for workpiece processing
US11348767B2 (en) 2019-05-14 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Plasma processing apparatus having a focus ring adjustment assembly
US11352220B2 (en) 2011-10-26 2022-06-07 Brooks Automation Us, Llc Semiconductor wafer handling and transport
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing

Families Citing this family (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7018517B2 (en) 2002-06-21 2006-03-28 Applied Materials, Inc. Transfer chamber for vacuum processing system
US6949938B2 (en) * 2002-11-20 2005-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Prevention of robot damage via capacitive sensor assembly
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8602716B2 (en) * 2003-11-10 2013-12-10 Brooks Automation, Inc. Semiconductor manufacturing process modules
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US8639365B2 (en) 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US7422406B2 (en) 2003-11-10 2008-09-09 Blueshift Technologies, Inc. Stacked process modules for a semiconductor handling system
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US20070282480A1 (en) 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US8639489B2 (en) 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US8000837B2 (en) * 2004-10-05 2011-08-16 J&L Group International, Llc Programmable load forming system, components thereof, and methods of use
US7314808B2 (en) * 2004-12-23 2008-01-01 Applied Materials, Inc. Method for sequencing substrates
WO2006088757A1 (en) * 2005-02-12 2006-08-24 Applied Materials, Inc. Multi-axis vacuum motor assembly
JP4860167B2 (en) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 Load lock device, processing system, and processing method
US7513822B2 (en) * 2005-06-18 2009-04-07 Flitsch Frederick A Method and apparatus for a cleanspace fabricator
US10651063B2 (en) 2005-06-18 2020-05-12 Frederick A. Flitsch Methods of prototyping and manufacturing with cleanspace fabricators
US20130226329A1 (en) * 2006-08-12 2013-08-29 Frederick A. Flitsch Cleanspace Fabricators for High Technology Manufacturing and Assembly Processing
US11024527B2 (en) 2005-06-18 2021-06-01 Frederick A. Flitsch Methods and apparatus for novel fabricators with Cleanspace
US10627809B2 (en) 2005-06-18 2020-04-21 Frederick A. Flitsch Multilevel fabricators
JP2007005582A (en) * 2005-06-24 2007-01-11 Asm Japan Kk Substrate transfer apparatus and semiconductor substrate manufacturing apparatus mounted with the same
JP5014603B2 (en) * 2005-07-29 2012-08-29 株式会社アルバック Vacuum processing equipment
CN100358097C (en) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 Semiconductor technology processing system and method
CN100388457C (en) * 2005-12-08 2008-05-14 北京圆合电子技术有限责任公司 Vacuum mechanical-arm
KR101057530B1 (en) * 2005-12-20 2011-08-17 어플라이드 머티어리얼스, 인코포레이티드 Enlarged Body for Semiconductor Device Manufacturing Equipment
US7387484B2 (en) * 2005-12-21 2008-06-17 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer positioning systems and methods thereof
JP5959138B2 (en) * 2006-03-05 2016-08-02 ブルックス オートメーション インコーポレイテッド Semiconductor wafer handling equipment
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
US20080025823A1 (en) * 2006-07-31 2008-01-31 Masahiko Harumoto Load lock device, and substrate processing apparatus and substrate processing system including the same
US7751919B2 (en) * 2006-08-19 2010-07-06 Dynamic Micro Systems Method for operating equipment using buffer station having emergency access
US9524896B2 (en) * 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US7901539B2 (en) * 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US8419341B2 (en) * 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US8293066B2 (en) * 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
WO2008041169A2 (en) * 2006-10-06 2008-04-10 Dynamic Micro Systems Redundantable robot assembly for workpiece transfer
US8182198B2 (en) * 2006-10-06 2012-05-22 Dynamic Micro Systems Semiconductor Equipment Gmbh Redundantable robot assembly for workpiece transfer
US9122272B2 (en) 2006-10-06 2015-09-01 Dynamic Micro Systems Redundantable robot assembly for workpiece transfer
US7410542B2 (en) * 2006-10-10 2008-08-12 Paul Terrance Nolan Variable environment, scale-able, roll to roll system and method for manufacturing thin film electronics on flexible substrates
TW200900210A (en) * 2006-11-09 2009-01-01 Ihi Corp Frog-leg arm robot and control method thereof
JP2008137738A (en) * 2006-11-30 2008-06-19 Asyst Technologies Japan Inc Overhead traveling carrying device
US20080138178A1 (en) * 2006-12-06 2008-06-12 Axcelis Technologies,Inc. High throughput serial wafer handling end station
KR100847888B1 (en) * 2006-12-12 2008-07-23 세메스 주식회사 Apparatus for fabricating semiconductor device
US20080206022A1 (en) * 2007-02-27 2008-08-28 Smith John M Mult-axis robot arms in substrate vacuum processing tool
US20080206023A1 (en) * 2007-02-27 2008-08-28 Smith John M Semiconductor substrate processing apparatus with horizontally clustered vertical stacks
US20080202417A1 (en) * 2007-02-27 2008-08-28 Smith John M Self-contained process modules for vacuum processing tool
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
US20080202892A1 (en) * 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
US10163667B2 (en) * 2007-03-22 2018-12-25 Brooks Automation, Inc. Linear wafer drive for handling wafers during semiconductor fabrication
WO2008140728A2 (en) * 2007-05-08 2008-11-20 Brooks Automation, Inc. Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
KR102121016B1 (en) * 2007-05-08 2020-06-09 브룩스 오토메이션 인코퍼레이티드 Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
US8267636B2 (en) 2007-05-08 2012-09-18 Brooks Automation, Inc. Substrate transport apparatus
WO2009026372A1 (en) * 2007-08-20 2009-02-26 Blueshift Technologies, Inc. Wafer presence detection
CA2699976A1 (en) * 2007-09-24 2009-04-02 Arborgen, Llc Apparatus for and method of preparing plant tissue for plant production
US8099192B2 (en) * 2007-11-06 2012-01-17 Novellus Systems, Inc. Method and apparatus for teaching a workpiece transfer robot
JP4494524B2 (en) * 2007-11-09 2010-06-30 キヤノンアネルバ株式会社 Inline wafer transfer device
CN101842890A (en) * 2007-11-09 2010-09-22 佳能安内华股份有限公司 Inline-type wafer conveyance device
JP4473343B2 (en) * 2007-11-09 2010-06-02 キヤノンアネルバ株式会社 Inline wafer transfer device
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
NL1036794A1 (en) * 2008-04-25 2009-10-27 Asml Netherlands Bv Robot for in-vacuum use.
US8185242B2 (en) * 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
JP5405235B2 (en) * 2008-09-05 2014-02-05 Ntn株式会社 Production equipment and production system
US8701307B2 (en) 2008-09-17 2014-04-22 Howard C. Slack Method for cleaning and reconditioning FCR APG-68 tactical radar units
US8056256B2 (en) * 2008-09-17 2011-11-15 Slack Associates, Inc. Method for reconditioning FCR APG-68 tactical radar units
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
US8666551B2 (en) * 2008-12-22 2014-03-04 Asm Japan K.K. Semiconductor-processing apparatus equipped with robot diagnostic module
TWI394224B (en) * 2009-02-24 2013-04-21 Intevac Inc Apparatus and methods for transporting and processing substrates
US8293532B2 (en) * 2009-03-26 2012-10-23 Dow AgroSciences, L.L.C. Method and apparatus for tissue transfer
JP2011009362A (en) * 2009-06-24 2011-01-13 Tokyo Electron Ltd Imprint system, imprinting method, program, and computer storage medium
JP5060517B2 (en) * 2009-06-24 2012-10-31 東京エレクトロン株式会社 Imprint system
US8671855B2 (en) * 2009-07-06 2014-03-18 Peat International, Inc. Apparatus for treating waste
JP4924680B2 (en) * 2009-09-09 2012-04-25 村田機械株式会社 Transfer equipment
JP5358366B2 (en) * 2009-09-14 2013-12-04 東京エレクトロン株式会社 Substrate processing apparatus and method
TWI408766B (en) 2009-11-12 2013-09-11 Hitachi High Tech Corp Vacuum processing device
US8459922B2 (en) * 2009-11-13 2013-06-11 Brooks Automation, Inc. Manipulator auto-teach and position correction system
JP2011119556A (en) * 2009-12-07 2011-06-16 Yaskawa Electric Corp Horizontal multi-joint robot and transportation apparatus including the same
JP5282021B2 (en) * 2009-12-14 2013-09-04 株式会社日立ハイテクノロジーズ Semiconductor processing system and semiconductor processing method
JP5476162B2 (en) * 2010-03-02 2014-04-23 株式会社日立ハイテクノロジーズ Vacuum processing apparatus and program
JP5586271B2 (en) * 2010-03-02 2014-09-10 株式会社日立ハイテクノロジーズ Vacuum processing apparatus and program
JP5476171B2 (en) 2010-03-16 2014-04-23 株式会社日立ハイテクノロジーズ Vacuum processing equipment
WO2011148782A1 (en) * 2010-05-27 2011-12-01 シャープ株式会社 Substrate processing apparatus and temporary storage shelf
JP2012028659A (en) * 2010-07-27 2012-02-09 Hitachi High-Technologies Corp Vacuum processing apparatus
JP5614326B2 (en) 2010-08-20 2014-10-29 東京エレクトロン株式会社 Substrate transport apparatus, substrate transport method, and recording medium on which program for executing the substrate transport method is recorded
KR101708420B1 (en) * 2010-09-15 2017-02-21 삼성디스플레이 주식회사 Depositing system for substrate and depositing method using the same
TWI586500B (en) * 2010-10-08 2017-06-11 布魯克斯自動機械公司 Robotic transport apparatus and substrate processing apparatus
WO2012048346A1 (en) * 2010-10-08 2012-04-12 Brooks Automation, Inc. Coaxial drive vacuum robot
SG10201601482YA (en) * 2011-03-03 2016-04-28 Life Technologies Corp Sampling Probes, Systems, Apparatuses, And Methods
DE102011075036A1 (en) * 2011-04-29 2012-10-31 Hamilton Bonaduz Ag Punching device with gripping unit
JP5476337B2 (en) * 2011-05-26 2014-04-23 株式会社日立ハイテクノロジーズ Vacuum processing apparatus and program
US9177842B2 (en) 2011-08-10 2015-11-03 Applied Materials, Inc. Degassing apparatus adapted to process substrates in multiple tiers with second actuator
JP2013045817A (en) * 2011-08-23 2013-03-04 Hitachi High-Technologies Corp Vacuum processing apparatus and vacuum processing method
JP6084618B2 (en) * 2011-09-16 2017-02-22 パーシモン テクノロジーズ コーポレイションPersimmon Technologies, Corp. Low fluctuation robot
US9048271B2 (en) * 2011-09-29 2015-06-02 Asm International N.V. Modular semiconductor processing system
US8968532B2 (en) * 2011-10-06 2015-03-03 Applied Materials, Inc. Electrochemical processor alignment system
JP5494617B2 (en) * 2011-10-26 2014-05-21 株式会社安川電機 Robot system and method of manufacturing processed product
CN102506712A (en) * 2011-11-04 2012-06-20 中国科学院微电子研究所 Laser detection device
JP5923288B2 (en) * 2011-12-01 2016-05-24 株式会社日立ハイテクノロジーズ Vacuum processing apparatus and operating method of vacuum processing apparatus
US9014836B2 (en) * 2011-12-15 2015-04-21 The Boeing Company Autonomous carrier system for moving aircraft structures
JP5810929B2 (en) * 2012-01-13 2015-11-11 シンフォニアテクノロジー株式会社 Wafer transfer device
CN104094394A (en) * 2012-02-08 2014-10-08 应用材料公司 Dynamic load lock with cellular structure for discrete substrates
KR20130096072A (en) * 2012-02-21 2013-08-29 삼성전자주식회사 Substrate transfer apparatus
US20150135880A1 (en) * 2012-03-15 2015-05-21 Moog Inc. Sealed robot base system
JP5738796B2 (en) 2012-04-11 2015-06-24 株式会社日立ハイテクノロジーズ Processing room assignment setting device and processing room assignment setting program
JP2015514019A (en) * 2012-04-12 2015-05-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Robot system, apparatus, and method having an independently rotatable waist
JP2014036025A (en) * 2012-08-07 2014-02-24 Hitachi High-Technologies Corp Vacuum processing apparatus or operation method of vacuum processing apparatus
KR102064391B1 (en) * 2012-08-31 2020-01-10 삼성디스플레이 주식회사 Substrate processing apparatus
US9022715B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Load lock chamber designs for high-throughput processing system
US10020187B2 (en) 2012-11-26 2018-07-10 Applied Materials, Inc. Apparatus and methods for backside passivation
JP2014116545A (en) * 2012-12-12 2014-06-26 Tokyo Electron Ltd Substrate processing apparatus
US9741591B2 (en) * 2012-12-31 2017-08-22 Flir Systems, Inc. Wafer level packaging of microbolometer vacuum package assemblies
CN105026115B (en) * 2013-01-18 2018-11-16 柿子技术公司 With the robot with the arm for not waiting interconnecting pieces length
US10224232B2 (en) 2013-01-18 2019-03-05 Persimmon Technologies Corporation Robot having two arms with unequal link lengths
US9149936B2 (en) 2013-01-18 2015-10-06 Persimmon Technologies, Corp. Robot having arm with unequal link lengths
US9064807B2 (en) * 2013-02-27 2015-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated platform for improved wafer manufacturing quality
JP2014179508A (en) * 2013-03-15 2014-09-25 Tokyo Electron Ltd Substrate processor and substrate processing method
JP6216530B2 (en) * 2013-03-29 2017-10-18 株式会社日立ハイテクノロジーズ Operation method of vacuum processing equipment
US9669552B2 (en) * 2013-05-20 2017-06-06 Varian Semiconductor Equipment Associates, Inc. System and method for quick-swap of multiple substrates
US10424498B2 (en) * 2013-09-09 2019-09-24 Persimmon Technologies Corporation Substrate transport vacuum platform
WO2015073651A1 (en) 2013-11-13 2015-05-21 Brooks Automation, Inc. Method and apparatus for brushless electrical machine control
JP6708546B2 (en) 2013-11-13 2020-06-10 ブルックス オートメーション インコーポレイテッド Sealed robot drive
JP2016537948A (en) 2013-11-13 2016-12-01 ブルックス オートメーション インコーポレイテッド Sealed switched reluctance motor
TWI695447B (en) 2013-11-13 2020-06-01 布魯克斯自動機械公司 Transport apparatus
KR20220116079A (en) 2014-01-21 2022-08-19 퍼시몬 테크놀로지스 코포레이션 Substrate transport vacuum platform
JP6211960B2 (en) * 2014-03-13 2017-10-11 東京エレクトロン株式会社 Control device, substrate processing apparatus, and substrate processing system
US9818633B2 (en) 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
US20160217588A1 (en) 2014-12-11 2016-07-28 Jeffrey R. Hay Method of Adaptive Array Comparison for the Detection and Characterization of Periodic Motion
US10062411B2 (en) * 2014-12-11 2018-08-28 Jeffrey R. Hay Apparatus and method for visualizing periodic motions in mechanical components
WO2016145305A2 (en) 2015-03-12 2016-09-15 Persimmon Technologies, Corp. Robot with slaved end effector motion
TWI677046B (en) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 External substrate rotation in a semiconductor processing system
CN115424964A (en) * 2015-07-13 2022-12-02 博鲁可斯自动化美国有限责任公司 Substrate transport apparatus
US9502275B1 (en) * 2015-10-20 2016-11-22 Lam Research Corporation Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
JP6333870B2 (en) 2016-01-28 2018-05-30 ファナック株式会社 System with multiple machines and at least one sensor
JP6830772B2 (en) * 2016-08-04 2021-02-17 株式会社ジャパンディスプレイ Laminated film manufacturing equipment and laminated film manufacturing method
JP7158133B2 (en) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド Atmosphere-controlled transfer module and processing system
WO2018210404A1 (en) * 2017-05-16 2018-11-22 Abb Schweiz Ag Method and control system for controlling movement sequences of a robot
US10903107B2 (en) * 2017-07-11 2021-01-26 Brooks Automation, Inc. Semiconductor process transport apparatus comprising an adapter pendant
US10406562B2 (en) * 2017-07-21 2019-09-10 Applied Materials, Inc. Automation for rotary sorters
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
WO2019040430A1 (en) * 2017-08-21 2019-02-28 Massachusetts Institute Of Technology Extending robotic arm
US10456920B2 (en) 2017-08-24 2019-10-29 Samsung Electronics Co., Ltd. Proximity robot blade detection and auto retraction
US10978333B2 (en) * 2017-11-14 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for robotic arm sensing
KR20200096566A (en) * 2017-11-30 2020-08-12 마이클 무노즈 Using attached and/or embedded passive electromagnetic sensors to enable process control predictive maintenance of distribution networks, liquid and gas pipelines, and monitoring of air pollutants, including nuclear, chemical and biological agents. Internet of Things (IOT) capable wireless sensor system
WO2019210274A1 (en) * 2018-04-27 2019-10-31 The Board Of Trustees Of The University Of Illinois Patient stabilization and securing device for robotic and laparoscopic surgeries in trendelenburg position
US11423551B1 (en) 2018-10-17 2022-08-23 Rdi Technologies, Inc. Enhanced presentation methods for visualizing motion of physical structures and machinery
EP3921123A4 (en) 2019-02-08 2022-10-26 Yaskawa America, Inc. Through-beam auto teaching
JP7253955B2 (en) * 2019-03-28 2023-04-07 東京エレクトロン株式会社 SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
KR102211252B1 (en) * 2019-06-26 2021-02-04 세메스 주식회사 Apparatus for treating substrate
DE102019125134A1 (en) * 2019-09-18 2021-03-18 Mühlbauer Gmbh & Co. Kg Component handling, component inspection
US11373317B1 (en) 2020-01-24 2022-06-28 Rdi Technologies, Inc. Measuring the speed of rotation or reciprocation of a mechanical component using one or more cameras
US11413744B2 (en) 2020-03-03 2022-08-16 Applied Materials, Inc. Multi-turn drive assembly and systems and methods of use thereof
US11565402B2 (en) 2020-03-09 2023-01-31 Applied Materials, Inc. Substrate transfer devices, systems and methods of use thereof
US11282213B1 (en) 2020-06-24 2022-03-22 Rdi Technologies, Inc. Enhanced analysis techniques using composite frequency spectrum data
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11322182B1 (en) 2020-09-28 2022-05-03 Rdi Technologies, Inc. Enhanced visualization techniques using reconstructed time waveforms
CN112736000A (en) * 2020-12-31 2021-04-30 上海广川科技有限公司 Vacuum conveying device and conveying method
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure

Citations (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4392776A (en) * 1981-05-15 1983-07-12 Westinghouse Electric Corp. Robotic manipulator structure
US4398720A (en) * 1981-01-05 1983-08-16 California R & D Center Robot computer chess game
US4529460A (en) * 1982-04-05 1985-07-16 Kabushiki Kaisha Toyota Chuo Kenkyusho Method of producing an industrial robot arm
US5064340A (en) * 1989-01-20 1991-11-12 Genmark Automation Precision arm mechanism
US5377425A (en) * 1991-05-24 1995-01-03 Nikku Industry Co., Ltd. Vacuum drying apparatus
US5426865A (en) * 1992-09-03 1995-06-27 Tokyo Electron Limited Vacuum creating method and apparatus
US5433020A (en) * 1993-04-29 1995-07-18 Altos Engineering, Inc. Apparatus and method for vacuum drying
US5511005A (en) * 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5534761A (en) * 1991-05-21 1996-07-09 Crippa; Ugo Mechanism for movements of prefixed path, referable as of elliptical shape
US5571325A (en) * 1992-12-21 1996-11-05 Dainippon Screen Mfg. Co., Ltd. Subtrate processing apparatus and device for and method of exchanging substrate in substrate processing apparatus
US5657553A (en) * 1994-11-29 1997-08-19 Sharp Kabushiki Kaisha Substrate drying apparatus
US5700127A (en) * 1995-06-27 1997-12-23 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US5765982A (en) * 1995-07-10 1998-06-16 Amtech Systems, Inc. Automatic wafer boat loading system and method
US5765983A (en) * 1996-05-30 1998-06-16 Brooks Automation, Inc. Robot handling apparatus
US5957651A (en) * 1995-06-08 1999-09-28 Kokusai Electric Co., Ltd. Substrate carrying apparatus
US6073828A (en) * 1998-06-30 2000-06-13 Lam Research Corporation End effector for substrate handling and method for making the same
US6125551A (en) * 1998-03-17 2000-10-03 Verteq, Inc. Gas seal and support for rotating semiconductor processor
US6155768A (en) * 1998-01-30 2000-12-05 Kensington Laboratories, Inc. Multiple link robot arm system implemented with offset end effectors to provide extended reach and enhanced throughput
US6227793B1 (en) * 1999-05-25 2001-05-08 Norfield Industries Door transport system
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6253464B1 (en) * 1998-04-30 2001-07-03 Euv Llc Method for protection of lithographic components from particle contamination
US6267549B1 (en) * 1998-06-02 2001-07-31 Applied Materials, Inc. Dual independent robot blades with minimal offset
US6286230B1 (en) * 1998-07-13 2001-09-11 Applied Komatsu Technology, Inc. Method of controlling gas flow in a substrate processing system
US6293749B1 (en) * 1997-11-21 2001-09-25 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
US6318951B1 (en) * 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
US20020094265A1 (en) * 2000-11-30 2002-07-18 Hirata Corporation Substrate conveyer robot
US6440178B2 (en) * 1996-07-15 2002-08-27 Semitool, Inc. Modular semiconductor workpiece processing tool
US6439824B1 (en) * 2000-07-07 2002-08-27 Semitool, Inc. Automated semiconductor immersion processing system
US6453214B1 (en) * 1998-12-02 2002-09-17 Newport Corporation Method of using a specimen sensing end effector to align a robot arm with a specimen stored on or in a container
US6494666B2 (en) * 2001-01-26 2002-12-17 Fortrend Engineering Corporation Simplified and enhanced SCARA arm
US6547510B1 (en) * 1998-05-04 2003-04-15 Brooks Automation Inc. Substrate transport apparatus with coaxial drive shafts and dual independent scara arms
US6576589B1 (en) * 1999-09-20 2003-06-10 Lg Electronics Inc. Method for making anatase type titanium dioxide photocatalyst
US6601888B2 (en) * 2001-03-19 2003-08-05 Creo Inc. Contactless handling of objects
US6744228B1 (en) * 1998-09-18 2004-06-01 Gsi Lumonics Corp. High-speed precision positioning apparatus
US6758113B2 (en) * 2001-07-10 2004-07-06 Asm Assembly Automation Limited High speed pick and place apparatus
US6761085B1 (en) * 2002-02-06 2004-07-13 Novellus Systems Incorporated Method and apparatus for damping vibrations in a semiconductor wafer handling arm
US6813543B2 (en) * 2002-10-08 2004-11-02 Brooks-Pri Automation, Inc. Substrate handling system for aligning and orienting substrates during a transfer operation
US6889447B2 (en) * 2002-06-20 2005-05-10 Samsung Electronics Co., Ltd. Method for drying a wafer and apparatus for performing the same
US20050223837A1 (en) * 2003-11-10 2005-10-13 Blueshift Technologies, Inc. Methods and systems for driving robotic components of a semiconductor handling system
US6960057B1 (en) * 1998-09-30 2005-11-01 Brooks Automation, Inc. Substrate transport apparatus

Family Cites Families (197)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3294670A (en) * 1963-10-07 1966-12-27 Western Electric Co Apparatus for processing materials in a controlled atmosphere
US3584847A (en) 1968-05-31 1971-06-15 Western Electric Co Advancing workpieces through a sputtering chamber
US3968018A (en) * 1969-09-29 1976-07-06 Warner-Lambert Company Sputter coating method
US6076652A (en) * 1971-04-16 2000-06-20 Texas Instruments Incorporated Assembly line system and apparatus controlling transfer of a workpiece
US3796163A (en) 1972-07-12 1974-03-12 Kearney & Trecker Corp Manufacturing system
US4015558A (en) 1972-12-04 1977-04-05 Optical Coating Laboratory, Inc. Vapor deposition apparatus
US3834555A (en) 1972-12-04 1974-09-10 Budd Co Article transfer apparatus
US3874525A (en) * 1973-06-29 1975-04-01 Ibm Method and apparatus for handling workpieces
US3925182A (en) * 1973-09-25 1975-12-09 Shatterproof Glass Corp Method for continuous production of sputter-coated glass products
US3976330A (en) * 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
DE2812271C2 (en) 1978-03-21 1983-01-27 Leybold-Heraeus GmbH, 5000 Köln Device with several lock chambers for the batch coating of substrates
JPS54159964A (en) 1978-06-06 1979-12-18 Shiroyama Kogyo Kk Articulated arm type manipulator
US4216677A (en) * 1978-07-17 1980-08-12 Borg-Warner Corporation Hermetically sealed rotary drive apparatus
US4275978A (en) 1979-02-15 1981-06-30 Brooks Norman B Transport apparatus
FR2455695A1 (en) * 1979-05-02 1980-11-28 Carpano & Pons CONTROL DEVICE FOR ELECTRIC MOTOR-REDUCER
JPS5681533U (en) 1979-11-27 1981-07-01
FR2480846A1 (en) * 1980-04-18 1981-10-23 Carpano & Pons DRIVE DEVICE, FOR ROLL BLINDS, ROLLING SHUTTERS, OR THE LIKE
US4433951A (en) 1981-02-13 1984-02-28 Lam Research Corporation Modular loadlock
FR2502597A1 (en) * 1981-03-24 1982-10-01 Carpano & Pons DEVICE FOR DRIVING A FLEXIBLE PROTECTIVE ELEMENT
US4909701A (en) 1983-02-14 1990-03-20 Brooks Automation Inc. Articulated arm transfer device
US4666366A (en) 1983-02-14 1987-05-19 Canon Kabushiki Kaisha Articulated arm transfer device
EP0137819B1 (en) 1983-02-14 1987-08-12 Aeronca Electronics, Inc. Articulated arm transfer device
US4584045A (en) 1984-02-21 1986-04-22 Plasma-Therm, Inc. Apparatus for conveying a semiconductor wafer
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4702668A (en) * 1985-01-24 1987-10-27 Adept Technology, Inc. Direct drive robotic system
US4712971A (en) 1985-02-13 1987-12-15 The Charles Stark Draper Laboratory, Inc. Control arm assembly
US4813732A (en) * 1985-03-07 1989-03-21 Epsilon Technology, Inc. Apparatus and method for automated wafer handling
US4749465A (en) 1985-05-09 1988-06-07 Seagate Technology In-line disk sputtering system
US4875825A (en) * 1985-07-24 1989-10-24 Hewlett-Packard Company Method for automated cassette handling
US4724322A (en) * 1986-03-03 1988-02-09 Applied Materials, Inc. Method for non-contact xyz position sensing
US4701096A (en) 1986-03-05 1987-10-20 Btu Engineering Corporation Wafer handling station
GB8608817D0 (en) * 1986-04-11 1986-05-14 Advel Ltd Fastener installation apparatus
US5308431A (en) * 1986-04-18 1994-05-03 General Signal Corporation System providing multiple processing of substrates
EP0246453A3 (en) * 1986-04-18 1989-09-06 General Signal Corporation Novel multiple-processing and contamination-free plasma etching system
US6103055A (en) * 1986-04-18 2000-08-15 Applied Materials, Inc. System for processing substrates
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4775281A (en) * 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63157870A (en) 1986-12-19 1988-06-30 Anelva Corp Substrate treatment device
US4817556A (en) 1987-05-04 1989-04-04 Varian Associates, Inc. Apparatus for retaining wafers
US4831270A (en) * 1987-05-21 1989-05-16 Ion Implant Services Ion implantation apparatus
US5020475A (en) 1987-10-15 1991-06-04 Epsilon Technology, Inc. Substrate handling and transporting apparatus
JP2502661B2 (en) 1988-03-04 1996-05-29 松下電器産業株式会社 Vapor phase growth equipment
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US5076205A (en) 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
FR2644290A1 (en) * 1989-03-10 1990-09-14 Labo Electronique Physique MICROMANIPULATOR
US5013210A (en) 1989-05-08 1991-05-07 Bond Irvin D Workpiece transfer apparatus with folding arms
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US5227708A (en) 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
US5203443A (en) * 1989-11-13 1993-04-20 Kabushiki Kaisha Shinkawa Conveying apparatus used in assembling semicondutors
JPH0419081A (en) 1990-05-15 1992-01-23 Seiko Instr Inc In-vacuum conveyor robot
US5414334A (en) * 1990-12-28 1995-05-09 Somfy Control device for an asynchronous roller-blind motor
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5382806A (en) * 1991-05-07 1995-01-17 Kensington Laboratories, Inc. Specimen carrier platform and scanning assembly
US5180275A (en) 1991-05-28 1993-01-19 The Braun Corporation Rotary bus lift with power stowable platform
US5314541A (en) 1991-05-28 1994-05-24 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
JP3216154B2 (en) * 1991-07-26 2001-10-09 株式会社島津製作所 Vacuum deposition equipment
JP3030667B2 (en) 1991-07-29 2000-04-10 東京エレクトロン株式会社 Transfer device
US5467266A (en) * 1991-09-03 1995-11-14 Lutron Electronics Co., Inc. Motor-operated window cover
US5766360A (en) 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
JPH06104327A (en) * 1992-09-22 1994-04-15 Sony Corp Substrate processing device
KR100302012B1 (en) 1992-11-06 2001-11-30 조셉 제이. 스위니 Micro-environment container connection method and micro-environment load lock
DE69329269T2 (en) * 1992-11-12 2000-12-28 Applied Materials Inc System and method for automatic positioning of a substrate in a process room
JP3042576B2 (en) * 1992-12-21 2000-05-15 大日本スクリーン製造株式会社 Substrate processing equipment
US5431529A (en) 1992-12-28 1995-07-11 Brooks Automation, Inc. Articulated arm transfer device
WO1994023911A1 (en) * 1993-04-16 1994-10-27 Brooks Automation, Inc. Articulated arm transfer device
US6296735B1 (en) 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
JP3654597B2 (en) * 1993-07-15 2005-06-02 株式会社ルネサステクノロジ Manufacturing system and manufacturing method
US5475914A (en) * 1993-08-10 1995-12-19 Ohio Electronic Engravers, Inc. Engraving head with cartridge mounted components
US5539975A (en) 1993-09-08 1996-07-30 Allen-Bradley Company, Inc. Control system and equipment configuration for a modular product assembly platform
US5344365A (en) * 1993-09-14 1994-09-06 Sematech, Inc. Integrated building and conveying structure for manufacturing under ultraclean conditions
JPH0799224A (en) * 1993-09-28 1995-04-11 Hitachi Ltd Multiple-chamber type semiconductor manufacturing apparatus
US5447431A (en) 1993-10-29 1995-09-05 Brooks Automation, Inc. Low-gas temperature stabilization system
US5538390A (en) 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface
JPH07211762A (en) * 1994-01-13 1995-08-11 Hitachi Ltd Wafer transfer treater
US5563798A (en) * 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
DE69529501T2 (en) * 1994-04-18 2003-12-11 Micron Technology Inc METHOD AND DEVICE FOR AUTOMATICALLY POSITIONING ELECTRONIC CUBES IN COMPONENT PACKAGING
WO1995035506A2 (en) * 1994-06-17 1995-12-28 Kensington Laboratories, Inc. Scribe mark reader
US5486080A (en) * 1994-06-30 1996-01-23 Diamond Semiconductor Group, Inc. High speed movement of workpieces in vacuum processing
JPH08196894A (en) * 1995-01-25 1996-08-06 Tokki Kk Constitution for reducing size and cost of vacuum device and form of transporting material
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
ATE275759T1 (en) 1995-03-28 2004-09-15 Brooks Automation Gmbh LOADING AND UNLOADING STATION FOR SEMICONDUCTOR PROCESSING SYSTEMS
US6360144B1 (en) * 1995-07-10 2002-03-19 Newport Corporation Self-teaching robot arm position method
US6366830B2 (en) * 1995-07-10 2002-04-02 Newport Corporation Self-teaching robot arm position method to compensate for support structure component alignment offset
KR100244041B1 (en) 1995-08-05 2000-02-01 엔도 마코토 Substrate processing apparatus
TW318258B (en) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
TW349897B (en) 1996-02-02 1999-01-11 Komatsu Mfg Co Ltd Operational robot
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
US5810549A (en) 1996-04-17 1998-09-22 Applied Materials, Inc. Independent linear dual-blade robot and method for transferring wafers
US5668452A (en) * 1996-05-09 1997-09-16 Vlsi Technology, Inc. Magnetic sensing robotics for automated semiconductor wafer processing systems
US6062798A (en) * 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US5980194A (en) * 1996-07-15 1999-11-09 Applied Materials, Inc. Wafer position error detection and correction system
TW344847B (en) 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
US5814733A (en) * 1996-09-12 1998-09-29 Motorola, Inc. Method of characterizing dynamics of a workpiece handling system
JP3947761B2 (en) * 1996-09-26 2007-07-25 株式会社日立国際電気 Substrate processing apparatus, substrate transfer machine, and substrate processing method
US6048154A (en) 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5848634A (en) * 1996-12-27 1998-12-15 Latron Electronics Co. Inc. Motorized window shade system
US6126381A (en) 1997-04-01 2000-10-03 Kensington Laboratories, Inc. Unitary specimen prealigner and continuously rotatable four link robot arm mechanism
US6059507A (en) 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US5894760A (en) * 1997-06-12 1999-04-20 Brooks Automation, Inc. Substrate transport drive system
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
US6312525B1 (en) * 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6034000A (en) 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
TW385488B (en) 1997-08-15 2000-03-21 Tokyo Electron Ltd substrate processing device
DE19882662T1 (en) 1997-08-28 2000-08-03 Cvc Products Inc Wafer transport device for multi-station tools
US6053687A (en) 1997-09-05 2000-04-25 Applied Materials, Inc. Cost effective modular-linear wafer processing
US6002840A (en) * 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US6205870B1 (en) * 1997-10-10 2001-03-27 Applied Komatsu Technology, Inc. Automated substrate processing systems and methods
US6281651B1 (en) * 1997-11-03 2001-08-28 Immersion Corporation Haptic pointing devices
US6210084B1 (en) * 1997-11-26 2001-04-03 The Boeing Company Pressure foot assembly for clamping a joint
WO1999028951A2 (en) * 1997-11-28 1999-06-10 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6257827B1 (en) 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
JP4178534B2 (en) 1997-12-24 2008-11-12 株式会社安川電機 Substrate transfer robot
US6146077A (en) * 1998-01-13 2000-11-14 Samsung Electronics Co., Ltd. Wafer transfer system of semiconductor fabricating equipment using a serial number detecting device
JPH11207611A (en) 1998-01-21 1999-08-03 Shin Etsu Handotai Co Ltd Automatic work carrier device for double-side grinding device
DE19813684C2 (en) * 1998-03-27 2001-08-16 Brooks Automation Gmbh Device for receiving transport containers at a loading and unloading station
DE19816221A1 (en) * 1998-04-09 1999-10-21 Siemens Ag Treatment of objects, particularly wafers
KR100265287B1 (en) 1998-04-21 2000-10-02 윤종용 Multi-chamber system for etching equipment for manufacturing semiconductor device
US6142722A (en) * 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
US6167322A (en) * 1998-07-10 2000-12-26 Holbrooks; Orville Ray Intelligent wafer handling system and method
US6375746B1 (en) 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
US6501070B1 (en) * 1998-07-13 2002-12-31 Newport Corporation Pod load interface equipment adapted for implementation in a fims system
US6017820A (en) 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6282459B1 (en) * 1998-09-01 2001-08-28 International Business Machines Corporation Structure and method for detection of physical interference during transport of an article
JP2965038B1 (en) * 1998-09-21 1999-10-18 日新電機株式会社 Vacuum processing equipment
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US6405101B1 (en) * 1998-11-17 2002-06-11 Novellus Systems, Inc. Wafer centering system and method
TW442891B (en) 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
JP2000177842A (en) * 1998-12-10 2000-06-27 Mitsubishi Heavy Ind Ltd Carrying device and vacuum processing device
JP3723003B2 (en) 1998-12-18 2005-12-07 三菱重工業株式会社 Vacuum processing system
JP2000195925A (en) * 1998-12-28 2000-07-14 Anelva Corp Substrate-treating device
US6485250B2 (en) * 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US6678572B1 (en) * 1998-12-31 2004-01-13 Asml Holdings, N.V. Recipe cascading in a wafer processing system
JP2000286319A (en) * 1999-03-31 2000-10-13 Canon Inc Substrate transferring method and semiconductor manufacturing apparatus
US6118243A (en) * 1999-04-07 2000-09-12 Overhead Door Corporation Door operator system
US6736582B1 (en) 1999-04-09 2004-05-18 Brooks Automation, Inc. Device for manipulating an object for loading and unloading a clean room
KR100584818B1 (en) * 1999-04-16 2006-05-30 동경 엘렉트론 주식회사 Method of manufacturing semiconductor device and manufacturing line thereof
US6944584B1 (en) * 1999-04-16 2005-09-13 Brooks Automation, Inc. System and method for control and simulation
TW469483B (en) * 1999-04-19 2001-12-21 Applied Materials Inc Method and apparatus for aligning a cassette
US6763281B2 (en) * 1999-04-19 2004-07-13 Applied Materials, Inc Apparatus for alignment of automated workpiece handling systems
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6592673B2 (en) * 1999-05-27 2003-07-15 Applied Materials, Inc. Apparatus and method for detecting a presence or position of a substrate
US6166509A (en) * 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6318945B1 (en) * 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6242748B1 (en) * 1999-08-10 2001-06-05 Edax, Inc. Methods and apparatus for mounting an X-ray detecting unit to an electron microscope
JP3339840B2 (en) 1999-09-28 2002-10-28 タツモ株式会社 Horizontal articulated industrial robot and control method thereof
US6402401B1 (en) * 1999-10-19 2002-06-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6309161B1 (en) 1999-11-04 2001-10-30 Brooks Automation, Inc. Load lock with vertically movable support
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6640151B1 (en) * 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
JP3639764B2 (en) 2000-02-01 2005-04-20 タツモ株式会社 Substrate transfer device
US6506009B1 (en) * 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6497267B1 (en) * 2000-04-07 2002-12-24 Lutron Electronics Co., Inc. Motorized window shade with ultraquiet motor drive and ESD protection
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
KR100867293B1 (en) 2000-10-24 2008-11-06 가부시키가이샤 알박 Transport apparatus and vacuum processing system using the same
JP2002158272A (en) 2000-11-17 2002-05-31 Tatsumo Kk Double-arm substrate transfer device
US6591160B2 (en) * 2000-12-04 2003-07-08 Asyst Technologies, Inc. Self teaching robot
US6499936B2 (en) * 2001-02-17 2002-12-31 Yokogawa Electric Corporation Transfer system
US20020159864A1 (en) * 2001-04-30 2002-10-31 Applied Materials, Inc. Triple chamber load lock
JP2002332570A (en) 2001-05-08 2002-11-22 Anelva Corp Substrate treatment device
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US6752585B2 (en) * 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
US7047099B2 (en) * 2001-06-19 2006-05-16 Applied Materials Inc. Integrating tool, module, and fab level control
US6918731B2 (en) * 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
US6643563B2 (en) * 2001-07-13 2003-11-04 Brooks Automation, Inc. Trajectory planning and motion control strategies for a planar three-degree-of-freedom robotic arm
US6950716B2 (en) * 2001-08-13 2005-09-27 Applied Materials, Inc. Dynamic control of wafer processing paths in semiconductor manufacturing processes
US6984198B2 (en) * 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
US6586336B2 (en) * 2001-08-31 2003-07-01 Oriol, Inc. Chemical-mechanical-polishing station
JP2003077974A (en) * 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc Substrate processing device and manufacturing method of semiconductor device
JP2003124284A (en) * 2001-10-11 2003-04-25 Hitachi Kokusai Electric Inc Substrate treatment equipment and method for manufacturing semiconductor device
JP2003142360A (en) 2001-11-02 2003-05-16 Daikin Ind Ltd Apparatus for manufacturing semiconductor device
US6719517B2 (en) 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
JP2003183728A (en) * 2001-12-14 2003-07-03 Jh Corp Vacuum heat-treatment apparatus
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
TWI258831B (en) * 2001-12-31 2006-07-21 Applied Materials Inc Cassette and workpiece handler characterization tool
US20030131458A1 (en) 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
US7351291B2 (en) * 2002-02-20 2008-04-01 Tokyo Electron Limited Semiconductor processing system
US6779962B2 (en) * 2002-03-22 2004-08-24 Brooks Automation, Inc. Device for handling flat panels in a vacuum
JP4197103B2 (en) * 2002-04-15 2008-12-17 株式会社荏原製作所 Polishing equipment
US6900877B2 (en) * 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
CN1759051B (en) * 2002-07-22 2014-01-08 布鲁克斯自动化公司 Substrate processing apparatus
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US6869263B2 (en) * 2002-07-22 2005-03-22 Brooks Automation, Inc. Substrate loading and unloading station with buffer
US6996456B2 (en) * 2002-10-21 2006-02-07 Fsi International, Inc. Robot with tactile sensor device
US7641247B2 (en) * 2002-12-17 2010-01-05 Applied Materials, Inc. End effector assembly for supporting a substrate
US7245989B2 (en) * 2002-12-20 2007-07-17 Brooks Automation, Inc. Three-degree-of-freedom parallel robot arm
US6760976B1 (en) * 2003-01-15 2004-07-13 Novellus Systems, Inc. Method for active wafer centering using a single sensor
US6983783B2 (en) * 2003-06-10 2006-01-10 Lutron Electronics Co., Inc. Motorized shade control system
US6934606B1 (en) * 2003-06-20 2005-08-23 Novellus Systems, Inc. Automatic calibration of a wafer-handling robot
CN101094933A (en) 2003-08-29 2007-12-26 交叉自动控制公司 A method and apparatus for semiconductor processing
US20050095087A1 (en) 2003-10-30 2005-05-05 Sullivan Robert P. Automated material handling system
US6987272B2 (en) 2004-03-05 2006-01-17 Axcelis Technologies, Inc. Work piece transfer system for an ion beam implanter
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
JP5456287B2 (en) * 2008-09-05 2014-03-26 東京エレクトロン株式会社 Vertical heat treatment equipment

Patent Citations (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4398720A (en) * 1981-01-05 1983-08-16 California R & D Center Robot computer chess game
US4392776A (en) * 1981-05-15 1983-07-12 Westinghouse Electric Corp. Robotic manipulator structure
US4529460A (en) * 1982-04-05 1985-07-16 Kabushiki Kaisha Toyota Chuo Kenkyusho Method of producing an industrial robot arm
US5064340A (en) * 1989-01-20 1991-11-12 Genmark Automation Precision arm mechanism
US5534761A (en) * 1991-05-21 1996-07-09 Crippa; Ugo Mechanism for movements of prefixed path, referable as of elliptical shape
US5377425A (en) * 1991-05-24 1995-01-03 Nikku Industry Co., Ltd. Vacuum drying apparatus
US5426865A (en) * 1992-09-03 1995-06-27 Tokyo Electron Limited Vacuum creating method and apparatus
US5571325A (en) * 1992-12-21 1996-11-05 Dainippon Screen Mfg. Co., Ltd. Subtrate processing apparatus and device for and method of exchanging substrate in substrate processing apparatus
US5433020A (en) * 1993-04-29 1995-07-18 Altos Engineering, Inc. Apparatus and method for vacuum drying
US5511005A (en) * 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5657553A (en) * 1994-11-29 1997-08-19 Sharp Kabushiki Kaisha Substrate drying apparatus
US5957651A (en) * 1995-06-08 1999-09-28 Kokusai Electric Co., Ltd. Substrate carrying apparatus
US5700127A (en) * 1995-06-27 1997-12-23 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US5765982A (en) * 1995-07-10 1998-06-16 Amtech Systems, Inc. Automatic wafer boat loading system and method
US5888048A (en) * 1995-07-10 1999-03-30 Amtech Systems, Inc. Automatic wafer boat loading
US5765983A (en) * 1996-05-30 1998-06-16 Brooks Automation, Inc. Robot handling apparatus
US6440178B2 (en) * 1996-07-15 2002-08-27 Semitool, Inc. Modular semiconductor workpiece processing tool
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6293749B1 (en) * 1997-11-21 2001-09-25 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
US6155768A (en) * 1998-01-30 2000-12-05 Kensington Laboratories, Inc. Multiple link robot arm system implemented with offset end effectors to provide extended reach and enhanced throughput
US6125551A (en) * 1998-03-17 2000-10-03 Verteq, Inc. Gas seal and support for rotating semiconductor processor
US6253464B1 (en) * 1998-04-30 2001-07-03 Euv Llc Method for protection of lithographic components from particle contamination
US6547510B1 (en) * 1998-05-04 2003-04-15 Brooks Automation Inc. Substrate transport apparatus with coaxial drive shafts and dual independent scara arms
US6267549B1 (en) * 1998-06-02 2001-07-31 Applied Materials, Inc. Dual independent robot blades with minimal offset
US6283355B1 (en) * 1998-06-30 2001-09-04 Lam Research Corporation End effector for substrate handling
US6073828A (en) * 1998-06-30 2000-06-13 Lam Research Corporation End effector for substrate handling and method for making the same
US6286230B1 (en) * 1998-07-13 2001-09-11 Applied Komatsu Technology, Inc. Method of controlling gas flow in a substrate processing system
US6949844B2 (en) * 1998-09-18 2005-09-27 Gsi Group Corporation High-speed precision positioning apparatus
US6744228B1 (en) * 1998-09-18 2004-06-01 Gsi Lumonics Corp. High-speed precision positioning apparatus
US6960057B1 (en) * 1998-09-30 2005-11-01 Brooks Automation, Inc. Substrate transport apparatus
US6618645B2 (en) * 1998-12-02 2003-09-09 Newport Corporation Method of using a specimen sensing end effector to determine angular orientation of a specimen
US6453214B1 (en) * 1998-12-02 2002-09-17 Newport Corporation Method of using a specimen sensing end effector to align a robot arm with a specimen stored on or in a container
US6898487B2 (en) * 1998-12-02 2005-05-24 Newport Corporation Specimen sensing and edge gripping end effector
US6227793B1 (en) * 1999-05-25 2001-05-08 Norfield Industries Door transport system
US6318951B1 (en) * 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
US6576589B1 (en) * 1999-09-20 2003-06-10 Lg Electronics Inc. Method for making anatase type titanium dioxide photocatalyst
US6439824B1 (en) * 2000-07-07 2002-08-27 Semitool, Inc. Automated semiconductor immersion processing system
US20020094265A1 (en) * 2000-11-30 2002-07-18 Hirata Corporation Substrate conveyer robot
US6494666B2 (en) * 2001-01-26 2002-12-17 Fortrend Engineering Corporation Simplified and enhanced SCARA arm
US6601888B2 (en) * 2001-03-19 2003-08-05 Creo Inc. Contactless handling of objects
US6758113B2 (en) * 2001-07-10 2004-07-06 Asm Assembly Automation Limited High speed pick and place apparatus
US6761085B1 (en) * 2002-02-06 2004-07-13 Novellus Systems Incorporated Method and apparatus for damping vibrations in a semiconductor wafer handling arm
US6976400B1 (en) * 2002-02-06 2005-12-20 Novellus Systems, Inc. Method and apparatus for damping vibrations in a semiconductor wafer handling arm
US6889447B2 (en) * 2002-06-20 2005-05-10 Samsung Electronics Co., Ltd. Method for drying a wafer and apparatus for performing the same
US6813543B2 (en) * 2002-10-08 2004-11-02 Brooks-Pri Automation, Inc. Substrate handling system for aligning and orienting substrates during a transfer operation
US20050223837A1 (en) * 2003-11-10 2005-10-13 Blueshift Technologies, Inc. Methods and systems for driving robotic components of a semiconductor handling system

Cited By (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8651789B2 (en) 2002-07-22 2014-02-18 Brooks Automation, Inc. Substrate processing apparatus
US20090162179A1 (en) * 2002-07-22 2009-06-25 Brooks Automation, Inc. Substrate processing apparatus
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US9570330B2 (en) 2002-07-22 2017-02-14 Brooks Automation, Inc. Substrate processing apparatus
US8371792B2 (en) 2002-07-22 2013-02-12 Brooks Automation, Inc. Substrate processing apparatus
US8960099B2 (en) 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US8827617B2 (en) 2002-07-22 2014-09-09 Brooks Automation Inc. Substrate processing apparatus
US7748944B2 (en) 2003-08-29 2010-07-06 Crossing Automation, Inc. Method and apparatus for semiconductor processing
US20080089774A1 (en) * 2003-08-29 2008-04-17 Price J B A method and apparatus for semconductor processing
US20080073031A1 (en) * 2003-08-29 2008-03-27 Price J B Method and apparatus for semiconductor processing
US20050194096A1 (en) * 2003-08-29 2005-09-08 Crossing Automation, Inc. Method and apparatus for semiconductor processing
US7927062B2 (en) 2005-11-21 2011-04-19 Applied Materials, Inc. Methods and apparatus for transferring substrates during electronic device manufacturing
US20070116549A1 (en) * 2005-11-21 2007-05-24 Michael Rice Methods and apparatus for transferring substrates during electronic device manufacturing
US20070274810A1 (en) * 2006-05-26 2007-11-29 Holtkamp William H Linearly distributed semiconductor workpiece processing tool
US8398355B2 (en) 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US20080056856A1 (en) * 2006-08-31 2008-03-06 Brooks Automation, Inc. Compact Processing Apparatus
US9117859B2 (en) * 2006-08-31 2015-08-25 Brooks Automation, Inc. Compact processing apparatus
US8602706B2 (en) 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
US8747046B2 (en) 2010-12-28 2014-06-10 Hitachi High-Technologies Corporation Vacuum processing apparatus
US11352220B2 (en) 2011-10-26 2022-06-07 Brooks Automation Us, Llc Semiconductor wafer handling and transport
US9199216B2 (en) * 2012-08-07 2015-12-01 Molecular Devices, Llc Apparatuses and methods for conditioning and reorienting components of an electrophysiology measurement system
US20140042039A1 (en) * 2012-08-07 2014-02-13 Molecular Devices, Llc Apparatuses and methods for conditioning and reorienting components of an electrophysiology measurement system
US9281222B2 (en) * 2013-03-15 2016-03-08 Applied Materials, Inc. Wafer handling systems and methods
US20140271050A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Wafer handling systems and methods
US20150044001A1 (en) * 2013-08-09 2015-02-12 Persimmon Technologies, Corp. Reduced Footprint Substrate Transport Vacuum Platform
US10328580B2 (en) * 2013-08-09 2019-06-25 Persimmon Technologies Corporation Reduced footprint substrate transport vacuum platform
US20200258780A1 (en) * 2014-11-07 2020-08-13 Applied Materials, Inc. Transfer arm for film frame substrate handling during plasma singulation of wafers
US20160133519A1 (en) * 2014-11-07 2016-05-12 James M. Holden Transfer arm for film frame substrate handling during plasma singulation of wafers
US10692765B2 (en) * 2014-11-07 2020-06-23 Applied Materials, Inc. Transfer arm for film frame substrate handling during plasma singulation of wafers
US11264258B2 (en) * 2015-04-20 2022-03-01 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robots
US20190019708A1 (en) * 2015-04-20 2019-01-17 Applied Materials, Inc. Buffer Chamber Wafer Heating Mechanism And Supporting Robots
US10699930B2 (en) * 2015-04-20 2020-06-30 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robots
US20170018446A1 (en) * 2015-07-13 2017-01-19 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
US10978330B2 (en) 2015-07-13 2021-04-13 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
US11776834B2 (en) 2015-07-13 2023-10-03 Brooks Automation Us, Llc On the fly automatic wafer centering method and apparatus
US10134623B2 (en) * 2015-07-13 2018-11-20 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
US10099377B2 (en) * 2016-06-29 2018-10-16 Applied Materials, Inc. Methods and systems providing misalignment correction in robots
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
US11257696B2 (en) 2016-10-18 2022-02-22 Mattson Technology, Inc. Systems and methods for workpiece processing
US11923215B2 (en) 2016-10-18 2024-03-05 Beijing E-town Semiconductor Technology Co., Ltd. Systems and methods for workpiece processing
US11348767B2 (en) 2019-05-14 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Plasma processing apparatus having a focus ring adjustment assembly
US11508560B2 (en) 2019-05-14 2022-11-22 Beijing E-Town Semiconductor Technology Co., Ltd Focus ring adjustment assembly of a system for processing workpieces under vacuum
US11515127B2 (en) 2019-05-14 2022-11-29 Beijing E-Town Semiconductor Technology Co., Ltd End effectors for moving workpieces and replaceable parts within a system for processing workpieces under vacuum

Also Published As

Publication number Publication date
US7959403B2 (en) 2011-06-14
KR20070008533A (en) 2007-01-17
US20050113976A1 (en) 2005-05-26
US8944738B2 (en) 2015-02-03
EP1684951A2 (en) 2006-08-02
US8029225B2 (en) 2011-10-04
US8439623B2 (en) 2013-05-14
US20150221534A1 (en) 2015-08-06
US20080085173A1 (en) 2008-04-10
US7422406B2 (en) 2008-09-09
US20050120578A1 (en) 2005-06-09
US20090067958A1 (en) 2009-03-12
IL175518A0 (en) 2006-09-05
US20060263177A1 (en) 2006-11-23
US20050118009A1 (en) 2005-06-02
US20120148374A1 (en) 2012-06-14
SG132670A1 (en) 2007-06-28
WO2005048313A2 (en) 2005-05-26
JP2011101035A (en) 2011-05-19
JP5226215B2 (en) 2013-07-03
US20120014769A1 (en) 2012-01-19
JP5373760B2 (en) 2013-12-18
WO2005048313A3 (en) 2006-03-02
US20050113964A1 (en) 2005-05-26
JP2007511104A (en) 2007-04-26
US7210246B2 (en) 2007-05-01
EP1684951A4 (en) 2011-05-25
US20050223837A1 (en) 2005-10-13
US8807905B2 (en) 2014-08-19
EP1684951B1 (en) 2014-05-07

Similar Documents

Publication Publication Date Title
US8944738B2 (en) Stacked process modules for a semiconductor handling system
US7458763B2 (en) Mid-entry load lock for semiconductor handling system
US11352220B2 (en) Semiconductor wafer handling and transport
US20070264106A1 (en) Robotic components for semiconductor manufacturing
US8197177B2 (en) Semiconductor wafer handling and transport

Legal Events

Date Code Title Description
AS Assignment

Owner name: BLUESHIFT TECHNOLOGIES, INC., MASSACHUSETTS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:VAN DER MEULEN, PETER;REEL/FRAME:015619/0417

Effective date: 20050107

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: BROOKS AUTOMATION US, LLC, MASSACHUSETTS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:BROOKS AUTOMATION HOLDING, LLC;REEL/FRAME:058482/0001

Effective date: 20211001

Owner name: BROOKS AUTOMATION HOLDING, LLC, MASSACHUSETTS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:BROOKS AUTOMATION,INC;REEL/FRAME:058481/0740

Effective date: 20211001