US20050124169A1 - Truncated dummy plate for process furnace - Google Patents

Truncated dummy plate for process furnace Download PDF

Info

Publication number
US20050124169A1
US20050124169A1 US11/039,501 US3950105A US2005124169A1 US 20050124169 A1 US20050124169 A1 US 20050124169A1 US 3950105 A US3950105 A US 3950105A US 2005124169 A1 US2005124169 A1 US 2005124169A1
Authority
US
United States
Prior art keywords
sites
dummy plates
dummy
reaction chamber
wafer boat
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/039,501
Inventor
Yen-Hsing Chen
Hsing-Jui Lee
Fu-Kuo Tseng
Ching-Ling Lee
Kuo-Hung Liao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US11/039,501 priority Critical patent/US20050124169A1/en
Publication of US20050124169A1 publication Critical patent/US20050124169A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Definitions

  • the present invention relates to furnaces used in the fabrication of semiconductor integrated circuits on semiconductor wafer substrates. More particularly, the present invention relates to dummy plates having a modified shape for providing uniform gas flow and heating of wafers in a process chamber, particularly a LPCVD (low pressure chemical vapor deposition) furnace.
  • LPCVD low pressure chemical vapor deposition
  • various processing steps are used to fabricate integrated circuits on a semiconductor wafer. These steps include the deposition of layers of different materials including metallization layers, passivation layers and insulation layers on the wafer substrate, as well as photoresist stripping and sidewall passivation polymer layer removal.
  • layers of different materials including metallization layers, passivation layers and insulation layers on the wafer substrate, as well as photoresist stripping and sidewall passivation polymer layer removal.
  • multiple layers of metal conductors are required for providing a multi-layer metal interconnection structure in defining a circuit on the wafer.
  • a current drive in the semiconductor device industry is to produce semiconductors having an increasingly large density of integrated circuits which are ever-decreasing in size. These goals are achieved by scaling down the size of the circuit features in both the lateral and vertical dimensions.
  • CVD processes are widely used to form layers of materials on a semiconductor wafer.
  • CVD processes include thermal deposition processes, in which a gas is reacted with the heated surface of a semiconductor wafer substrate, as well as plasma-enhanced CVD processes, in which a gas is subjected to electromagnetic energy in order to transform the gas into a more reactive plasma. Forming a plasma can lower the temperature required to deposit a layer on the wafer substrate, to increase the rate of layer deposition, or both.
  • Other CVD processes include APCVD (atmospheric pressure chemical vapor deposition), and LPCVD (low pressure chemical vapor deposition).
  • APCVD systems While APCVD systems have high equipment throughput, good uniformity and the capability to process large-diameter wafers, APCVD systems consume large quantities of process gas and often exhibit poor step coverage.
  • LPCVD is used more often than APCVD because of its lower cost, higher production throughput and superior film properties.
  • LPCVD is commonly used to deposit nitride, TEOS oxide and polysilicon films on wafer surfaces for front-end-of-line (FEOL) processes.
  • a typical conventional vertical LPCVD furnace is generally indicated by reference numeral 10 in FIG. 1 and includes a base 12 on which is removably mounted a quartz tube 14 .
  • the interior of the quartz tube 14 defines a reaction chamber 16 for processing of as many as 150 substrates 29 held by a wafer boat 24 that is supported on the base 12 and contained in the reaction chamber 16 .
  • the wafer boat 24 may be a SiC (silicon carbide) wafer boat and, as shown in FIG. 2 , typically includes a base plate 25 and a top plate 26 which are spanned by multiple vertical support rods 27 .
  • the substrates 29 are supported in vertically-spaced relationship by slots (not shown) in the support rods 27 .
  • a gas inlet tube 18 may extend downwardly through the quartz tube 14 into the reaction chamber 16 , and a central gas inlet opening 20 may be provided in the top center of the quartz tube 14 , for distributing reaction gases into the reaction chamber 16 .
  • a gas outlet 22 is provided typically in the base 12 for distributing exhaust gases from the reaction chamber 16 .
  • the gas outlet 22 may be located on the opposite side of the wafer boat 24 with respect to the gas inlet tube 18 to facilitate a more uniform flow of the reaction gases throughout the reaction chamber 16 .
  • Multiple circular dummy plates 31 may be provided in the bottom portion of the wafer boat 24 to further promote a uniform flow of the reaction gases 32 , particularly in the bottom portion of the reaction chamber 16 which is the closest to the gas outlet 22 , as shown in FIG. 3 .
  • the substrates 29 in the upper sites (designated by the letter “U” in FIG. 2 ) and the substrates 29 in the center sites (designated by the letter “C” in FIG. 2 ) of the wafer boat 24 are substantially uniformly coated with deposition material, which forms films of uniform thickness, due to substantially uniform distribution of the reaction gases 32 along the surfaces of the substrates 29 in the upper sites “U” and the center sites “C”.
  • the reaction gases 32 tend to flow in lesser volumes on the gas inlet tube 18 side than on the gas outlet 22 side of the reaction chamber 16 .
  • those substrates 29 tend to be coated with deposition material in various thicknesses along various regions on the surface of the substrate 29 , as shown in FIG. 4 , with the heaviest-coated region 34 of each substrate 29 located on the side of the wafer boat 24 closest to the gas inlet tube 18 and the lightest-coated region 36 on the substrate 29 located on the side of the wafer boat 24 closest to the gas outlet 22 .
  • a medium-coated region 35 is formed on the substrate 29 between the heaviest-coated region 34 and the lightest-coated region 36 .
  • each batch of substrates 29 typically contains only about 100 substrates, consisting of the substrates 29 in the U sites and the C sites, instead of the 150-wafer batch capacity. This reduces wafer throughput and processing efficiency.
  • an object of the present invention is to provide a new and improved dummy plate for processing of substrates.
  • Another object of the present invention is to provide a new and improved dummy plate which facilitates enhanced thickness uniformity in film thickness among all regions on a substrate during CVD processes.
  • Still another object of the present invention is to provide a new and improved dummy plate which increases substrate throughput during semiconductor processing.
  • Yet another object of the present invention is to provide a new and improved dummy plate which promotes uniformity in process gas distribution among all regions on a substrate positioned in relatively close proximity to an exhaust gas outlet in a semiconductor processing furnace or chamber.
  • a still further object of the present invention is to provide a dummy plate which has a truncated configuration for the uniform distribution of process gases in a LPCVD chamber.
  • Yet another object of the present invention is to provide a method of promoting a substantially uniform flow of process gases along all regions on the surface of a substrate to facilitate formation of a film having a substantially uniform thickness among the regions on the substrate.
  • a still further object of the present invention is to provide a truncated dummy plate which is capable of increasing the batch size or number of substrates in a semiconductor fabrication process.
  • the present invention is generally directed to a truncated dummy plate which is particularly suitable for promoting substantially uniform flow of process gases among all regions on the surface of a substrate to facilitate deposition of a film having uniform thickness on the substrate.
  • the truncated dummy plate has a generally circular shape with a flat edge provided in the curved edge of the dummy plate. At least two, and preferably, about three or four of the dummy plates are positioned in the sites on a wafer boat which are in relatively close proximity to a gas outlet in a process furnace typically during a LPCVD process carried out in the furnace.
  • the flat or truncated edges of the dummy plates are disposed on the gas inlet side of the process chamber, with the round edges of the dummy plates disposed on the gas outlet side of the process chamber.
  • the truncated shape of the dummy plates promotes a more uniform flow of the process gases over the surfaces of substrates positioned in the wafer boat in proximity to the gas outlet, resulting in deposition of material films having a substantially uniform thickness among all regions on the surfaces of the substrates.
  • FIG. 1 is a sectional view of a typical conventional LPCVD process furnace suitable for implementation of the present invention
  • FIG. 2 is a front view of a typical conventional wafer boat loaded with a batch of multiple substrates and six conventional circular dummy plates;
  • FIG. 3 is a cross-sectional view, taken along section lines 3 - 3 in FIG. 1 , of a conventional process furnace, illustrating use of the process furnace in conjunction with conventionally-shaped dummy plates;
  • FIG. 4 is a top view of a substrate deposited with a material film of variable thickness resulting from use of the conventionally-shaped dummy plates in an LPCVD furnace;
  • FIG. 5 is a top view of an illustrative embodiment of a truncated dummy plate of the present invention.
  • FIG. 5A is a cross-sectional view, taken along section lines 5 A- 5 A in FIG. 5 , of a truncated dummy plate of the present invention
  • FIG. 6 is a front view of a conventional wafer boat shown holding multiple substrates and three truncated dummy plates of the present invention
  • FIG. 7 is a sectional view of a typical conventional LPCVD process furnace in implementation of the present invention.
  • FIG. 8 is a cross-sectional view, taken along section lines 8 - 8 in FIG. 7 , of a conventional process furnace, illustrating use of the process furnace in conjunction with the truncated dummy plates of the present invention.
  • FIG. 9 is a cross-sectional view of a substrate after being subjected to a LPCVD process in implementation of the present invention.
  • the present invention includes a truncated dummy plate which promotes substantially uniform flow of process gases among all regions on the surface of a substrate to facilitate deposition of a film having uniform thickness on the substrate.
  • At least two, and preferably, about three of the dummy plates are positioned in the sites on a wafer boat which are in relatively close proximity to a gas outlet in a process chamber, typically a LPCVD furnace, during a LPCVD process carried out in the furnace.
  • the truncated shape of the dummy plates promotes a more uniform flow of the process gases over the surfaces of substrates positioned in the wafer boat in proximity to the gas outlet, resulting in deposition of material films having a substantially uniform thickness among all regions on the surfaces of the substrates.
  • a truncated dummy plate 61 of the present invention includes a plate body 68 having a curved edge 62 and a flat edge 63 which interrupts the curvature of the curved edge 62 .
  • the plate body 68 includes a top surface 64 and a bottom surface 65 , as shown in FIG. 5A .
  • the maximum distance “A” between the curved edge 62 and the flat edge 63 is typically about 15 cm, whereas the maximum width “B” of the dummy plate 61 , as shown in FIG. 5A , is typically about 20 cm.
  • the dummy plate 61 may have dimensions “A” and “B” which are larger or smaller than those described above, depending on the desired application of the dummy plates 61 .
  • the dummy plate 61 may be formed by fabrication of a circular plate and removing a portion 66 from the plate to define the flat edge 63 , in which case the removed portion 66 has a maximum width “C” of about 5 cm.
  • a wafer boat 54 is used to support multiple substrates 59 in a process chamber such as an LPCVD furnace 40 as an LPCVD process is carried out in the furnace 40 .
  • the wafer boat 54 may be a conventional SiC (silicon carbide) wafer boat and typically includes a base plate 55 and a top plate 56 which are spanned by multiple vertical support rods 57 .
  • the substrates 59 are supported in vertically-spaced relationship by slots (not shown) in the support rods 57 .
  • the wafer boat may have alternative designs as is known in the art.
  • the LPCVD furnace 40 typically includes a base 42 on which is removably mounted a quartz tube 44 .
  • the interior of the quartz tube 44 defines a reaction chamber 46 for processing of as many as 150 substrates 59 held by the wafer boat 54 as the wafer boat 54 is supported on the base 42 and contained in the reaction chamber 46 .
  • a gas inlet tube 48 may extend downwardly through the quartz tube 44 into the reaction chamber 46 , and a central gas inlet opening 50 may be provided in the top center of the quartz tube 44 , for distribution of reaction gases 67 into the reaction chamber 46 .
  • a gas outlet 52 is provided typically in the base 42 for distributing the reaction gases 67 from the reaction chamber 46 .
  • the gas outlet 52 is typically located on the opposite side of the wafer boat 54 with respect to the gas inlet tube 48 to facilitate a more uniform flow of the reaction gases 67 throughout the reaction chamber 46 . It is understood that the foregoing description of the LPCVD furnace 40 is illustrative only and is not intended to limit the scope of the invention. The present invention is equally suitable for use with substrate processing chambers or furnaces having designs which depart from that heretofore described with respect to the LPCVD furnace 40 .
  • At least two, and preferably, three of the truncated dummy plates 61 of the present invention are positioned typically in the bottom portion, or lower sites “L”, as shown in FIG. 6 , of the wafer boat 54 , which bottom portion is usually the portion of the wafer boat 54 that is in closest proximity to the gas outlet 52 , as shown in FIG. 7 .
  • the dummy plates 61 are inserted in the slots (not shown) provided in the support rods 57 of the wafer boat 54 . As shown in FIG.
  • each truncated dummy plate 61 faces the gas inlet tube 48 side of the reaction chamber 46
  • the curved edge 62 of each truncated dummy plate 61 faces the gas outlet 52 side of the reaction chamber 46 .
  • Multiple substrates 59 to be processed in the LPCVD furnace 40 are loaded in the upper sites “U”, the center sites “C” and most of the lower sites “L” of the wafer boat 54 .
  • the LPCVD process is carried out typically using standard LPCVD process parameters by introducing reaction gases 67 into the reaction chamber 46 through the gas inlet tube 48 and the gas inlet opening 50 .
  • the reaction gases 67 flow in a substantially uniform path over the surfaces of the substrates 59 in the upper sites “U” and the substrates 59 in the center sites “C” of the wafer boat 54 . Consequently, the substrates 59 in the upper sites “U” and in the center sites “C” are substantially uniformly coated with deposition material, which forms films of uniform thickness on the surfaces of those substrates 59 . As the reaction gases 67 traverse the reaction chamber 46 to the gas outlet 52 , a substantial volume of the reaction gases 67 tends to bypass the surfaces of the substrates 59 in the lower sites “L”.
  • the truncated shape of the truncated dummy plates 61 facilitates flow of a greater volume of the reaction gases 59 across the surface of the substrates 61 positioned in the lower sites “L” of the wafer boat 54 , and this promotes a substantially uniform flow of the reaction gases 67 across the surfaces of those substrates 61 , resulting in deposition of a material film 60 which is substantially uniform in thickness across the entire surface of each substrate 61 , as shown in FIG. 9 . Consequently, prior to the LPCVD process, the maximum batch number of substrates 59 may be loaded into the wafer boat 54 , wherein each batch may contain as many as 150 of the substrates 54 . This substantially enhances throughput and processing efficiency of the substrates 54 .
  • the truncated dummy plates 61 may alternatively be positioned in the upper sites “U” of the wafer boat 54 , as shown in phantom, or alternatively, in the center sites “C” of the wafer boat 54 , in the event that the design of the particular process chamber or furnace being used for substrate processing causes a higher volume of flow of the process gases on one side of the reaction chamber than on the other side of the reaction chamber in these areas of the chamber or furnace.

Abstract

A truncated dummy plate which is suitable for promoting substantially uniform flow of process gases among all regions on the surface of a substrate to facilitate deposition of a film having uniform thickness on the substrate. The truncated dummy plate has a circular shape with a flat edge provided in the curved edge of the dummy plate. At least two, and preferably, about three or four of the dummy plates are positioned in the sites on a wafer boat which are in relatively close proximity to a gas outlet in a process furnace typically during a LPCVD process carried out in the furnace. The flat or truncated edges of the dummy plates are disposed on the gas inlet side of the process chamber, with the round edges of the dummy plates disposed on the gas outlet side of the process chamber.

Description

    FIELD OF THE INVENTION
  • The present invention relates to furnaces used in the fabrication of semiconductor integrated circuits on semiconductor wafer substrates. More particularly, the present invention relates to dummy plates having a modified shape for providing uniform gas flow and heating of wafers in a process chamber, particularly a LPCVD (low pressure chemical vapor deposition) furnace.
  • BACKGROUND OF THE INVENTION
  • The fabrication of various solid state devices requires the use of planar substrates, or semiconductor wafers, on which integrated circuits are fabricated. The final number, or yield, of functional integrated circuits on a wafer at the end of the IC fabrication process is of utmost importance to semiconductor manufacturers, and increasing the yield of circuits on the wafer is the main goal of semiconductor fabrication. After packaging, the circuits on the wafers are tested, wherein non-functional dies are marked using an inking process and the functional dies on the wafer are separated and sold. IC fabricators increase the yield of dies on a wafer by exploiting economies of scale. Over 1000 dies may be formed on a single wafer which measures from six to twelve inches in diameter.
  • In the semiconductor production industry, various processing steps are used to fabricate integrated circuits on a semiconductor wafer. These steps include the deposition of layers of different materials including metallization layers, passivation layers and insulation layers on the wafer substrate, as well as photoresist stripping and sidewall passivation polymer layer removal. In modern memory devices, for example, multiple layers of metal conductors are required for providing a multi-layer metal interconnection structure in defining a circuit on the wafer. A current drive in the semiconductor device industry is to produce semiconductors having an increasingly large density of integrated circuits which are ever-decreasing in size. These goals are achieved by scaling down the size of the circuit features in both the lateral and vertical dimensions. Vertical downscaling requires that the thickness of conductive and insulative films on the wafer be reduced by a degree which corresponds to shrinkage of the circuit features in the lateral dimension. Ultrathin device features will become increasingly essential for the fabrication of semiconductor integrated circuits in the burgeoning small/fast device technology.
  • Chemical vapor deposition (CVD) processes are widely used to form layers of materials on a semiconductor wafer. CVD processes include thermal deposition processes, in which a gas is reacted with the heated surface of a semiconductor wafer substrate, as well as plasma-enhanced CVD processes, in which a gas is subjected to electromagnetic energy in order to transform the gas into a more reactive plasma. Forming a plasma can lower the temperature required to deposit a layer on the wafer substrate, to increase the rate of layer deposition, or both. Other CVD processes include APCVD (atmospheric pressure chemical vapor deposition), and LPCVD (low pressure chemical vapor deposition). While APCVD systems have high equipment throughput, good uniformity and the capability to process large-diameter wafers, APCVD systems consume large quantities of process gas and often exhibit poor step coverage. Currently, LPCVD is used more often than APCVD because of its lower cost, higher production throughput and superior film properties. LPCVD is commonly used to deposit nitride, TEOS oxide and polysilicon films on wafer surfaces for front-end-of-line (FEOL) processes.
  • A typical conventional vertical LPCVD furnace is generally indicated by reference numeral 10 in FIG. 1 and includes a base 12 on which is removably mounted a quartz tube 14. The interior of the quartz tube 14 defines a reaction chamber 16 for processing of as many as 150 substrates 29 held by a wafer boat 24 that is supported on the base 12 and contained in the reaction chamber 16. The wafer boat 24 may be a SiC (silicon carbide) wafer boat and, as shown in FIG. 2, typically includes a base plate 25 and a top plate 26 which are spanned by multiple vertical support rods 27. The substrates 29 are supported in vertically-spaced relationship by slots (not shown) in the support rods 27.
  • A gas inlet tube 18 may extend downwardly through the quartz tube 14 into the reaction chamber 16, and a central gas inlet opening 20 may be provided in the top center of the quartz tube 14, for distributing reaction gases into the reaction chamber 16. A gas outlet 22 is provided typically in the base 12 for distributing exhaust gases from the reaction chamber 16. The gas outlet 22 may be located on the opposite side of the wafer boat 24 with respect to the gas inlet tube 18 to facilitate a more uniform flow of the reaction gases throughout the reaction chamber 16. Multiple circular dummy plates 31 may be provided in the bottom portion of the wafer boat 24 to further promote a uniform flow of the reaction gases 32, particularly in the bottom portion of the reaction chamber 16 which is the closest to the gas outlet 22, as shown in FIG. 3.
  • During LPCVD processes carried out in the conventional furnace 10, as many as 150 substrates 29 are processed in batches in order to maintain high wafer throughput. The substrates 29 in the upper sites (designated by the letter “U” in FIG. 2) and the substrates 29 in the center sites (designated by the letter “C” in FIG. 2) of the wafer boat 24 are substantially uniformly coated with deposition material, which forms films of uniform thickness, due to substantially uniform distribution of the reaction gases 32 along the surfaces of the substrates 29 in the upper sites “U” and the center sites “C”. However, at the lower sites “L”, the reaction gases 32 tend to flow in lesser volumes on the gas inlet tube 18 side than on the gas outlet 22 side of the reaction chamber 16. Consequently, due to the proximity of the substrates 29 in the lower sites “L” in the wafer boat 24 to the gas outlet 22, those substrates 29 tend to be coated with deposition material in various thicknesses along various regions on the surface of the substrate 29, as shown in FIG. 4, with the heaviest-coated region 34 of each substrate 29 located on the side of the wafer boat 24 closest to the gas inlet tube 18 and the lightest-coated region 36 on the substrate 29 located on the side of the wafer boat 24 closest to the gas outlet 22. A medium-coated region 35 is formed on the substrate 29 between the heaviest-coated region 34 and the lightest-coated region 36. Due to this disparity in film thickness among the various regions on the substrate 29, the L sites on the wafer boat 24 typically remain vacant during the LPCVD process. Consequently, each batch of substrates 29 typically contains only about 100 substrates, consisting of the substrates 29 in the U sites and the C sites, instead of the 150-wafer batch capacity. This reduces wafer throughput and processing efficiency.
  • It has been found that modifying the shape of the dummy plate to a truncated configuration provides a more uniform gas flow path and temperature profile within substrates located in the lower sites on the wafer boat. Consequently, the thickness uniformity of chemical vapor material deposited among the entire surface of the substrates in the lower sites of the wafer boat is substantially enhanced. Thickness uniformity on the wafers in the L-sites of the wafer boat have been improved from 4.2%, in the case of LPCVD processes which utilize the circular dummy plates, to 1.8%, in the case of LPCVD processes which utilize the truncated dummy plates of the present invention. CPK values for the U/C/L sites was improved from 1.9 to 3.3.
  • Accordingly, an object of the present invention is to provide a new and improved dummy plate for processing of substrates.
  • Another object of the present invention is to provide a new and improved dummy plate which facilitates enhanced thickness uniformity in film thickness among all regions on a substrate during CVD processes.
  • Still another object of the present invention is to provide a new and improved dummy plate which increases substrate throughput during semiconductor processing.
  • Yet another object of the present invention is to provide a new and improved dummy plate which promotes uniformity in process gas distribution among all regions on a substrate positioned in relatively close proximity to an exhaust gas outlet in a semiconductor processing furnace or chamber.
  • A still further object of the present invention is to provide a dummy plate which has a truncated configuration for the uniform distribution of process gases in a LPCVD chamber.
  • Yet another object of the present invention is to provide a method of promoting a substantially uniform flow of process gases along all regions on the surface of a substrate to facilitate formation of a film having a substantially uniform thickness among the regions on the substrate.
  • A still further object of the present invention is to provide a truncated dummy plate which is capable of increasing the batch size or number of substrates in a semiconductor fabrication process.
  • SUMMARY OF THE INVENTION
  • In accordance with these and other objects and advantages, the present invention is generally directed to a truncated dummy plate which is particularly suitable for promoting substantially uniform flow of process gases among all regions on the surface of a substrate to facilitate deposition of a film having uniform thickness on the substrate. The truncated dummy plate has a generally circular shape with a flat edge provided in the curved edge of the dummy plate. At least two, and preferably, about three or four of the dummy plates are positioned in the sites on a wafer boat which are in relatively close proximity to a gas outlet in a process furnace typically during a LPCVD process carried out in the furnace. The flat or truncated edges of the dummy plates are disposed on the gas inlet side of the process chamber, with the round edges of the dummy plates disposed on the gas outlet side of the process chamber. The truncated shape of the dummy plates promotes a more uniform flow of the process gases over the surfaces of substrates positioned in the wafer boat in proximity to the gas outlet, resulting in deposition of material films having a substantially uniform thickness among all regions on the surfaces of the substrates.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The invention will now be described, by way of example, with reference to the accompanying drawings, in which:
  • FIG. 1 is a sectional view of a typical conventional LPCVD process furnace suitable for implementation of the present invention;
  • FIG. 2 is a front view of a typical conventional wafer boat loaded with a batch of multiple substrates and six conventional circular dummy plates;
  • FIG. 3 is a cross-sectional view, taken along section lines 3-3 in FIG. 1, of a conventional process furnace, illustrating use of the process furnace in conjunction with conventionally-shaped dummy plates;
  • FIG. 4 is a top view of a substrate deposited with a material film of variable thickness resulting from use of the conventionally-shaped dummy plates in an LPCVD furnace;
  • FIG. 5 is a top view of an illustrative embodiment of a truncated dummy plate of the present invention;
  • FIG. 5A is a cross-sectional view, taken along section lines 5A-5A in FIG. 5, of a truncated dummy plate of the present invention;
  • FIG. 6 is a front view of a conventional wafer boat shown holding multiple substrates and three truncated dummy plates of the present invention;
  • FIG. 7 is a sectional view of a typical conventional LPCVD process furnace in implementation of the present invention;
  • FIG. 8 is a cross-sectional view, taken along section lines 8-8 in FIG. 7, of a conventional process furnace, illustrating use of the process furnace in conjunction with the truncated dummy plates of the present invention; and
  • FIG. 9 is a cross-sectional view of a substrate after being subjected to a LPCVD process in implementation of the present invention.
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present invention includes a truncated dummy plate which promotes substantially uniform flow of process gases among all regions on the surface of a substrate to facilitate deposition of a film having uniform thickness on the substrate. At least two, and preferably, about three of the dummy plates are positioned in the sites on a wafer boat which are in relatively close proximity to a gas outlet in a process chamber, typically a LPCVD furnace, during a LPCVD process carried out in the furnace. The truncated shape of the dummy plates promotes a more uniform flow of the process gases over the surfaces of substrates positioned in the wafer boat in proximity to the gas outlet, resulting in deposition of material films having a substantially uniform thickness among all regions on the surfaces of the substrates.
  • Referring to FIG. 5, a truncated dummy plate 61 of the present invention includes a plate body 68 having a curved edge 62 and a flat edge 63 which interrupts the curvature of the curved edge 62. The plate body 68 includes a top surface 64 and a bottom surface 65, as shown in FIG. 5A. As shown in FIG. 5, in a preferred embodiment the maximum distance “A” between the curved edge 62 and the flat edge 63 is typically about 15 cm, whereas the maximum width “B” of the dummy plate 61, as shown in FIG. 5A, is typically about 20 cm. However, it is understood that the dummy plate 61 may have dimensions “A” and “B” which are larger or smaller than those described above, depending on the desired application of the dummy plates 61. In the preferred embodiment of FIG. 5, the dummy plate 61 may be formed by fabrication of a circular plate and removing a portion 66 from the plate to define the flat edge 63, in which case the removed portion 66 has a maximum width “C” of about 5 cm.
  • Referring next to FIGS. 6-8, in application of the dummy plates 61, a wafer boat 54 is used to support multiple substrates 59 in a process chamber such as an LPCVD furnace 40 as an LPCVD process is carried out in the furnace 40. The wafer boat 54 may be a conventional SiC (silicon carbide) wafer boat and typically includes a base plate 55 and a top plate 56 which are spanned by multiple vertical support rods 57. The substrates 59 are supported in vertically-spaced relationship by slots (not shown) in the support rods 57. However, it is understood that the wafer boat may have alternative designs as is known in the art.
  • The LPCVD furnace 40 typically includes a base 42 on which is removably mounted a quartz tube 44. The interior of the quartz tube 44 defines a reaction chamber 46 for processing of as many as 150 substrates 59 held by the wafer boat 54 as the wafer boat 54 is supported on the base 42 and contained in the reaction chamber 46. A gas inlet tube 48 may extend downwardly through the quartz tube 44 into the reaction chamber 46, and a central gas inlet opening 50 may be provided in the top center of the quartz tube 44, for distribution of reaction gases 67 into the reaction chamber 46. A gas outlet 52 is provided typically in the base 42 for distributing the reaction gases 67 from the reaction chamber 46. The gas outlet 52 is typically located on the opposite side of the wafer boat 54 with respect to the gas inlet tube 48 to facilitate a more uniform flow of the reaction gases 67 throughout the reaction chamber 46. It is understood that the foregoing description of the LPCVD furnace 40 is illustrative only and is not intended to limit the scope of the invention. The present invention is equally suitable for use with substrate processing chambers or furnaces having designs which depart from that heretofore described with respect to the LPCVD furnace 40.
  • In accordance with the present invention, at least two, and preferably, three of the truncated dummy plates 61 of the present invention are positioned typically in the bottom portion, or lower sites “L”, as shown in FIG. 6, of the wafer boat 54, which bottom portion is usually the portion of the wafer boat 54 that is in closest proximity to the gas outlet 52, as shown in FIG. 7. The dummy plates 61 are inserted in the slots (not shown) provided in the support rods 57 of the wafer boat 54. As shown in FIG. 8, the flat or truncated edge 63 of each truncated dummy plate 61 faces the gas inlet tube 48 side of the reaction chamber 46, whereas the curved edge 62 of each truncated dummy plate 61 faces the gas outlet 52 side of the reaction chamber 46. Multiple substrates 59 to be processed in the LPCVD furnace 40 are loaded in the upper sites “U”, the center sites “C” and most of the lower sites “L” of the wafer boat 54. After the wafer boat 54, loaded with the substrates 59 and the truncated dummy plates 61, is placed in the reaction chamber 46 of the furnace 40, the LPCVD process is carried out typically using standard LPCVD process parameters by introducing reaction gases 67 into the reaction chamber 46 through the gas inlet tube 48 and the gas inlet opening 50.
  • During the LPCVD process carried out in the reaction chamber 46, the reaction gases 67 flow in a substantially uniform path over the surfaces of the substrates 59 in the upper sites “U” and the substrates 59 in the center sites “C” of the wafer boat 54. Consequently, the substrates 59 in the upper sites “U” and in the center sites “C” are substantially uniformly coated with deposition material, which forms films of uniform thickness on the surfaces of those substrates 59. As the reaction gases 67 traverse the reaction chamber 46 to the gas outlet 52, a substantial volume of the reaction gases 67 tends to bypass the surfaces of the substrates 59 in the lower sites “L”. However, the truncated shape of the truncated dummy plates 61 facilitates flow of a greater volume of the reaction gases 59 across the surface of the substrates 61 positioned in the lower sites “L” of the wafer boat 54, and this promotes a substantially uniform flow of the reaction gases 67 across the surfaces of those substrates 61, resulting in deposition of a material film 60 which is substantially uniform in thickness across the entire surface of each substrate 61, as shown in FIG. 9. Consequently, prior to the LPCVD process, the maximum batch number of substrates 59 may be loaded into the wafer boat 54, wherein each batch may contain as many as 150 of the substrates 54. This substantially enhances throughput and processing efficiency of the substrates 54.
  • Referring again to FIG. 6, it is understood that the truncated dummy plates 61 may alternatively be positioned in the upper sites “U” of the wafer boat 54, as shown in phantom, or alternatively, in the center sites “C” of the wafer boat 54, in the event that the design of the particular process chamber or furnace being used for substrate processing causes a higher volume of flow of the process gases on one side of the reaction chamber than on the other side of the reaction chamber in these areas of the chamber or furnace.
  • While the preferred embodiments of the invention have been described above, it will be recognized and understood that various modifications can be made in the invention and the appended claims are intended to cover all such modifications which may fall within the spirit and scope of the invention.

Claims (19)

1-12. (canceled)
13. A method of promoting a substantially uniform flow of a reaction gas in a reaction chamber having a gas inlet provided on a gas inlet side of said reaction chamber and a gas outlet provided on a gas outlet side of said reaction chamber, said method comprising:
providing a wafer boat;
providing at least two dummy plates each comprising a truncated plate body having a curved edge and a flat edge shaped in said curved edge of said plate body; and
positioning said at least two dummy plates in said wafer boat adjacent to said gas outlet, with said flat edge of said at least two dummy plates disposed on said gas inlet side of said reaction chamber.
14. The method of claim 13 wherein a maximum distance between said curved edge and said flat edge is about 15 cm.
15. The method of claim 13 wherein said truncated plate body has a maximum width of about 20 cm.
16. The method of claim 15 wherein a maximum distance between said curved edge and said flat edge is about 15 cm.
17. The method of claim 13 wherein said at least two dummy plates comprises three dummy plates.
18. The method of claim 17 wherein a maximum distance between said curved edge and said flat edge is about 15 cm.
19. The method of claim 17 wherein said truncated plate body has a maximum width of about 20 cm.
20. The method of claim 19 wherein a maximum distance between said curved edge and said flat edge is about 15 cm.
21. A method of promoting a substantially uniform flow of a reaction gas in a reaction chamber having a gas inlet provided on a gas inlet side of said reaction chamber and a gas outlet provided on a gas outlet side of said reaction chamber, said method comprising:
providing a wafer boat having a plurality of lower sites, a plurality of center sites and a plurality of upper sites;
providing at least two dummy plates each comprising a truncated plate body having a curved edge and a flat edge shaped in said curved edge of said plate body; and
positioning said at least two dummy plates in respective slots of at least one of said plurality of lower sites, said plurality of center sites and or said plurality of upper sites of said wafer boat, with said flat edge of said at least two dummy plates disposed on said gas inlet side of said reaction chamber.
22. The method of claim 21 wherein said positioning said at least two dummy plates in respective slots of at least one of said plurality of lower sites, said plurality of center sites and said plurality of upper sites of said wafer boat comprises positioning said at least two dummy plates in respective slots of said plurality of lower sites.
23. The method of claim 21 wherein said positioning said at least two dummy plates in respective slots of at least one of said plurality of lower sites, said plurality of center sites and said plurality of upper sites of said wafer boat comprises positioning said at least two dummy plates in respective slots of said plurality of center sites.
24. The method of claim 21 wherein a maximum distance between said curved edge and said flat edge is about 15 cm.
25. The method of claim 21 wherein said truncated plate body has a maximum width of about 20 cm.
26. The method of claim 21 wherein said at least two dummy plates comprises three dummy plates.
27. A method of promoting a substantially uniform flow of a reaction gas in a reaction chamber having a gas inlet provided on a gas inlet side of said reaction chamber and a gas outlet provided on a gas outlet side of said reaction chamber, said method comprising:
providing a wafer boat having a plurality of lower sites, a plurality of center sites and a plurality of upper sites;
providing at least two dummy plates each comprising a truncated plate body having a curved edge and a flat edge shaped in said curved edge of said plate body; and
positioning said at least two dummy plates in respective slots of said plurality of upper sites of said wafer boat, with said flat edge of said at least two dummy plates disposed on said gas inlet side of said reaction chamber.
28. The method of claim 27 wherein a maximum distance between said curved edge and said flat edge is about 15 cm.
29. The method of claim 27 wherein said truncated plate body has a maximum width of about 20 cm.
30. The method of claim 27 wherein said at least two dummy plates comprises three dummy plates.
US11/039,501 2002-10-05 2005-01-19 Truncated dummy plate for process furnace Abandoned US20050124169A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/039,501 US20050124169A1 (en) 2002-10-05 2005-01-19 Truncated dummy plate for process furnace

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/264,492 US6849131B2 (en) 2002-10-05 2002-10-05 Truncated dummy plate for process furnace
US11/039,501 US20050124169A1 (en) 2002-10-05 2005-01-19 Truncated dummy plate for process furnace

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/264,492 Division US6849131B2 (en) 2002-10-05 2002-10-05 Truncated dummy plate for process furnace

Publications (1)

Publication Number Publication Date
US20050124169A1 true US20050124169A1 (en) 2005-06-09

Family

ID=32042240

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/264,492 Expired - Fee Related US6849131B2 (en) 2002-10-05 2002-10-05 Truncated dummy plate for process furnace
US11/039,501 Abandoned US20050124169A1 (en) 2002-10-05 2005-01-19 Truncated dummy plate for process furnace

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/264,492 Expired - Fee Related US6849131B2 (en) 2002-10-05 2002-10-05 Truncated dummy plate for process furnace

Country Status (1)

Country Link
US (2) US6849131B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110312167A1 (en) * 2008-06-02 2011-12-22 Katsushi Kishimoto Plasma processing apparatus, and deposition method an etching method using the plasma processing apparatus

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6849131B2 (en) * 2002-10-05 2005-02-01 Taiwan Semiconductor Manufacturing Co., Ltd Truncated dummy plate for process furnace
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) * 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7771563B2 (en) * 2004-11-18 2010-08-10 Sumitomo Precision Products Co., Ltd. Systems and methods for achieving isothermal batch processing of substrates used for the production of micro-electro-mechanical-systems
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US20150128863A1 (en) * 2013-11-14 2015-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for furnace apparatus and wafer boat
JP2017022233A (en) * 2015-07-09 2017-01-26 東京エレクトロン株式会社 Vertical type thermal treatment apparatus and operational method for vertical type thermal treatment apparatus
JP6468901B2 (en) * 2015-03-19 2019-02-13 東京エレクトロン株式会社 Substrate processing equipment
US10453713B2 (en) * 2016-11-29 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for controlling temperature of furnace in semiconductor fabrication process

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6344387B1 (en) * 1996-12-19 2002-02-05 Tokyo Electron Limited Wafer boat and film formation method

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07105357B2 (en) * 1989-01-28 1995-11-13 国際電気株式会社 Wafer transfer method and apparatus in vertical CVD diffusion apparatus
US5279670A (en) * 1990-03-31 1994-01-18 Tokyo Electron Sagami Limited Vertical type diffusion apparatus
US5310339A (en) * 1990-09-26 1994-05-10 Tokyo Electron Limited Heat treatment apparatus having a wafer boat
JPH06120311A (en) * 1992-10-06 1994-04-28 Hitachi Ltd Dummy wafer and foreign matter analyzer using thereof
JP3474261B2 (en) * 1994-05-17 2003-12-08 東京エレクトロン株式会社 Heat treatment method
JPH0878347A (en) * 1994-09-06 1996-03-22 Komatsu Electron Metals Co Ltd Susceptor for epitaxial growth apparatus
TW318274B (en) * 1995-05-26 1997-10-21 Tokyo Electron Co Ltd
IT1312248B1 (en) * 1999-04-12 2002-04-09 Getters Spa METHOD TO INCREASE THE PRODUCTIVITY OF THIN DISTRICT DISPOSAL PROCESSES ON A SUBSTRATE AND GETTER DEVICES FOR
KR20010018597A (en) * 1999-08-20 2001-03-05 김영환 Semiconductor dummy wafer
JP2001291670A (en) * 2000-04-10 2001-10-19 Hitachi Kokusai Electric Inc Semiconductor manufacturing apparatus
US6341935B1 (en) * 2000-06-14 2002-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer boat having improved wafer holding capability
JP2002043229A (en) * 2000-07-25 2002-02-08 Hitachi Kokusai Electric Inc Semiconductor manufacturing device
JP2003077845A (en) * 2001-09-05 2003-03-14 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device and substrate treatment apparatus
US6905963B2 (en) * 2001-10-05 2005-06-14 Hitachi Kokusai Electric, Inc. Fabrication of B-doped silicon film by LPCVD method using BCI3 and SiH4 gases
US6849131B2 (en) * 2002-10-05 2005-02-01 Taiwan Semiconductor Manufacturing Co., Ltd Truncated dummy plate for process furnace

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6344387B1 (en) * 1996-12-19 2002-02-05 Tokyo Electron Limited Wafer boat and film formation method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110312167A1 (en) * 2008-06-02 2011-12-22 Katsushi Kishimoto Plasma processing apparatus, and deposition method an etching method using the plasma processing apparatus

Also Published As

Publication number Publication date
US6849131B2 (en) 2005-02-01
US20040065261A1 (en) 2004-04-08

Similar Documents

Publication Publication Date Title
US20050124169A1 (en) Truncated dummy plate for process furnace
US11515179B2 (en) Semiconductor processing chamber multistage mixing apparatus
US10214815B2 (en) Surface treated aluminum nitride baffle
US5503881A (en) Method of processing a semiconductor wafer
US8544411B2 (en) Batch-type remote plasma processing apparatus
US6963043B2 (en) Asymmetrical focus ring
US5895530A (en) Method and apparatus for directing fluid through a semiconductor processing chamber
CN107591355B (en) Electrostatic chuck with features to prevent arcing and ignition and improve process uniformity
KR20220138849A (en) Upper electrode having varying thickness for plasma processing
WO2003096396A1 (en) Process and system for heating semiconductor substrates in a processing chamber containing a susceptor
CN101314847A (en) Plasma cvd apparatus having non-metal susceptor
KR102430432B1 (en) Planar substrate edge contact with open volume equalization pathways and side containment
US20060027171A1 (en) Wafer boat for reducing wafer warpage
US5789324A (en) Uniform gas flow arrangements
CN211045385U (en) Base seat
CN110894598A (en) Deposition furnace tube
US11214868B2 (en) Chemical vapor deposition apparatus and blocker plate
JP2840026B2 (en) Air-cooled processing apparatus and method for continuously processing an object to be processed using the apparatus
TW200834688A (en) Prevention of film deposition on PECVD process chamber wall
KR20190119152A (en) Diffuser Design for Flowable CVD
CN115668436A (en) Remote Plasma Cleaning (RPC) directional flow apparatus
JP4218360B2 (en) Heat treatment apparatus and heat treatment method
US20150128863A1 (en) Mechanisms for furnace apparatus and wafer boat
CN111799143B (en) Multistage mixing apparatus for semiconductor processing chamber
JP2002141290A (en) System for producing semiconductor

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION