US20060099831A1 - Silicon source reagent compositions, and method of making and using same for microelectronic device structure - Google Patents

Silicon source reagent compositions, and method of making and using same for microelectronic device structure Download PDF

Info

Publication number
US20060099831A1
US20060099831A1 US11/301,043 US30104305A US2006099831A1 US 20060099831 A1 US20060099831 A1 US 20060099831A1 US 30104305 A US30104305 A US 30104305A US 2006099831 A1 US2006099831 A1 US 2006099831A1
Authority
US
United States
Prior art keywords
source reagent
group
aminosilane
precursor
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/301,043
Inventor
Alexander Borovik
Ziyun Wang
Chongying Xu
Thomas Baum
Brian Benac
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/823,196 external-priority patent/US7005392B2/en
Application filed by Individual filed Critical Individual
Priority to US11/301,043 priority Critical patent/US20060099831A1/en
Publication of US20060099831A1 publication Critical patent/US20060099831A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/003Compounds containing elements of Groups 4 or 14 of the Periodic System without C-Metal linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Definitions

  • the present invention relates to silicon precursor compositions and their synthesis, and to the use of such silicon precursor compositions for the fabrication of microelectronic device structures, e.g., in the formation of gate dielectrics and silicon nitride barrier layers, in the manufacture of semiconductor integrated circuits, or in otherwise forming silicon-containing films on a substrate by chemical vapor deposition (CVD) utilizing such precursor compositions.
  • CVD chemical vapor deposition
  • the process of fabricating semiconductor integrated circuits generally includes the formation of such components as, gate oxides, high k dielectrics, low k dielectrics, barrier layers, etch stop layers and gate spacers.
  • Such components often include silicon or silicon oxide in their compositions.
  • conventional gate dielectric materials may be formed from silicon dioxide, silicon oxy-nitride, silicon nitride or metal silicates.
  • FET field effect transistors
  • MOS-caps metal oxide semiconductor capacitors
  • a simplified field effect transistor is shown in cross-section.
  • a portion of the substrate (or epi-layer) 100 near the surface is designated as the channel 120 during processing.
  • Channel 120 is electrically connected to source 140 and drain 160 , such that when a voltage difference exists between source 140 and drain 160 , current will tend to flow through channel 120 .
  • the semiconducting characteristics of channel 120 are altered such that its resistivity may be controlled by the voltage applied to gate 200 , a conductive layer overlying channel 120 . Thus by changing the voltage on gate 200 , more or less current can be made to flow through channel 120 .
  • Gate 200 and channel 120 are separated by gate dielectric 180 ; the gate dielectric is insulating, such that between gate 200 and channel 120 the current flow during operation is small compared to the source to drain current (although “tunneling” current is observed with thin dielectrics.) However, the gate dielectric allows the gate voltage to induce an electric field in channel 120 , giving rise to the name “field effect transistor.”
  • the general structure of a MOS-cap can be visualized as layers 200 , 180 and 120 of FIG. 1 without the source and drain. The MOS-cap functions as a capacitor.
  • SiO 2 represents the highest quality gate dielectric material 180 so far developed in silicon technology with low defects and low surface state density.
  • One important advantage of SiO 2 is that it may be grown from the silicon substrate at elevated temperatures in an oxidizing environment. It is well known in the art, that thermally grown oxides tend to have fewer defects, (i.e. pinholes), than deposited materials. Thus, SiO 2 has persisted as the dielectric material in most silicon device structures.
  • integrated circuit performance and density may be enhanced by decreasing the size of the individual semiconductor devices on a chip.
  • field effect semiconductor devices produce an output signal that is proportional to the length of the channel, such that scaling reduces their output. This effect has generally been compensated for by decreasing the thickness of gate dielectric 180 , thus bringing the gate in closer proximity to the channel and enhancing the field effect.
  • the gate dielectric thickness has continued to shrink. Although further scaling of devices is still possible, scaling of the gate dielectric thickness has almost reached its practical limit with the conventional gate dielectric materials: silicon dioxide, silicon oxy-nitride and silicon nitride. Further scaling of silicon dioxide gate dielectric thickness will involve problems such as: extremely thin layers allowing for large leakage currents due to direct tunneling through the oxide. Because such layers are formed literally from a few atomic layers, exact process control is required to repeatably produce such layers. Uniformity of coverage is also critical because device parameters may change dramatically based on the presence or absence of even a single monolayer of dielectric material. Finally, such thin layers form poor diffusion barriers to impurities and dopants.
  • the formation of such metal oxides as gate dielectrics has been found to be problematic.
  • the oxygen co-reactant or oxygen-containing precursor tends to oxidize the silicon substrate, producing a lower dielectric constant oxide layer at the interface between the substrate and the higher dielectric constant, gate dielectric material.
  • the transition metal oxide acts as a catalytic source of activated oxygen, that the precursor molecules increase the oxygen activity or that oxygen from the precursor is incorporated in the growing oxide film.
  • the presence of this interfacial oxide layer increases the effective oxide thickness, reducing the effectiveness of the alternative gate dielectric material.
  • the existence of the interfacial oxide layer places a severe constraint on the performance of an alternative dielectric field effect device and therefore, is unacceptable.
  • metal oxide and metal oxy-nitride thin films comprising Zr, Hf, Y, La, Lanthanide series elements, Ta, Ti and/or Al and silicates of these metal oxides and metal oxy-nitrides are regarded as potential material replacements of the SiO 2 gate oxides, (i.e., U.S. Pat. Nos. 6,159,855 and 6,013,553).
  • these films must be deposited at relatively low temperatures.
  • the source reagents and methodology employed to form such gate dielectric thin films are extremely critical for the provision of a gate structure having satisfactory electrical performance characteristics in the product device. Specifically, the source reagents and methodology must permit the gate dielectric thin film to form on a clean silicon surface, without the occurrence of side reactions producing predominantly silicon dioxide (SiO 2 ), locally doped SiO 2 and/or other impurities, that lower the dielectric constant and compromise the performance of the product microelectronic device. Accordingly, the absence of impurities is highly desirable.
  • Chemical vapor deposition is the thin film deposition method of choice for high-density, large-scale fabrication of microelectronic device structures, and the semiconductor manufacturing industry has extensive expertise in its use.
  • Metalorganic CVD MOCVD
  • ACVD atomic layer CVD
  • Chemical vapor deposition (CVD) of silicon-containing films provides uniform coverage.
  • Liquid CVD precursors enable direct delivery or liquid injection of the precursors into a CVD vaporizer unit.
  • the accurate and precise delivery rate can be obtained through volumetric metering to achieve reproducible CVD metallization during VLSI device manufacturing.
  • Impurities that are known to lower the dielectric constant and/or increase leakage include among others, carbon and halides. Carbon and/or halide incorporation into the dielectric thin film would degrade leakage, dielectric constant, and overall electrical performance of the thin film. In contrast, nitrogen incorporation may exhibit some beneficial properties on the dielectric thin film.
  • halide may adversely affect a gate dieletric thin film in either of two ways.
  • Halide incorporation into a gate dielectric thin film may directly affect the electronic nature of the film, thereby reducing device lifetime.
  • halide such as chloride, leads to formation of hydrogen chloride during the decomposition of the precursor, which potentially affects the CVD chamber making the treatment of the effluent from the chamber more challenging.
  • the choice of the zirconium or hafnium CVD source reagents and a compatible silicon source reagent is of critical importance for the successful deposition of high quality Zr or Hf silicate gate dielectric.
  • Low temperature CVD silicon precursors are required to minimize the formation of interfacial silicon dioxide.
  • the precursors are compatible in solution and in vapor phase and decompose below 600° C. on substrate surfaces, forming Hf or Zr silicates in high purity and high density with no interfacial layer.
  • the source reagents must be thermally stable to avoid premature decomposition of such source reagents before they reach the CVD reaction chamber during the CVD process. Premature decomposition of source reagents not only results in undesirable accumulation of side products that will clog fluid flow conduits of the CVD apparatus, but also causes undesirable variations in composition of the deposited gate dielectric thin film. Further, particle formation can result in deleterious yields in device fabrication.
  • Zr, Hf, Y, La, Lanthanide series elements, Ta, Ti, Al and/or silicon source reagents have to be chemically compatible with other source reagents used in the CVD process.
  • “Chemically compatible” means that the source reagents will not undergo, undesirable side reactions with other co-deposited source reagents, and/or deleterious ligand exchange reactions that may alter the precursor properties, such as transport behavior, incorporation rates and film stoichiometries.
  • Zr, Hf, Y, La, Lanthanide series elements, Ta, Ti, Al and/or silicon source reagents selected for MOCVD of dielectric thin films must be able to maintain their chemical identity over time when dissolved or suspended in organic solvents or used in conventional bubblers. Any change in chemical identity of source reagents in the solvent medium is deleterious since it impairs the ability of the CVD process to achieve repeatable delivery and film growth.
  • Silicon amide source reagents are of great interest for use as low temperature CVD precursors in many applications, e.g., CVD of silicon nitride and early transition metal silicates.
  • CVD precursors e.g., CVD of silicon nitride and early transition metal silicates.
  • many commercially available silicon amides have unacceptably high levels of chloride.
  • CVD metalorganic chemical vapor deposition
  • the present invention relates to novel precursor compositions for low temperature ( ⁇ 600° C.) chemical vapor deposition (CVD) formation of silicon-containing films, and to associated methods of making and using such types of compositions.
  • the present invention relates to aminosilane source reagent compositions, and to a method of making, and using the same.
  • the present invention relates to silicon precursors having reduced oxygen and halogen content (relative to various corresponding commercial silicon source reagents) with utility for chemical vapor deposition (CVD) of silicon containing thin films of varying types, including silicon nitride, silicates, and doped silicate films (when a dopant co-precursor is utilized), as well as to a method for making and using such silicon precursors.
  • CVD chemical vapor deposition
  • the silicon precursors of the present invention comprise a composition selected from the group consisting of: wherein R 3 is selected from the group consisting of hydrogen, C 1 -C 4 alkyl, and C 1 -C 4 alkoxy; x is from 0 to 3; Si is silicon; A is halogen; y is from 0 to 3; N is nitrogen; each of R 1 and R 2 is same or different and is independently selected from the group consisting of H, aryl, perfluoroaryl, C 1 -C 8 alkyl, and C 1 -C 8 perfluoroalkyl; and n is from 1-6.
  • the present invention relates to novel, stable aminosilane source reagent compositions for chemical vapor deposition (CVD) of silicon-containing thin films as well as to methods of making and using same. More specifically, the present invention relates to novel aminosilane source reagent compositions having the formula, R 3 x SiA y (NR 1 R 2 ) 4-x-y ; wherein R 3 is selected from the group consisting of hydrogen, C 1 -C 4 alkyl, and C 1 -C 4 alkoxy; x is from 0 to 3; Si is silicon; A is halogen; y is from 0 to 3; N is nitrogen; R 1 is methyl and R 2 ethyl.
  • the present invention relates to a method of synthesizing an aminosilane source reagent composition, by reacting a silicon halide source reagent compound with an amine source reagent compound in a polar, activating solvent, to yield an aminosilane precursor having reduced halide content as compared to the existing commercial precursors.
  • the present invention provides a CVD process that uses the aforementioned aminosilane precursors, that may alternatively be in the form of a neat liquid, as well as solution compositions of solid and liquid precursors of such type, for deposition of silicon containing films (e.g., by direct liquid injection and vaporization). Vaporization may be effected by heating, acoustics, ultrasound or nebulization.
  • a still further aspect of the invention relates to a microelectronic device structure comprising a substrate having a chemical vapor deposited silicon-containing thin film layer on the substrate, wherein the silicon containing layer has been formed using a liquid-phase silicon precursor that is thermally stable at liquid delivery temperatures (at which the precursor liquid is vaporized to form a corresponding precursor vapor), but which is readily decomposable at chemical vapor deposition condition temperatures, to yield a silicon-containing film on the substrate with which the precursor vapor is contacted
  • FIG. 1 is a cross-sectional view of a typical prior art integrated circuit field effect transistor.
  • FIGS. 2A and 2B show a limited pressure-temperature matrix for Si(N(C 2 H 5 ) 2 ) 2 Cl 2 (bis(diethyl-amino)dichlorosilane), and Si(N(CH 3 ) 2 ) 3 Cl (tris(dimethyl-amino)chlorosilane in N 2 O.
  • FIG. 3 shows the growth rate of silica from Si(N(C 2 H 5 ) 2 ) 2 Cl 2 (Bis(diethyl-amino)dichlorosilane) in N 2 O ambient.
  • FIG. 4 shows the growth rate of silica from Si(N(CH 3 ) 2 ) 3 Cl (Tris(dimethyl-amino)chlorosilane in N 2 O ambient.
  • FIG. 5 shows the growth rate of SiO 2 under a HfO 2 film with no silicon precursor present.
  • FIG. 6 shows the growth rate of SiO 2 from Si(N(C 2 H 5 ) 2 ) 2 Cl 2 (Bis(diethyl-amino)dichlorosilane when co-deposited with HfO 2 from Hf(N(C 2 H 5 ) 2 ) 4 (Tetrakis(diethyl-amino)hafnium in N 2 O ambient.
  • FIG. 7 shows a proton spectrum ( 1 H NMR) of Si(NMe 2 ) 4 in (C 6 D 6 ).
  • the silicon precursor composition(s) and method(s) of making such precursor composition(s) of the instant invention may be formulated to comprise, consist of, or consist essentially of any appropriate components herein disclosed, and such silicon precursor compositions of the invention may additionally, or alternatively, be formulated to be devoid, or substantially free, of any components taught to be necessary in prior art formulations that are not necessary to the achievement of the objects and purposes of the invention hereunder.
  • compositions of the present invention are useful in a number of applications.
  • the compositions may be used in the formation of silicon nitride barrier layers, low dielectric constant thin films and gate dielectric thin films in a semiconductor integrated circuit.
  • a semiconductor substrate may have a number of dielectric and conductive layers formed on and/or within the substrate.
  • the semiconductor substrate may include a bare substrate or a substrate having any number of layers formed thereon and the term “thin film” refers to a material layer having a thickness of less than about 1000 microns.
  • the present invention relates to a method of synthesizing an aminosilane source reagent composition, by reacting an aminosilane precursor compound with an amine source reagent compound in a solvent medium comprising at least one activating solvent component, to yield an aminosilane source reagent composition having reduced halide content as compared to the existing commercial precursors.
  • the aminosilane source reagent compound comprises less than 1000 ppm halide, more preferably less than 500 ppm and most preferably less than 10 ppm halide.
  • aminosilane precursor compounds useful in the synthetic process of the instant invention must have reactive leaving groups, such as H and/or halogen.
  • aminosilane precursor compounds useful in the instant invention include but are not limited to, silicon halides, alkylsilanes and other aminosilanes.
  • the aminosilane precursor compound is a silicon halide compound comprising a composition selected from the group consisting of: wherein R 3 is selected from the group consisting of hydrogen, C 1 -C 4 alkyl, and C 1 -C 4 alkoxy; x is from 0 to 3; Si is silicon; A is halogen; y is from 1 to 4; N is nitrogen; each of R 1 and R 2 is same or different and is independently selected from the group consisting of H; aryl, perfluoroaryl, C 1 -C 8 alkyl, and C 1 -C 8 perfluoroalkyl; and n is from 1-6.
  • A is Cl.
  • the amine source reagent compounds useful in the synthetic process of the instant invention include but are not limited to amines having a composition selected from the group consisting of wherein B is selected from the group consisting of H, Li, Na, K, Zn and MgBr; N is nitrogen; R 1 and R 2 are same or different and each is independently selected from the group consisting of H, aryl, perfluoroaryl, C 1 -C 8 alkyl, and C 1 -C 8 perfluoroalkyl; and n is from 1-6.
  • R 1 and R 2 are methyl and/or ethyl.
  • Activating solvent components useful in the present invention include but are not limited to Lewis base compounds such as ethers and amines. More specifically, ethereal solvents useful in the present invention include but are not limited to, diethyl ether, tetrahydrofuran (THF), ethylene glycol dimethyl ether (glyme), diethylene glycol dimethyl ether (diglyme), 1,4-dioxane, tetraethylene glycol dimethyl ether (tetraglyme), 1,4,7,10-tetraoxacyclododecane (12-Crown-4), 1,4,7,10,13-pentaoxacyclopentadecane (15-Crown-5), and 1,4,7,10,13,16-hexaoxacyclooctadecane (18-Crown-6); and amine solvents useful in the present invention include but are not limited to tertiary amines selected from the group consisting of, pentamethyldiethylenetriamine (PMD
  • amine source reagent compounds useful in the present invention exist as oligomers.
  • the oligomer prevents substitution of all reactive leaving groups (i.e., halides) on the aminosilane precursor compound, since the oligomer is not as soluble in many solvents and hence, not as reactive as its corresponding monomer.
  • the oligomers are solvated into monomeric species, thus providing the impetus for the amine-leaving group substitution to occur.
  • Non-polar solvents useful in the present invention include but are not limited to alkanes, alkenes, alkynes and aromatic hydrocarbons.
  • the present invention relates to a method of synthesizing an aminosilane source reagent composition, comprising the steps of:
  • step (3) adding an activating polar solvent to the partially substituted aminosilane component and the unreacted amine component of the reaction mixture of step (1) to at least partially activate the unreacted amine component;
  • step (3) (4) continuing the reaction of step (3) for a period of time sufficient to provide for essentially stoichiometric substitution of at least one halide on the aminosilane precursor compound by an amine component.
  • the present invention relates to a method of synthesizing an aminosilane source reagent composition, by reacting an aminosilane precursor compound with an amine source reagent compound in a solvent system comprising at least one activating solvent component in an amount equal to at least one equivalent of the amine source reagent compound, to yield an aminosilane precursor having reduced halide content as compared to existing commercial precursors.
  • the aminosilane precursor compound is combined with an amount of the amine source reagent compound that is in excess of at least one equivalent of the amine source reagent compound as shown in the following non limiting generic example: SiCl 4 +5 LiNR 2 ⁇ Si(NR 2 ) 4 +4LiCl+LiNR 2
  • the synthetic method of the instant invention is not limited to the specific examples disclosed herein, but rather includes any combination of solvents in any order with the requirement that at least one solvent component comprise a polar activating component.
  • an aminosilane source reagent composition is formed by a synthetic process comprising the steps:
  • an aminosilane precursor compound e.g. SiCl 4
  • excess amine source reagent compound that is equal to at least one molar equivalent of the amine source reagent compound (e.g., 5LiNR 2 )
  • a solvent system comprising at least one non-polar solvent, such as hexanes, for a period of time sufficient to provide for partial substitution of at least one reactive leaving group on the aminosilane precursor compound, to produce a reaction mixture comprising a partially substituted aminosilane component and an unreacted amine component;
  • step (3) adding a polar solvent, such as tetraglyme, to the partially substituted aminosilane component and the unreacted amine component of the reaction mixture of step (1) to at least partially activate the unreacted amine component;
  • a polar solvent such as tetraglyme
  • step (3) (4) continuing the reaction of step (3) for a period of time sufficient to provide for essentially stoichiometric substitution of all reactive leaving groups on the silicon halide source reagent compound by an amine component.
  • the period of time required for reactions to complete and the temperature at which they are run, are parameters readily determined by those skilled in the art. Such determinations are based on parameters such as pressure, concentration, mixing speed etc.
  • the reaction mixture of step (1) as outlined hereinabove, wherein the aminosilane precursor compound is combined with the amine source reagent compound should be carried out at a temperature that is in the range of from about ⁇ 30° C. to room temperature and a pressure that is about one atmospheric pressure.
  • the combination of the compounds is carried out at a temperature of ⁇ 0° C. and a pressure that is about one atm.
  • the reaction mixture of step (3) as outlined hereinabove, wherein the aminosilane precursor compound having partially substituted leaving groups, is combined with the amine source reagent compound, and the polar activating solvent should be carried out at a temperature that is in the range of from about 0° C. to 100° C. at ambient pressure.
  • the reaction of step (3) is carried out a temperature that is ⁇ 60° C. at an ambient pressure.
  • aminosilane source reagent compositions synthesized in the aforementioned procedures are crude product and must be isolated and purified. Such isolation and purification methods are readily available and known to those skilled in the instant art.
  • the crude aminosilane source reagent composition is separated from the by-product by filtration or decantation and preferably the separated aminosilane source reagent composition is further purified by distillation to produce an aminosilane source reagent composition having a halogen level of less than 1000 ppm, preferably less than 500 ppm and most preferably less than 10 ppm.
  • aminosilane source reagent compositions of the present invention when utilized in a CVD process to deposit silicon containing thin films on a substrate, result in silicon containing thin films having very little or no halide impurity.
  • the present invention relates to silicon precursors made by reacting an aminosilane precursor compound with an amine source reagent compound in a solvent medium comprising at least one activating solvent component, to yield an aminosilane source reagent composition having a halogen content that is less than 1000 ppm, said aminosilane source reagent composition selected from the group consisting of: wherein R 3 is selected from the group consisting of hydrogen, C 1 -C 4 alkyl, and C 1 -C 4 alkoxy; x is from 0 to 3; Si is silicon; A is halogen; Y is from 0 to 3; N is nitrogen; each of R 1 and R 2 is same or different and is independently selected from the group consisting of H, aryl, perfluoroaryl, C 1 -C 8 alkyl, and C 1 -C 8 perfluoroalkyl; and n is from 1-6.
  • the present invention relates to novel, stable aminosilane source reagent compositions having formula: R 3 x SiA y (NR 1 R 2 ) 4-x-y wherein R 3 is selected from the group consisting of hydrogen, C 1 -C 4 alkyl, and C 1 -C 4 alkoxy; x is from 0 to 3, A is Cl, y is from 0 to 3; R 1 is methyl; and R 2 is ethyl.
  • the aminosilane source reagent compounds useful for depositing a silicon containing thin film on a substrate include but are not limited to: Si(NMe 2 ) 3 Cl, Si(NEt 2 ) 2 Cl 2 , Si(NMe 2 ) 4 , Si(NEt 2 ) 4 and Si(NMeEt) 4 , HSi(NEt 2 ) 3 , HSi(NEtMe) 3 .
  • the invention in one embodiment relates to a CVD precursor for forming a silicon containing thin film on a substrate, such precursor composition including at least one aminosilane source reagent composition.
  • the aminosilane source reagent compositions of the instant invention are useful for producing silicon containing thin films, including but not limited to silicon nitride thin films, SiO 2 dielectric thin films, doped SiO 2 dielectric thin films, low dielectric constant thin films and metal silicon-oxy-nitride thin films.
  • the silicon precursor composition of the instant invention is used in combination with a dopant precursor to deposit a doped dielectric SiO 2 thin film.
  • the dopant precursor comprises a metalloamide source reagent composition.
  • the instant invention relates to a silicon precursor composition used in combination with a dopant precursor to deposit a metal silicate thin film
  • the silicon precursor is an aminosilane source reagent composition selected from the group consisting of wherein R 3 is selected from the group consisting of hydrogen, C 1 -C 4 alkyl, and C 1 -C 4 alkoxy; x is from 0 to 3; Si is silicon; A is halogen; Y is from 0 to 3; N is nitrogen; each of R 1 and R 2 is same or different and is independently selected from the group consisting of H, aryl, perfluoroaryl, C 1 -C 8 alkyl, and C 1 -C 8 perfluoroalkyl; and n is from 1-6; and
  • the dopant precursor is a metalloamide source reagent composition selected from the group consisting of: wherein, M is selected from the group consisting of: Zr, Hf. Y, La, Lanthanide series elements, Ta, Ti, Al; N is nitrogen; each of R 1 and R 2 is same or different and is independently selected from the group consisting of H, aryl, perfluoroaryl, C 1 -C 8 alkyl, C 1 -C 8 perfluoroalkyl, alkylsilyl; x is the oxidation state on metal M; and n is from 1-6.
  • M is Zr or Hf; and R 1 and R 2 are methyl and/or ethyl.
  • the metalloamide source reagents useful for depositing dielectric thin films on a substrate include but are not limited to, compounds of the formula M(NMe 2 ) x , M(NEt 2 ) x , M(NMeEt) x
  • metalloamide source reagent compositions which may be usefully employed in the present invention include, without limitation, Zr(NMe 2 ) 4 , Zr(NMeEt) 4 , Zr(NEt 2 ) 4 , Ta(NEt 2 ) 5 , Ta(NMe 2 ) 5 , Ta(NMeEt) 5 , Zr(NiPr 2 ) 4 , Zr(NMe 2 ) 2 (NPr 2 ) 2 , Zr(NC 6 H 12 ) 4 , Zr(NEt 2 ) 2 (NPr 2 ) 2 , Hf(NEt 2 ) 4 , Hf(NMe 2 ) 4 , Hf(NMeEt) 4 , La(NMe 2 ) 3 , La(NEt 2 ) 3 , La(NMeEt) 3 , Al(NMe 2 ) 3 , Al(NEt 2 ) 3 , Y(NMe 2 ) 3 , Y(NEt 2 ) 3 ,
  • the metalloamide source reagent compound useful in the present invention may comprise an oligomer, i.e. Al 2 ( ⁇ -NMe 2 ) 2 (NMe 2 ) 4 .
  • the present invention relates to a CVD precursor composition for forming a silicon containing thin film on a substrate, said precursor composition made by reacting an aminosilane precursor compound with an amine source reagent compound in a solvent medium comprising at least one activating solvent component, to yield an aminosilane source reagent composition having a halogen content that is less than 1000 ppm, said precursor composition including at least one aminosilane source reagent composition selected from the group consisting of: wherein R 3 is selected from the group consisting of hydrogen, C 1 -C 4 alkyl, and C 1 -C 4 alkoxy; x is from 0 to 3; Si is silicon; A is halogen; Y is from 0 to 3; N is nitrogen; each of R 1 and R 2 is same or different and is independently selected from the group consisting of H, aryl, perfluoroaryl, C 1 -C 8 alkyl, and C 1 -C 8 perfluoroalkyl; and n is from the group consisting of H
  • the present invention relates to a CVD precursor composition for forming a silicon containing thin film on a substrate, such precursor composition including at least one aminosilane source reagent composition selected from the group for forming a silicon containing thin film on a substrate; and
  • At least one metalloamide source reagent composition selected from the group consisting of: wherein M is selected from the group consisting of: Zr, Hf, Y, La, Lanthanide series elements, Ta, Ti, Al; N is nitrogen; each of R 1 and R 2 is same or different and is independently selected from the group consisting of H, aryl, perfluoroaryl, C 1 -C 8 alkyl, C 1 -C 8 perfluoroalkyl, alkylsilyl; x is the oxidation state on metal M; and n is from 1-6.
  • R 1 and R 2 of the aminosilane and metalloamide source reagent compositions are methyl and/or ethyl.
  • the silicon CVD precursor composition of the present invention is used to deposit a metal silicate gate dielectric thin film wherein the silicon precursor is suitably used in combination with at least one dopant precursor, to yield the product metal silicate film.
  • the dopant precursor may advantageously comprise a metalloamide source reagent composition as described herein or may alternatively comprise an alternative dopant source reagent composition as known to those skilled in the art, to deposit metal silicate thin films, (e.g. metal beta-diketonates, metal alkoxides, and metal carboxylates).
  • a precursor composition including at least one aminosilane source reagent composition and at least one metalloamide source reagent composition to produce a metal silicate dielectric thin film on a substrate, with the metalloamide source reagent composition containing at least part of the metal to be incorporated in the product dielectric metal silicate film, and the aminosilane source reagent compound containing at least part of the silicon to be incorporated in the product dielectric metal silicate film, it is possible by selection of the proportions of such respective compounds to correspondingly vary the stoichiometric composition (metalsilicon ratio) of the metal silicate dielectric film, to obtain a desired character of structural and performance properties in the product film.
  • the relative proportions of the at least one aminosilane source reagent composition and the metalloamide source reagent composition relative to one another are employed to controllably establish the desired M x /Si 1-x ratio in the deposited silicate thin films, wherein M x /Si 1-x is from about 0.01 to 10.
  • M x /Si 1-x is from about 0.01 to 10.
  • the exact composition will be a trade off between high Si films, which prevent crystallization during subsequent high temperature processing, and high M films, which have higher dielectric constant (lower EOT).
  • the silicon CVD precursor composition of the present invention is used to deposit a silicon nitride barrier layer, wherein the silicon precursor is suitably used in combination with NH 3 , to yield the product silicon nitride film.
  • the CVD precursor composition may be used in combination with silicon and/or nitrogen sources as readily known to those skilled in the art, to deposit silicon nitride thin films, (e.g., ammonia).
  • the present invention relates to stable solutions for chemical vapor deposition (CVD) of silicon-containing thin films of varying types, including silicon nitride, silicon dioxide and doped silicon dioxide films (when a dopant co-precursor is utilized), wherein the stable solution comprises at least one aminosilane source reagent composition and at least one solvent component, in which the aminosilane source reagent composition is soluble or suspendable. Accordingly, the aminosilane source reagent composition and the at least one solvent component are combined to produce a precursor solution mixture for depositing a silicon containing thin film on the substrate.
  • CVD chemical vapor deposition
  • the present invention relates to a CVD multi-component, single source precursor composition useful for forming a metal silicate dielectric thin film on a substrate, such precursor composition including at least one aminosilane source reagent composition as described hereinabove, at least one metalloamide source reagent composition as described hereinabove and a solvent medium in which the aminosilane source reagent composition and the metalloamide source reagent composition are soluble or suspendable, wherein the aminosilane source reagent composition, the metalloamide source reagent composition, and the solvent medium are combined to produce a chemically compatible, single source solution mixture for depositing a silicon containing dielectric thin film on the substrate.
  • precursor composition including at least one aminosilane source reagent composition as described hereinabove, at least one metalloamide source reagent composition as described hereinabove and a solvent medium in which the aminosilane source reagent composition and the metalloamide source reagent composition are soluble or suspendable, wherein the aminosi
  • Providing a precursor composition in liquid (i.e., neat solution or suspension) form facilitates rapid volatilization (i.e., flash vaporization) of the source reagent composition and transport of the resultant precursor vapor to a deposition locus such as a CVD reaction chamber.
  • the aminosilane and metalloamide source reagent compositions of the present invention are chosen to provide a degenerate sweep of ligands, to eliminate ligand exchange and to provide a robust precursor delivery, gas-phase transport and CVD process.
  • the precursor compositions of the present invention may comprise any suitable solvent medium that is compatible with the aminosilane and optionally the metalloamide source reagent compositions contained therein.
  • the solvent medium in such respect may comprise a single solvent component, or alternatively a mixture of solvent components.
  • Illustrative solvent media that may be variously usefully employed include ethers, glymes, tetraglymes, amines, polyamines, aliphatic hydrocarbon solvents, aromatic hydrocarbon solvents, cyclic ethers, and compatible combinations of two or more of the foregoing.
  • a particularly preferred solvent species useful in the practice of the present invention is octane.
  • the percentage of the precursor in the solution may range from 0.1 to 99.99% by weight, based on the total weight of the solution.
  • the silicon precursor compositions of the invention may be deposited on a wafer or other substrate by use of a CVD system, such systems being well known in the semiconductor fabrication art.
  • a CVD system such systems being well known in the semiconductor fabrication art.
  • Preferred CVD systems include low-pressure CVD systems.
  • the present invention relates to a method for forming a silicon containing thin film on a substrate by chemical vapor deposition, such method including the steps of:
  • a precursor composition comprising at least one aminosilane source reagent composition made by reacting an aminosilane precursor compound with an amine source reagent compound in a solvent medium comprising at least one activating solvent component, to yield an aminosilane source reagent composition having a halogen content that is less than 1000 ppm, wherein said aminosilane source reagent composition is selected from the group consisting of: wherein R 3 is selected from the group consisting of hydrogen, C 1 -C 4 alkyl, and C 1 -C 4 alkoxy; x is from 0 to 3; Si is silicon; A is halogen; Y is from 0 to 3; N is nitrogen; each of R 1 and R 2 is same or different and is independently selected from the group consisting of H, aryl, perfluoroaryl, C 1 -C 8 alkyl, and C 1 -C 8 perfluoroalkyl; and n is from 1-6;
  • Typical liquid delivery MOCVD process conditions may include substrate temperature ranges of 160-300° C., with about 170° C. to about 250° C. being more typical; vaporizer temperature ranges may be from about 50° C. to about 150° C., with about 60° C. to about 100° C.
  • pressure ranges are generally from about 0.05 to about 20 Torr (and most preferably from about 0.1 to about 5 Torr), with a range of about 0.2 to about 0.5 Torr being more typical; and inert gas flows of helium or argon of from about 25-750 sccm (and most preferably from about 50 to about 200 sccm), at a temperature approximately the same as the vaporizer.
  • a co-reactant may be introduced (i.e., water, alcohol or hydrogen forming gas) to facilitate the film growth process.
  • compositions of the present invention are not limited in respect of their use with the aforementioned low-pressure CVD deposition tools, however, and other CVD tools, for example PECVD tools, or other deposition tools, may be utilized.
  • the aminosilane source reagent compositions of the instant invention may be used in an atomic layer chemical vapor deposition method, wherein the aminosilane source reagent composition is vaporized and introduced into a chemical vapor deposition chamber comprising a substrate, in a sequential or “pulsed” deposition mode, during which time, extremely co-reactive gases may be employed, such as ozone, water vapor or reactive alcohols, that might normally be expected to produce deleterious deposition effects on the CVD process (i.e., gas phase particle formation).
  • extremely co-reactive gases such as ozone, water vapor or reactive alcohols, that might normally be expected to produce deleterious deposition effects on the CVD process (i.e., gas phase particle formation).
  • the atomic layer chemical vapor deposition method of the present invention may further comprise a metalloamide precursor vapor that may be simultaneously co-pulsed and co-deposited with the silicon precursor vapor, on a substrate.
  • the aminosilane precursor vapor may be deposited on a substrate in a sequential pulsing method, wherein the aminosilane compound alternates pulses with the metalloamide compound.
  • the dielectric thin films are built up by introducing short bursts of gases in cycles.
  • a co-reactant may be used in a pulsed or atomic layer chemical vapor deposition method, wherein the metalloamide precursor and/or aminosilane precursor vapor is separated from the co-reactant by time in the pulse track.
  • the co-reactant may be utilized to facilitate the decomposition of the precursor on a substrate, within a desired temperature regime and to produce carbon-free dielectric thin-films.
  • the use of water vapor may be utilized to induce a lower decomposition temperature of the aminosilane precursor vapor, which in some instances has been found to be stable in oxidizing environments such as N 2 O.
  • pulse track and number of cycles may be varied. In a typical ALCVD process, a cycle lasts from 1-5 seconds.
  • the following non-limiting examples demonstrate various pulse tracks defining precursor(s) and co-reactant(s) that may be successfully used to deposit the dielectric thin films of the present invention:
  • n is an integer number, typically ranging from 10 to 100, and different co-reactants have different oxidizing potentials.
  • compositions of the present invention may be delivered to the CVD reactor in a variety of ways.
  • a liquid delivery system may be utilized.
  • Such systems generally include the use of liquid MFCs (mass flow controllers).
  • An exemplary liquid delivery system that may be used is the ATMI Sparta 150 Liquid Delivery System (commercially available from ATMI, Inc., Danbury, Conn.).
  • Liquid delivery systems generally meter a desired flow rate of the precursor composition in liquid form to the CVD process tool. At the process tool chamber, or upstream thereof, the liquid may be vaporized through use of a vaporizer. Such vaporizers may utilize thermal heating, acoustics, ultrasound and high flow nebulizers. Further descriptions of liquid delivery systems are contained in U.S. Pat. Nos. 5,204,314; 5,362,328; 5,536,323; and 5,711,816, the disclosures of which are hereby expressly incorporated herein by reference in their entireties.
  • the silicon precursor species if of solid or liquid form at ambient conditions, may be dissolved or suspended in a compatible solvent medium as more fully described in U.S. Pat. No. 5,820,664 issued Oct. 13, 1998 for “Precursor Compositions For Chemical Vapor Deposition, And Ligand Exchange Resistant Metal-Organic Precursor Solutions Comprising Same,” the disclosure of which is hereby incorporated herein in its entirety by reference.
  • the precursors of the present invention may be deposited using any chemical vapor deposition system known in the art.
  • a preferred liquid delivery MOCVD System is described in U.S. Pat. No. 5,204,314, issued Apr. 20, 1993, for “Method for Delivering an Involatile Reagent in Vapor Form to a CVD Reactor,” the disclosure of which is hereby incorporated herein in its entirety by reference.
  • the source liquid may comprise the source reagent compound(s) if the compound or complex is in the liquid phase at ambient temperature (e.g., room temperature, 25° C.) or other supply temperature from which the source reagent is rapidly heated and vaporized to form precursor vapor for the CVD process.
  • ambient temperature e.g., room temperature, 25° C.
  • the source reagent compound or complex is a solid at ambient or the supply temperature, such compound or complex can be dissolved or suspended in a compatible solvent medium therefore to provide a liquid phase composition that can be submitted to the rapid heating and vaporization to form precursor vapor for the CVD process.
  • the precursor vapor resulting from the vaporization then is transported, optionally in combination with a carrier gas (e.g., He, Ar, H 2 , O 2 , etc.), to the chemical vapor deposition reactor where the vapor is contacted with a substrate at elevated temperature to deposit material from the vapor phase onto the substrate or semiconductor device precursor structure positioned in the CVD reactor.
  • a carrier gas e.g., He, Ar, H 2 , O 2 , etc.
  • the precursor liquid may be vaporized in any suitable manner and with any suitable vaporization means to form corresponding precursor vapor for contacting with the elevated temperature substrate on which the dielectric film is to be formed.
  • the vaporization may for example be carried out with a liquid delivery vaporizer unit of a type as commercially available from Advanced Technology Materials, Inc. (Danbury, Conn.) under the trademark SPARTA and VAPORSOURCE II, in which precursor liquid is discharged onto a heated vaporization element, such as a porous sintered metal surface, and flash vaporized.
  • the vaporizer may be arranged to receive a carrier gas such as argon, helium, etc. and an oxygen-containing gas may be introduced as necessary to form the dielectric thin film.
  • the precursor vapor thus is flowed to the chemical vapor deposition chamber and contacted with the substrate on which the dielectric film is to be deposited.
  • the substrate is maintained at a suitable elevated temperature during the deposition operation by heating means such as a radiant heating assembly, a susceptor containing a resistance heating element, microwave heat generator, etc.
  • heating means such as a radiant heating assembly, a susceptor containing a resistance heating element, microwave heat generator, etc.
  • Appropriate process conditions of temperature, pressure, flow rates and concentration (partial pressures) of metal and silicon components are maintained for sufficient time to form the dielectric film at the desired film thickness, (i.e., in a range of from about 2 nanometers to about 1000 micrometers), and with appropriate dielectric film characteristics.
  • the step of vaporizing the source reagent compounds of the present invention is preferably carried out at a vaporization temperature in the range of from about 50° C. to about 300° C. Within this narrow range of vaporization temperature, the metalloamide and aminosilane source reagent compounds are effectively vaporized with a minimum extent of premature decomposition.
  • suitable carrier gas species include gases that do not adversely affect the dielectric film being formed on the substrate.
  • gases include argon, helium, krypton or other inert gas, with argon gas generally being most preferred.
  • argon gas may be introduced for mixing with the vaporized source reagent composition at a flow rate of about 100 standard cubic centimeters per minute (sccm).
  • Oxidizing gases useful for the broad practice of the present invention include, but are not limited to, O 2 , N 2 O, NO, H 2 O and O 3 , More preferably, the oxidizer used comprises N 2 O.
  • the deposition of the silicon containing thin films of the present invention are preferably carried out under an elevated deposition temperature in a range of from about 250° C. to about 750° C.
  • compositions disclosed herein is not limited to liquid delivery systems, and any method, which adequately delivers the composition to the process tool is. satisfactory.
  • bubbler-based delivery systems may be utilized, but are not preferred.
  • an inert carrier gas is bubbled through the precursor composition (typically in liquid form above its melting point).
  • the resulting gas which is wholly or partially saturated with the vapor of the composition, is provided to the CVD tool.
  • composition or method may contain or involve additional aminosilane and/or other compounds.
  • Silica films were grown with the silicon precursors listed in Table I, Si(NMe 2 ) 3 Cl and Si(NEt 2 ) 2 Cl 2 .
  • Precursor solutions were prepared at 0.1M Si in octane.
  • Substrates of (100) Si were prepared with an SCl treatment followed by dilute HF to remove any native SiO 2 .
  • the generic process conditions for the experiments are shown in Table II. Results from the growth of hafnia films encouraged the inventors to center initial experiments on growth in an N 2 O atmosphere although growth in O 2 or other oxidizer could be used at temperatures at or below 500° C.
  • a limited pressure-temperature matrix was performed for each Si precursor using the N 2 O ambient as shown in FIGS. 2A and 2B .
  • Si(NEt 2 ) 2 Cl 2 is compatible with TDEAHf in solution, with any ligand exchange being degenerate.
  • Si(NMe 2 ) 3 Cl is compatible with both TDEAHf and TDMAHf.
  • a solution of 0.05M TDEAHf: 0.05M Si(NEt 2 ) 2 Cl 2 was produced by mixing the two 0.1M solutions. This mixture was used to grow films over the entire matrix of process conditions.
  • Film thickness was measured using single-wavelength ellipsometry at 70° incidence angle, and XRF.
  • n 1.46, typical of high quality thermal oxide.
  • the XRF was calibrated by assuming the X-ray efficiencies were equivalent to TaO 2.5 , for which standards that been measured by RBS.
  • the Hf:Si composition was estimated by assuming that both are fully oxidized and fully dense.
  • the ellipsometric thickness not accounted for by HfO 2 was assigned to SiO 2 , and composition was calculated from these two thicknesses.
  • the films have a mixed Si:Hf composition on the film surface.
  • the constant SiO 2 growth rate over the range of 500-600° C. at 2.2 Torr being the same as 0.8 Torr at 600° C. is taken as evidence of mass transport limited deposition over the range of the process.
  • the addition of water vapor or O 2 should further decrease the temperature window wherein both Hf and Si alkylamido precursors transport and decompose reliably.
  • SiCl 4 reacts with 5 equivalents of LiNR 2 initially in a non-polar solvent, such as hexanes. Then the non-polar solvent is pumped off completely under vacuum. Polar solvent is added into the reaction vessel to continue the reaction. The resulting slurry in polar solvent is refluxed for 4-8 hours to facilitate the completion of the reaction.
  • a non-polar solvent such as hexanes

Abstract

A method of synthesizing an aminosilane source reagent composition, by reacting an aminosilane precursor compound with an amine source reagent compound in a solvent medium comprising at least one activating solvent component, to yield an aminosilane source reagent composition having less than 1000 ppm halogen.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This is a continuation of U.S. patent application Ser. No. 10/112,517 filed on Mar. 29, 2002 in the name of Alexander S. Borovik et al., which is a continuation-in-part of U.S. patent application Ser. No. 09/954,831 filed on Sep. 18, 2001 in the name of Thomas H. Baum et al., which is a continuation-in-part of U.S. patent application Ser. No. 09/823,196 filed on Mar. 30, 2001 in the name of Thomas H. Baum et al. The disclosures of all of the foregoing applications are hereby incorporated herein in their respective entireties, for all purposes, and the priority of all such applications is hereby claimed under the provisions of 35 USC 120.
  • FIELD OF THE INVENTION
  • The present invention relates to silicon precursor compositions and their synthesis, and to the use of such silicon precursor compositions for the fabrication of microelectronic device structures, e.g., in the formation of gate dielectrics and silicon nitride barrier layers, in the manufacture of semiconductor integrated circuits, or in otherwise forming silicon-containing films on a substrate by chemical vapor deposition (CVD) utilizing such precursor compositions.
  • BACKGROUND OF THE INVENTION
  • The process of fabricating semiconductor integrated circuits generally includes the formation of such components as, gate oxides, high k dielectrics, low k dielectrics, barrier layers, etch stop layers and gate spacers. Such components often include silicon or silicon oxide in their compositions. For example, conventional gate dielectric materials may be formed from silicon dioxide, silicon oxy-nitride, silicon nitride or metal silicates.
  • Semiconductor devices such as field effect transistors (FET) and metal oxide semiconductor capacitors (MOS-caps), which are common in the electronics industry, include many of the components identified above. Such devices may be formed with dimensions that enable thousands or even millions of devices to be formed on a single-crystal substrate and interconnected to perform useful functions in an integrated circuit such as a microprocessor.
  • The general structure and operation of a field effect transistor is as follows. With reference to FIG. 1, a simplified field effect transistor is shown in cross-section. In a field effect transistor a portion of the substrate (or epi-layer) 100 near the surface is designated as the channel 120 during processing. Channel 120 is electrically connected to source 140 and drain 160, such that when a voltage difference exists between source 140 and drain 160, current will tend to flow through channel 120. The semiconducting characteristics of channel 120 are altered such that its resistivity may be controlled by the voltage applied to gate 200, a conductive layer overlying channel 120. Thus by changing the voltage on gate 200, more or less current can be made to flow through channel 120. Gate 200 and channel 120 are separated by gate dielectric 180; the gate dielectric is insulating, such that between gate 200 and channel 120 the current flow during operation is small compared to the source to drain current (although “tunneling” current is observed with thin dielectrics.) However, the gate dielectric allows the gate voltage to induce an electric field in channel 120, giving rise to the name “field effect transistor.” The general structure of a MOS-cap can be visualized as layers 200, 180 and 120 of FIG. 1 without the source and drain. The MOS-cap functions as a capacitor.
  • SiO2 represents the highest quality gate dielectric material 180 so far developed in silicon technology with low defects and low surface state density. One important advantage of SiO2 is that it may be grown from the silicon substrate at elevated temperatures in an oxidizing environment. It is well known in the art, that thermally grown oxides tend to have fewer defects, (i.e. pinholes), than deposited materials. Thus, SiO2 has persisted as the dielectric material in most silicon device structures.
  • Generally, integrated circuit performance and density may be enhanced by decreasing the size of the individual semiconductor devices on a chip. Unfortunately, field effect semiconductor devices produce an output signal that is proportional to the length of the channel, such that scaling reduces their output. This effect has generally been compensated for by decreasing the thickness of gate dielectric 180, thus bringing the gate in closer proximity to the channel and enhancing the field effect.
  • As devices have scaled to smaller and smaller dimensions, the gate dielectric thickness has continued to shrink. Although further scaling of devices is still possible, scaling of the gate dielectric thickness has almost reached its practical limit with the conventional gate dielectric materials: silicon dioxide, silicon oxy-nitride and silicon nitride. Further scaling of silicon dioxide gate dielectric thickness will involve problems such as: extremely thin layers allowing for large leakage currents due to direct tunneling through the oxide. Because such layers are formed literally from a few atomic layers, exact process control is required to repeatably produce such layers. Uniformity of coverage is also critical because device parameters may change dramatically based on the presence or absence of even a single monolayer of dielectric material. Finally, such thin layers form poor diffusion barriers to impurities and dopants.
  • Consequently, there is a need in the art for alternative dielectric materials, which can be formed in a thicker layer than silicon dioxide and yet still produce the same field effect performance. This performance is often expressed as “equivalent oxide thickness” (EOT). Although the alternative material layer may be thick, it has the equivalent effect of a much thinner layer of silicon dioxide (commonly called simply “oxide”). In order to have a physically thick layer with a low EOT, the dielectric constant of the insulating material must be increased. Many, if not most, of the attractive alternatives for achieving low equivalent oxide thicknesses are metal oxides, such as tantalum pentoxide, titanium dioxide, barium strontium titanate and other suitable thin films.
  • However, the formation of such metal oxides as gate dielectrics has been found to be problematic. At typical metal oxide deposition temperatures, the oxygen co-reactant or oxygen-containing precursor tends to oxidize the silicon substrate, producing a lower dielectric constant oxide layer at the interface between the substrate and the higher dielectric constant, gate dielectric material. It could be that the transition metal oxide acts as a catalytic source of activated oxygen, that the precursor molecules increase the oxygen activity or that oxygen from the precursor is incorporated in the growing oxide film. Whatever the cause, the presence of this interfacial oxide layer increases the effective oxide thickness, reducing the effectiveness of the alternative gate dielectric material. The existence of the interfacial oxide layer places a severe constraint on the performance of an alternative dielectric field effect device and therefore, is unacceptable.
  • The use of metal oxide and metal oxy-nitride thin films comprising Zr, Hf, Y, La, Lanthanide series elements, Ta, Ti and/or Al and silicates of these metal oxides and metal oxy-nitrides are regarded as potential material replacements of the SiO2 gate oxides, (i.e., U.S. Pat. Nos. 6,159,855 and 6,013,553). However, to ensure a high integrity interface between the silicon and the gate dielectric film these films must be deposited at relatively low temperatures.
  • The source reagents and methodology employed to form such gate dielectric thin films are extremely critical for the provision of a gate structure having satisfactory electrical performance characteristics in the product device. Specifically, the source reagents and methodology must permit the gate dielectric thin film to form on a clean silicon surface, without the occurrence of side reactions producing predominantly silicon dioxide (SiO2), locally doped SiO2 and/or other impurities, that lower the dielectric constant and compromise the performance of the product microelectronic device. Accordingly, the absence of impurities is highly desirable.
  • Chemical vapor deposition (CVD) is the thin film deposition method of choice for high-density, large-scale fabrication of microelectronic device structures, and the semiconductor manufacturing industry has extensive expertise in its use. Metalorganic CVD (MOCVD) and more particularly atomic layer CVD (ALCVD) are particularly advantageous processes because they allow for lower deposition temperatures and stricter control of the stoichiometry and thickness of the formed layer.
  • In the formation of gate dielectrics and other semiconductor manufacturing applications it is essential to control the composition of the deposited thin film. The molar ratio(s) of the different elements in the thin film typically corresponds very closely to a predetermined value. Therefore, it is very important to select a precursor delivery system that allows for strict control of the precursors delivered into the CVD chamber. Precursor delivery systems are well known in the art of CVD, (i.e., U.S. Pat. No. 5,820,678, entitled “Solid Source MOCVD System” describes the bubbler delivery approach and U.S. Pat. No. 5,204,314, entitled “Method for Delivering an Involatile Reagent in Vapor Form to a CVD Reactor,” and U.S. Pat. No. 5,536,323, entitled “Apparatus for Flash Vaporization Delivery of Reagents,” describe the liquid delivery, flash vaporization approach).
  • Chemical vapor deposition (CVD) of silicon-containing films provides uniform coverage. Liquid CVD precursors enable direct delivery or liquid injection of the precursors into a CVD vaporizer unit. The accurate and precise delivery rate can be obtained through volumetric metering to achieve reproducible CVD metallization during VLSI device manufacturing.
  • Impurities that are known to lower the dielectric constant and/or increase leakage include among others, carbon and halides. Carbon and/or halide incorporation into the dielectric thin film would degrade leakage, dielectric constant, and overall electrical performance of the thin film. In contrast, nitrogen incorporation may exhibit some beneficial properties on the dielectric thin film.
  • Excess halide may adversely affect a gate dieletric thin film in either of two ways. Halide incorporation into a gate dielectric thin film, may directly affect the electronic nature of the film, thereby reducing device lifetime. Secondly, halide, such as chloride, leads to formation of hydrogen chloride during the decomposition of the precursor, which potentially affects the CVD chamber making the treatment of the effluent from the chamber more challenging.
  • Zr, Hf, Y, La, Lanthanide series elements, Ta, Ti, Al and/or silicon source reagents, specifically Zr and Hf-containing silicates such as ZrxSi1-xO2, and HfxSi1-xO2 are of great interest for use as next generation gate dielectrics. These materials possess dielectric constant (k) values in the range of 10 to 20, depending on x, and allow the use of a physical thickness to prevent leakage by electron tunneling. Given the feature sizes of the VLSI devices, CVD is becoming a unique technique for depositing these materials.
  • In such applications, the choice of the zirconium or hafnium CVD source reagents and a compatible silicon source reagent is of critical importance for the successful deposition of high quality Zr or Hf silicate gate dielectric. Low temperature CVD silicon precursors are required to minimize the formation of interfacial silicon dioxide. Ideally, the precursors are compatible in solution and in vapor phase and decompose below 600° C. on substrate surfaces, forming Hf or Zr silicates in high purity and high density with no interfacial layer.
  • The source reagents must be thermally stable to avoid premature decomposition of such source reagents before they reach the CVD reaction chamber during the CVD process. Premature decomposition of source reagents not only results in undesirable accumulation of side products that will clog fluid flow conduits of the CVD apparatus, but also causes undesirable variations in composition of the deposited gate dielectric thin film. Further, particle formation can result in deleterious yields in device fabrication.
  • Further, Zr, Hf, Y, La, Lanthanide series elements, Ta, Ti, Al and/or silicon source reagents have to be chemically compatible with other source reagents used in the CVD process. “Chemically compatible” means that the source reagents will not undergo, undesirable side reactions with other co-deposited source reagents, and/or deleterious ligand exchange reactions that may alter the precursor properties, such as transport behavior, incorporation rates and film stoichiometries.
  • Finally, Zr, Hf, Y, La, Lanthanide series elements, Ta, Ti, Al and/or silicon source reagents selected for MOCVD of dielectric thin films must be able to maintain their chemical identity over time when dissolved or suspended in organic solvents or used in conventional bubblers. Any change in chemical identity of source reagents in the solvent medium is deleterious since it impairs the ability of the CVD process to achieve repeatable delivery and film growth.
  • There is a continuing need in the art to provide improved Zr, Hf, Y, La, Lanthanide series elements, Ta, Ti, Al and/or silicon source reagents suitable for high efficiency CVD processes, for fabricating corresponding high quality gate dielectric, thin films.
  • Silicon amide source reagents are of great interest for use as low temperature CVD precursors in many applications, e.g., CVD of silicon nitride and early transition metal silicates. However, many commercially available silicon amides have unacceptably high levels of chloride.
  • Currently available synthetic routes result in poor yields and/or impure material. For example, Gerard Kannengiesser and Francois Damm, (Bull. Soc. Chim. Fr. (1967), (7), 2492-5) disclose the method outlined by equation (1) below and report a product yield of only about 20%.
    SiCl4+4R2NMgBr→Si(NR2)4+4MgBrCl   (1)
  • R. Gordon, D. Hoffman and U. Riaz report (Chem. Mater. 1990, 2, 480-482) the synthesis of Si(NMe2)4 using LiNMe2 and SiCl4 in toluene in 60% yield. When, the same experiment was repeated by the inventors of the instant invention, the product contained chlorine content too high (a few percent) for semiconductor grade materials.
  • Therefore, it is one object of this invention to provide CVD precursors and CVD processes to deposit high dielectric constant thin films, having minimum carbon and halide incorporation and when deposited on a silicon substrate, minimal SiO2 interlayer.
  • It is a further object of this invention to synthesize aminosilane source reagents in high yield and high purity.
  • It is a still further object of the present invention to provide CVD precursors and a CVD process to deposit silicon containing thin films, having minimum carbon and halide incorporation and when deposited on a silicon substrate, minimal SiO2 interlayer.
  • It is another object of the invention to provide methods of forming silicon-containing films in the manufacturing of integrated circuits and other microelectronic device structures.
  • It is another object of the invention to provide a method of forming silicon-containing thin films on a substrate by metalorganic chemical vapor deposition (CVD) utilizing such novel silicon precursors and solution compositions.
  • The present invention relates to novel precursor compositions for low temperature (<600° C.) chemical vapor deposition (CVD) formation of silicon-containing films, and to associated methods of making and using such types of compositions.
  • Other objects and advantages of the present invention will be more fully apparent from the ensuing disclosure and appended claims.
  • SUMMARY OF THE INVENTION
  • The present invention relates to aminosilane source reagent compositions, and to a method of making, and using the same.
  • In one broad aspect, the present invention relates to silicon precursors having reduced oxygen and halogen content (relative to various corresponding commercial silicon source reagents) with utility for chemical vapor deposition (CVD) of silicon containing thin films of varying types, including silicon nitride, silicates, and doped silicate films (when a dopant co-precursor is utilized), as well as to a method for making and using such silicon precursors. More specifically, the silicon precursors of the present invention comprise a composition selected from the group consisting of:
    Figure US20060099831A1-20060511-C00001

    wherein R3 is selected from the group consisting of hydrogen, C1-C4 alkyl, and C1-C4 alkoxy; x is from 0 to 3; Si is silicon; A is halogen; y is from 0 to 3; N is nitrogen; each of R1 and R2 is same or different and is independently selected from the group consisting of H, aryl, perfluoroaryl, C1-C8 alkyl, and C1-C8 perfluoroalkyl; and n is from 1-6.
  • In a further aspect, the present invention relates to novel, stable aminosilane source reagent compositions for chemical vapor deposition (CVD) of silicon-containing thin films as well as to methods of making and using same. More specifically, the present invention relates to novel aminosilane source reagent compositions having the formula,
    R3 xSiAy(NR1R2)4-x-y;
    wherein R3 is selected from the group consisting of hydrogen, C1-C4 alkyl, and C1-C4 alkoxy; x is from 0 to 3; Si is silicon; A is halogen; y is from 0 to 3; N is nitrogen; R1 is methyl and R2 ethyl.
  • In a further aspect, the present invention relates to a method of synthesizing an aminosilane source reagent composition, by reacting a silicon halide source reagent compound with an amine source reagent compound in a polar, activating solvent, to yield an aminosilane precursor having reduced halide content as compared to the existing commercial precursors.
  • In a specific aspect, the present invention provides a CVD process that uses the aforementioned aminosilane precursors, that may alternatively be in the form of a neat liquid, as well as solution compositions of solid and liquid precursors of such type, for deposition of silicon containing films (e.g., by direct liquid injection and vaporization). Vaporization may be effected by heating, acoustics, ultrasound or nebulization.
  • A still further aspect of the invention relates to a microelectronic device structure comprising a substrate having a chemical vapor deposited silicon-containing thin film layer on the substrate, wherein the silicon containing layer has been formed using a liquid-phase silicon precursor that is thermally stable at liquid delivery temperatures (at which the precursor liquid is vaporized to form a corresponding precursor vapor), but which is readily decomposable at chemical vapor deposition condition temperatures, to yield a silicon-containing film on the substrate with which the precursor vapor is contacted
  • Other aspects, features, and embodiments of the invention will be more fully apparent from the ensuing disclosure and appended claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-sectional view of a typical prior art integrated circuit field effect transistor.
  • FIGS. 2A and 2B show a limited pressure-temperature matrix for Si(N(C2H5)2)2Cl2(bis(diethyl-amino)dichlorosilane), and Si(N(CH3)2)3Cl (tris(dimethyl-amino)chlorosilane in N2O.
  • FIG. 3 shows the growth rate of silica from Si(N(C2H5)2)2Cl2 (Bis(diethyl-amino)dichlorosilane) in N2O ambient.
  • FIG. 4 shows the growth rate of silica from Si(N(CH3)2)3Cl (Tris(dimethyl-amino)chlorosilane in N2O ambient.
  • FIG. 5 shows the growth rate of SiO2 under a HfO2 film with no silicon precursor present.
  • FIG. 6 shows the growth rate of SiO2 from Si(N(C2H5)2)2Cl2 (Bis(diethyl-amino)dichlorosilane when co-deposited with HfO2 from Hf(N(C2H5)2)4 (Tetrakis(diethyl-amino)hafnium in N2O ambient.
  • FIG. 7 shows a proton spectrum (1H NMR) of Si(NMe2)4 in (C6D6).
  • DETAILED DESCRIPTION OF THE INVENTION AND PREFERRED EMBODIMENTS THEREOF
  • The disclosure of the following United States patents and patent applications are hereby incorporated by reference in their respective entireties:
      • U.S. patent application Ser. No. 09/414,133 filed Oct. 7, 1999 in the names of Thomas H. Baum, et al.;
      • U.S. patent application Ser. No. 09/012,679 filed Jan. 23, 1998 in the names of Gautam Bhandari, et al., and issued Jan. 18, 2000 as U.S. Pat. No. 6,015,917;
      • U.S. patent application Ser. No. 08/979,465 filed Nov. 26, 1997 in the names of Frank DiMeo, Jr., et al., and issued Oct. 26, 1999 as U.S. Pat. No. 5,972,430;
      • U.S. patent application Ser. No. 08/835,768 filed Apr. 8, 1997 in the names of Thomas H. Baum, et al., and issued Jul. 6, 1999 as U.S. Pat. No. 5,919,522;
      • U.S. patent application Ser. No. 08/484,654 filed Jun. 7, 1995 in the names of Robin A. Gardiner et al., and issued Aug. 29, 2000 as U.S. Pat. No. 6,110,529;
      • U.S. patent application Ser. No. 08/414,504 filed Mar. 31, 1995 in the names of Robin A. Gardiner et al., and issued Oct. 13, 1998 as U.S. Pat. No. 5,820,664;
      • U.S. patent application Ser. No. 08/280,143 filed Jul. 25, 1994 in the names of Peter S. Kirlin, et al., and issued July 16, 1996 as U.S. Pat. No. 5,536,323;
      • U.S. patent application Ser. No. 07/927,134, filed Aug. 7, 1992 in the same names;
      • U.S. patent application Ser. No. 07/807,807 filed Dec. 13, 1991 in the names of Peter S. Kirlin, et al., and issued Apr. 20, 1993 as U.S. Pat. No. 5,204,314;
      • U.S. patent application Ser. No. 08/181,800 filed Jan. 15, 1994 in the names of Peter S. Kirlin, et al., and issued Sep. 26, 1995 as U.S. Pat. No. 5,453,494;
      • U.S. patent application Ser. No. 07/918,141 filed Jul. 22, 1992 in the names of Peter S. Kirlin, et al., and issued Jan. 18, 1994 as U.S. Pat. No. 5,280,012;
      • U.S. application Ser. No. 07/615,303 filed Nov. 19, 1990;
      • U.S. patent application Ser. No. 07/581,631 filed Sep. 12, 1990 in the names of Peter S. Kirlin, et al., and issued Jul. 6, 1993 as U.S. Pat. No. 5,225,561.
      • U.S. patent application Ser. No. 07/549,389 filed Jul. 6, 1990 in the names of Peter S. Kirlin, et al.
      • U.S. patent application Ser. No. 08/758,599 filed Nov. 27, 1996 in the names of Jeffrey F. Roeder, et al., and issued Mar. 2, 1999 as U.S. Pat. No. 5,876,503.
  • The above-identified applications and patents variously describe source reagent compositions, their synthesis and formulation, as well as CVD techniques including, liquid delivery chemical vapor deposition (LDCVD), and digital or atomic layer chemical vapor deposition (ALCVD) and provide background and assistive information with respect to the practice of the present invention.
  • In general, the silicon precursor composition(s) and method(s) of making such precursor composition(s) of the instant invention may be formulated to comprise, consist of, or consist essentially of any appropriate components herein disclosed, and such silicon precursor compositions of the invention may additionally, or alternatively, be formulated to be devoid, or substantially free, of any components taught to be necessary in prior art formulations that are not necessary to the achievement of the objects and purposes of the invention hereunder.
  • The compositions of the present invention are useful in a number of applications. For example, the compositions may be used in the formation of silicon nitride barrier layers, low dielectric constant thin films and gate dielectric thin films in a semiconductor integrated circuit. To form such integrated circuits, a semiconductor substrate may have a number of dielectric and conductive layers formed on and/or within the substrate.
  • As used herein, the semiconductor substrate may include a bare substrate or a substrate having any number of layers formed thereon and the term “thin film” refers to a material layer having a thickness of less than about 1000 microns.
  • In one embodiment, the present invention relates to a method of synthesizing an aminosilane source reagent composition, by reacting an aminosilane precursor compound with an amine source reagent compound in a solvent medium comprising at least one activating solvent component, to yield an aminosilane source reagent composition having reduced halide content as compared to the existing commercial precursors. Preferably the aminosilane source reagent compound comprises less than 1000 ppm halide, more preferably less than 500 ppm and most preferably less than 10 ppm halide.
  • Aminosilane precursor compounds useful in the synthetic process of the instant invention must have reactive leaving groups, such as H and/or halogen. In one embodiment, aminosilane precursor compounds useful in the instant invention include but are not limited to, silicon halides, alkylsilanes and other aminosilanes. Preferably, the aminosilane precursor compound is a silicon halide compound comprising a composition selected from the group consisting of:
    Figure US20060099831A1-20060511-C00002

    wherein R3 is selected from the group consisting of hydrogen, C1-C4 alkyl, and C1-C4 alkoxy; x is from 0 to 3; Si is silicon; A is halogen; y is from 1 to 4; N is nitrogen; each of R1 and R2 is same or different and is independently selected from the group consisting of H; aryl, perfluoroaryl, C1-C8 alkyl, and C1-C8 perfluoroalkyl; and n is from 1-6. Preferably, A is Cl.
  • The amine source reagent compounds useful in the synthetic process of the instant invention, include but are not limited to amines having a composition selected from the group consisting of
    Figure US20060099831A1-20060511-C00003

    wherein B is selected from the group consisting of H, Li, Na, K, Zn and MgBr; N is nitrogen; R1 and R2 are same or different and each is independently selected from the group consisting of H, aryl, perfluoroaryl, C1-C8 alkyl, and C1-C8 perfluoroalkyl; and n is from 1-6. Preferably, R1 and R2 are methyl and/or ethyl.
  • Activating solvent components useful in the present invention include but are not limited to Lewis base compounds such as ethers and amines. More specifically, ethereal solvents useful in the present invention include but are not limited to, diethyl ether, tetrahydrofuran (THF), ethylene glycol dimethyl ether (glyme), diethylene glycol dimethyl ether (diglyme), 1,4-dioxane, tetraethylene glycol dimethyl ether (tetraglyme), 1,4,7,10-tetraoxacyclododecane (12-Crown-4), 1,4,7,10,13-pentaoxacyclopentadecane (15-Crown-5), and 1,4,7,10,13,16-hexaoxacyclooctadecane (18-Crown-6); and amine solvents useful in the present invention include but are not limited to tertiary amines selected from the group consisting of, pentamethyldiethylenetriamine (PMDETA), tetramethylethylene-diamine (TMEDA), Triethylamine; (TEA), Diazabicycloun-decene (DBU), Tri-n-butylamine (TNBA), and tetraethylethylenediamine (TEDA).
  • Many of the amine source reagent compounds useful in the present invention exist as oligomers. The oligomer prevents substitution of all reactive leaving groups (i.e., halides) on the aminosilane precursor compound, since the oligomer is not as soluble in many solvents and hence, not as reactive as its corresponding monomer. However, in the presence of a polar activating solvent, the oligomers are solvated into monomeric species, thus providing the impetus for the amine-leaving group substitution to occur.
  • Non-polar solvents useful in the present invention include but are not limited to alkanes, alkenes, alkynes and aromatic hydrocarbons.
  • In a further embodiment, the present invention relates to a method of synthesizing an aminosilane source reagent composition, comprising the steps of:
  • (1) combining an aminosilane precursor compound with an amine source reagent compound in a solvent system comprising at least one non-polar solvent, for a period of time sufficient to provide for partial substitution of at least one halide on the aminosilane precursor compound by an amine component, to produce a reaction mixture comprising a partially substituted aminosilane component and an unreacted amine component;
  • (2) removing the non-polar solvent from the reaction mixture by vacuum evaporation;
  • (3) adding an activating polar solvent to the partially substituted aminosilane component and the unreacted amine component of the reaction mixture of step (1) to at least partially activate the unreacted amine component;
  • (4) continuing the reaction of step (3) for a period of time sufficient to provide for essentially stoichiometric substitution of at least one halide on the aminosilane precursor compound by an amine component.
  • In one embodiment, the present invention relates to a method of synthesizing an aminosilane source reagent composition, by reacting an aminosilane precursor compound with an amine source reagent compound in a solvent system comprising at least one activating solvent component in an amount equal to at least one equivalent of the amine source reagent compound, to yield an aminosilane precursor having reduced halide content as compared to existing commercial precursors.
  • In a preferred embodiment of the synthetic method of the instant invention, the aminosilane precursor compound is combined with an amount of the amine source reagent compound that is in excess of at least one equivalent of the amine source reagent compound as shown in the following non limiting generic example:
    SiCl4+5 LiNR2→Si(NR2)4+4LiCl+LiNR2
  • The synthetic method of the instant invention, is not limited to the specific examples disclosed herein, but rather includes any combination of solvents in any order with the requirement that at least one solvent component comprise a polar activating component.
  • In a further embodiment, an aminosilane source reagent composition is formed by a synthetic process comprising the steps:
  • (1) combining an aminosilane precursor compound (e.g. SiCl4) with excess amine source reagent compound that is equal to at least one molar equivalent of the amine source reagent compound (e.g., 5LiNR2), in a solvent system comprising at least one non-polar solvent, such as hexanes, for a period of time sufficient to provide for partial substitution of at least one reactive leaving group on the aminosilane precursor compound, to produce a reaction mixture comprising a partially substituted aminosilane component and an unreacted amine component;
  • (2) removing the non-polar solvent from the reaction mixture by vacuum evaporation;
  • (3) adding a polar solvent, such as tetraglyme, to the partially substituted aminosilane component and the unreacted amine component of the reaction mixture of step (1) to at least partially activate the unreacted amine component;
  • (4) continuing the reaction of step (3) for a period of time sufficient to provide for essentially stoichiometric substitution of all reactive leaving groups on the silicon halide source reagent compound by an amine component.
  • The period of time required for reactions to complete and the temperature at which they are run, are parameters readily determined by those skilled in the art. Such determinations are based on parameters such as pressure, concentration, mixing speed etc.
  • In one embodiment, the reaction mixture of step (1) as outlined hereinabove, wherein the aminosilane precursor compound is combined with the amine source reagent compound, should be carried out at a temperature that is in the range of from about −30° C. to room temperature and a pressure that is about one atmospheric pressure. Preferably the combination of the compounds is carried out at a temperature of ±0° C. and a pressure that is about one atm.
  • In a further embodiment, the reaction mixture of step (3) as outlined hereinabove, wherein the aminosilane precursor compound having partially substituted leaving groups, is combined with the amine source reagent compound, and the polar activating solvent, should be carried out at a temperature that is in the range of from about 0° C. to 100° C. at ambient pressure. Preferably the reaction of step (3) is carried out a temperature that is ±60° C. at an ambient pressure.
  • The aminosilane source reagent compositions synthesized in the aforementioned procedures, are crude product and must be isolated and purified. Such isolation and purification methods are readily available and known to those skilled in the instant art. Preferably the crude aminosilane source reagent composition is separated from the by-product by filtration or decantation and preferably the separated aminosilane source reagent composition is further purified by distillation to produce an aminosilane source reagent composition having a halogen level of less than 1000 ppm, preferably less than 500 ppm and most preferably less than 10 ppm.
  • The aminosilane source reagent compositions of the present invention, when utilized in a CVD process to deposit silicon containing thin films on a substrate, result in silicon containing thin films having very little or no halide impurity.
  • In one embodiment, the present invention relates to silicon precursors made by reacting an aminosilane precursor compound with an amine source reagent compound in a solvent medium comprising at least one activating solvent component, to yield an aminosilane source reagent composition having a halogen content that is less than 1000 ppm, said aminosilane source reagent composition selected from the group consisting of:
    Figure US20060099831A1-20060511-C00004

    wherein R3 is selected from the group consisting of hydrogen, C1-C4 alkyl, and C1-C4 alkoxy; x is from 0 to 3; Si is silicon; A is halogen; Y is from 0 to 3; N is nitrogen; each of R1 and R2 is same or different and is independently selected from the group consisting of H, aryl, perfluoroaryl, C1-C8 alkyl, and C1-C8 perfluoroalkyl; and n is from 1-6.
  • In a further embodiment, the present invention relates to novel, stable aminosilane source reagent compositions having formula:
    R3 xSiAy(NR1R2)4-x-y
    wherein R3 is selected from the group consisting of hydrogen, C1-C4 alkyl, and C1-C4 alkoxy; x is from 0 to 3, A is Cl, y is from 0 to 3; R1 is methyl; and R2is ethyl.
  • In a preferred embodiment, the aminosilane source reagent compounds useful for depositing a silicon containing thin film on a substrate include but are not limited to: Si(NMe2)3Cl, Si(NEt2)2Cl2, Si(NMe2)4, Si(NEt2)4 and Si(NMeEt)4, HSi(NEt2)3, HSi(NEtMe)3.
  • The invention in one embodiment relates to a CVD precursor for forming a silicon containing thin film on a substrate, such precursor composition including at least one aminosilane source reagent composition.
  • The aminosilane source reagent compositions of the instant invention are useful for producing silicon containing thin films, including but not limited to silicon nitride thin films, SiO2 dielectric thin films, doped SiO2 dielectric thin films, low dielectric constant thin films and metal silicon-oxy-nitride thin films.
  • In one embodiment, the silicon precursor composition of the instant invention is used in combination with a dopant precursor to deposit a doped dielectric SiO2 thin film. Preferably the dopant precursor comprises a metalloamide source reagent composition.
  • In a still further embodiment, the instant invention relates to a silicon precursor composition used in combination with a dopant precursor to deposit a metal silicate thin film, wherein the silicon precursor is an aminosilane source reagent composition selected from the group consisting of
    Figure US20060099831A1-20060511-C00005

    wherein R3 is selected from the group consisting of hydrogen, C1-C4 alkyl, and C1-C4 alkoxy; x is from 0 to 3; Si is silicon; A is halogen; Y is from 0 to 3; N is nitrogen; each of R1 and R2 is same or different and is independently selected from the group consisting of H, aryl, perfluoroaryl, C1-C8 alkyl, and C1-C8 perfluoroalkyl; and n is from 1-6; and
  • the dopant precursor is a metalloamide source reagent composition selected from the group consisting of:
    Figure US20060099831A1-20060511-C00006

    wherein, M is selected from the group consisting of: Zr, Hf. Y, La, Lanthanide series elements, Ta, Ti, Al; N is nitrogen; each of R1 and R2is same or different and is independently selected from the group consisting of H, aryl, perfluoroaryl, C1-C8 alkyl, C1-C8 perfluoroalkyl, alkylsilyl; x is the oxidation state on metal M; and n is from 1-6.
  • In a preferred embodiment, M is Zr or Hf; and R1 and R2 are methyl and/or ethyl. In a more preferred embodiment, the metalloamide source reagents useful for depositing dielectric thin films on a substrate include but are not limited to, compounds of the formula M(NMe2)x, M(NEt2)x, M(NMeEt)x
  • Examples of metalloamide source reagent compositions, which may be usefully employed in the present invention include, without limitation, Zr(NMe2)4, Zr(NMeEt)4, Zr(NEt2)4, Ta(NEt2)5, Ta(NMe2)5, Ta(NMeEt)5, Zr(NiPr2)4, Zr(NMe2)2(NPr2)2, Zr(NC6H12)4, Zr(NEt2)2(NPr2)2, Hf(NEt2)4, Hf(NMe2)4, Hf(NMeEt)4, La(NMe2)3, La(NEt2)3, La(NMeEt)3, Al(NMe2)3, Al(NEt2)3, Y(NMe2)3, Y(NEt2)3, Y(NMeEt)3, Ti(NMe2)4, Ti(NEt2)4, Ti(NMeEt)4, Ta(NMe2)5, Ta(NEt2)5, wherein Me represents methyl, Et represents ethyl, Pr represents propyl, and iPr represents isopropyl. Preferred metalloamide source reagent compounds useful in the present invention include Zr(NMe2)4, Zr(NEt2)4, Hf(NEt2)4 and Hf(NMe2)4.
  • In a specific embodiment, the metalloamide source reagent compound useful in the present invention may comprise an oligomer, i.e. Al2(μ-NMe2)2(NMe2)4.
  • In a further embodiment, the present invention relates to a CVD precursor composition for forming a silicon containing thin film on a substrate, said precursor composition made by reacting an aminosilane precursor compound with an amine source reagent compound in a solvent medium comprising at least one activating solvent component, to yield an aminosilane source reagent composition having a halogen content that is less than 1000 ppm, said precursor composition including at least one aminosilane source reagent composition selected from the group consisting of:
    Figure US20060099831A1-20060511-C00007

    wherein R3 is selected from the group consisting of hydrogen, C1-C4 alkyl, and C1-C4 alkoxy; x is from 0 to 3; Si is silicon; A is halogen; Y is from 0 to 3; N is nitrogen; each of R1 and R2 is same or different and is independently selected from the group consisting of H, aryl, perfluoroaryl, C1-C8 alkyl, and C1-C8 perfluoroalkyl; and n is from 1-6.
  • In a still further embodiment, the present invention relates to a CVD precursor composition for forming a silicon containing thin film on a substrate, such precursor composition including at least one aminosilane source reagent composition selected from the group for forming a silicon containing thin film on a substrate; and
  • at least one metalloamide source reagent composition selected from the group consisting of:
    Figure US20060099831A1-20060511-C00008

    wherein M is selected from the group consisting of: Zr, Hf, Y, La, Lanthanide series elements, Ta, Ti, Al; N is nitrogen; each of R1 and R2 is same or different and is independently selected from the group consisting of H, aryl, perfluoroaryl, C1-C8 alkyl, C1-C8 perfluoroalkyl, alkylsilyl; x is the oxidation state on metal M; and n is from 1-6. Preferably, R1 and R2 of the aminosilane and metalloamide source reagent compositions are methyl and/or ethyl.
  • In one embodiment, the silicon CVD precursor composition of the present invention is used to deposit a metal silicate gate dielectric thin film wherein the silicon precursor is suitably used in combination with at least one dopant precursor, to yield the product metal silicate film. The dopant precursor may advantageously comprise a metalloamide source reagent composition as described herein or may alternatively comprise an alternative dopant source reagent composition as known to those skilled in the art, to deposit metal silicate thin films, (e.g. metal beta-diketonates, metal alkoxides, and metal carboxylates).
  • By utilizing a precursor composition including at least one aminosilane source reagent composition and at least one metalloamide source reagent composition to produce a metal silicate dielectric thin film on a substrate, with the metalloamide source reagent composition containing at least part of the metal to be incorporated in the product dielectric metal silicate film, and the aminosilane source reagent compound containing at least part of the silicon to be incorporated in the product dielectric metal silicate film, it is possible by selection of the proportions of such respective compounds to correspondingly vary the stoichiometric composition (metalsilicon ratio) of the metal silicate dielectric film, to obtain a desired character of structural and performance properties in the product film. The relative proportions of the at least one aminosilane source reagent composition and the metalloamide source reagent composition relative to one another are employed to controllably establish the desired Mx/Si1-x ratio in the deposited silicate thin films, wherein Mx/Si1-x is from about 0.01 to 10. The exact composition will be a trade off between high Si films, which prevent crystallization during subsequent high temperature processing, and high M films, which have higher dielectric constant (lower EOT).
  • In one embodiment, the silicon CVD precursor composition of the present invention is used to deposit a silicon nitride barrier layer, wherein the silicon precursor is suitably used in combination with NH3, to yield the product silicon nitride film. The CVD precursor composition may be used in combination with silicon and/or nitrogen sources as readily known to those skilled in the art, to deposit silicon nitride thin films, (e.g., ammonia).
  • In a further embodiment, the present invention relates to stable solutions for chemical vapor deposition (CVD) of silicon-containing thin films of varying types, including silicon nitride, silicon dioxide and doped silicon dioxide films (when a dopant co-precursor is utilized), wherein the stable solution comprises at least one aminosilane source reagent composition and at least one solvent component, in which the aminosilane source reagent composition is soluble or suspendable. Accordingly, the aminosilane source reagent composition and the at least one solvent component are combined to produce a precursor solution mixture for depositing a silicon containing thin film on the substrate.
  • In a further embodiment, the present invention relates to a CVD multi-component, single source precursor composition useful for forming a metal silicate dielectric thin film on a substrate, such precursor composition including at least one aminosilane source reagent composition as described hereinabove, at least one metalloamide source reagent composition as described hereinabove and a solvent medium in which the aminosilane source reagent composition and the metalloamide source reagent composition are soluble or suspendable, wherein the aminosilane source reagent composition, the metalloamide source reagent composition, and the solvent medium are combined to produce a chemically compatible, single source solution mixture for depositing a silicon containing dielectric thin film on the substrate.
  • Providing a precursor composition in liquid (i.e., neat solution or suspension) form facilitates rapid volatilization (i.e., flash vaporization) of the source reagent composition and transport of the resultant precursor vapor to a deposition locus such as a CVD reaction chamber. The aminosilane and metalloamide source reagent compositions of the present invention are chosen to provide a degenerate sweep of ligands, to eliminate ligand exchange and to provide a robust precursor delivery, gas-phase transport and CVD process.
  • The precursor compositions of the present invention may comprise any suitable solvent medium that is compatible with the aminosilane and optionally the metalloamide source reagent compositions contained therein. The solvent medium in such respect may comprise a single solvent component, or alternatively a mixture of solvent components. Illustrative solvent media that may be variously usefully employed include ethers, glymes, tetraglymes, amines, polyamines, aliphatic hydrocarbon solvents, aromatic hydrocarbon solvents, cyclic ethers, and compatible combinations of two or more of the foregoing. A particularly preferred solvent species useful in the practice of the present invention is octane. The percentage of the precursor in the solution may range from 0.1 to 99.99% by weight, based on the total weight of the solution.
  • The silicon precursor compositions of the invention may be deposited on a wafer or other substrate by use of a CVD system, such systems being well known in the semiconductor fabrication art. Preferred CVD systems include low-pressure CVD systems.
  • In a further embodiment the present invention relates to a method for forming a silicon containing thin film on a substrate by chemical vapor deposition, such method including the steps of:
  • (1) vaporizing a precursor composition comprising at least one aminosilane source reagent composition made by reacting an aminosilane precursor compound with an amine source reagent compound in a solvent medium comprising at least one activating solvent component, to yield an aminosilane source reagent composition having a halogen content that is less than 1000 ppm, wherein said aminosilane source reagent composition is selected from the group consisting of:
    Figure US20060099831A1-20060511-C00009

    wherein R3 is selected from the group consisting of hydrogen, C1-C4 alkyl, and C1-C4 alkoxy; x is from 0 to 3; Si is silicon; A is halogen; Y is from 0 to 3; N is nitrogen; each of R1 and R2 is same or different and is independently selected from the group consisting of H, aryl, perfluoroaryl, C1-C8 alkyl, and C1-C8 perfluoroalkyl; and n is from 1-6;
  • (2) transporting such precursor vapor into a chemical vapor deposition zone containing a substrate, optionally using a carrier gas to effect such transport;
  • contacting the precursor vapor with a substrate in such chemical vapor deposition zone, at elevated temperature to deposit a corresponding silicon containing thin film.
  • A wide variety of CVD process conditions may be utilized for chemical vapor deposition employing the compositions of the present invention. Typical liquid delivery MOCVD process conditions may include substrate temperature ranges of 160-300° C., with about 170° C. to about 250° C. being more typical; vaporizer temperature ranges may be from about 50° C. to about 150° C., with about 60° C. to about 100° C. being more typical; pressure ranges are generally from about 0.05 to about 20 Torr (and most preferably from about 0.1 to about 5 Torr), with a range of about 0.2 to about 0.5 Torr being more typical; and inert gas flows of helium or argon of from about 25-750 sccm (and most preferably from about 50 to about 200 sccm), at a temperature approximately the same as the vaporizer. In some cases, a co-reactant may be introduced (i.e., water, alcohol or hydrogen forming gas) to facilitate the film growth process.
  • The compositions of the present invention are not limited in respect of their use with the aforementioned low-pressure CVD deposition tools, however, and other CVD tools, for example PECVD tools, or other deposition tools, may be utilized.
  • In one embodiment the aminosilane source reagent compositions of the instant invention may used in an atomic layer chemical vapor deposition method, wherein the aminosilane source reagent composition is vaporized and introduced into a chemical vapor deposition chamber comprising a substrate, in a sequential or “pulsed” deposition mode, during which time, extremely co-reactive gases may be employed, such as ozone, water vapor or reactive alcohols, that might normally be expected to produce deleterious deposition effects on the CVD process (i.e., gas phase particle formation).
  • In a further embodiment, the atomic layer chemical vapor deposition method of the present invention, may further comprise a metalloamide precursor vapor that may be simultaneously co-pulsed and co-deposited with the silicon precursor vapor, on a substrate. Alternatively, the aminosilane precursor vapor may be deposited on a substrate in a sequential pulsing method, wherein the aminosilane compound alternates pulses with the metalloamide compound. The dielectric thin films are built up by introducing short bursts of gases in cycles.
  • In a further embodiment, a co-reactant may be used in a pulsed or atomic layer chemical vapor deposition method, wherein the metalloamide precursor and/or aminosilane precursor vapor is separated from the co-reactant by time in the pulse track. The co-reactant may be utilized to facilitate the decomposition of the precursor on a substrate, within a desired temperature regime and to produce carbon-free dielectric thin-films. As an example, the use of water vapor may be utilized to induce a lower decomposition temperature of the aminosilane precursor vapor, which in some instances has been found to be stable in oxidizing environments such as N2O.
  • The specific nature of the pulse track and number of cycles may be varied. In a typical ALCVD process, a cycle lasts from 1-5 seconds. The following non-limiting examples demonstrate various pulse tracks defining precursor(s) and co-reactant(s) that may be successfully used to deposit the dielectric thin films of the present invention:
  • example track 1-(metalloamide/purge (inert)/co-reactant+N2O/purge (inert))n cycles;
  • example track 2-(metalloamide+aminosilane/purge (inert)/N2O/purge (inert))n cycles;
  • example track 3-(metalloamide+co-reactant N2O/co-reactant water vapor/purge (inert))n cycles;
  • example track 4-(metalloamide+co-reactant N2O/aminosilane/co-reactant water vapor/purge (inert))n cycles.
  • wherein n is an integer number, typically ranging from 10 to 100, and different co-reactants have different oxidizing potentials.
  • The compositions of the present invention may be delivered to the CVD reactor in a variety of ways. For example, a liquid delivery system may be utilized. Such systems generally include the use of liquid MFCs (mass flow controllers). An exemplary liquid delivery system that may be used is the ATMI Sparta 150 Liquid Delivery System (commercially available from ATMI, Inc., Danbury, Conn.).
  • Liquid delivery systems generally meter a desired flow rate of the precursor composition in liquid form to the CVD process tool. At the process tool chamber, or upstream thereof, the liquid may be vaporized through use of a vaporizer. Such vaporizers may utilize thermal heating, acoustics, ultrasound and high flow nebulizers. Further descriptions of liquid delivery systems are contained in U.S. Pat. Nos. 5,204,314; 5,362,328; 5,536,323; and 5,711,816, the disclosures of which are hereby expressly incorporated herein by reference in their entireties.
  • In the practice of the present invention utilizing liquid delivery, the silicon precursor species, if of solid or liquid form at ambient conditions, may be dissolved or suspended in a compatible solvent medium as more fully described in U.S. Pat. No. 5,820,664 issued Oct. 13, 1998 for “Precursor Compositions For Chemical Vapor Deposition, And Ligand Exchange Resistant Metal-Organic Precursor Solutions Comprising Same,” the disclosure of which is hereby incorporated herein in its entirety by reference.
  • The precursors of the present invention may be deposited using any chemical vapor deposition system known in the art. A preferred liquid delivery MOCVD System is described in U.S. Pat. No. 5,204,314, issued Apr. 20, 1993, for “Method for Delivering an Involatile Reagent in Vapor Form to a CVD Reactor,” the disclosure of which is hereby incorporated herein in its entirety by reference.
  • In liquid delivery CVD, the source liquid may comprise the source reagent compound(s) if the compound or complex is in the liquid phase at ambient temperature (e.g., room temperature, 25° C.) or other supply temperature from which the source reagent is rapidly heated and vaporized to form precursor vapor for the CVD process. Alternatively, if the source reagent compound or complex is a solid at ambient or the supply temperature, such compound or complex can be dissolved or suspended in a compatible solvent medium therefore to provide a liquid phase composition that can be submitted to the rapid heating and vaporization to form precursor vapor for the CVD process. The precursor vapor resulting from the vaporization then is transported, optionally in combination with a carrier gas (e.g., He, Ar, H2, O2, etc.), to the chemical vapor deposition reactor where the vapor is contacted with a substrate at elevated temperature to deposit material from the vapor phase onto the substrate or semiconductor device precursor structure positioned in the CVD reactor.
  • The precursor liquid may be vaporized in any suitable manner and with any suitable vaporization means to form corresponding precursor vapor for contacting with the elevated temperature substrate on which the dielectric film is to be formed. The vaporization may for example be carried out with a liquid delivery vaporizer unit of a type as commercially available from Advanced Technology Materials, Inc. (Danbury, Conn.) under the trademark SPARTA and VAPORSOURCE II, in which precursor liquid is discharged onto a heated vaporization element, such as a porous sintered metal surface, and flash vaporized. The vaporizer may be arranged to receive a carrier gas such as argon, helium, etc. and an oxygen-containing gas may be introduced as necessary to form the dielectric thin film. The precursor vapor thus is flowed to the chemical vapor deposition chamber and contacted with the substrate on which the dielectric film is to be deposited. The substrate is maintained at a suitable elevated temperature during the deposition operation by heating means such as a radiant heating assembly, a susceptor containing a resistance heating element, microwave heat generator, etc. Appropriate process conditions of temperature, pressure, flow rates and concentration (partial pressures) of metal and silicon components are maintained for sufficient time to form the dielectric film at the desired film thickness, (i.e., in a range of from about 2 nanometers to about 1000 micrometers), and with appropriate dielectric film characteristics.
  • The step of vaporizing the source reagent compounds of the present invention is preferably carried out at a vaporization temperature in the range of from about 50° C. to about 300° C. Within this narrow range of vaporization temperature, the metalloamide and aminosilane source reagent compounds are effectively vaporized with a minimum extent of premature decomposition.
  • In the optional use of a carrier gas in the practice of the present invention, for transporting the vaporized source reagent composition into the chemical vapor deposition zone, suitable carrier gas species include gases that do not adversely affect the dielectric film being formed on the substrate. Preferred gases include argon, helium, krypton or other inert gas, with argon gas generally being most preferred. In one illustrative embodiment, argon gas may be introduced for mixing with the vaporized source reagent composition at a flow rate of about 100 standard cubic centimeters per minute (sccm).
  • Oxidizing gases useful for the broad practice of the present invention include, but are not limited to, O2, N2O, NO, H2O and O3, More preferably, the oxidizer used comprises N2O.
  • The deposition of the silicon containing thin films of the present invention are preferably carried out under an elevated deposition temperature in a range of from about 250° C. to about 750° C.
  • The use of the compositions disclosed herein is not limited to liquid delivery systems, and any method, which adequately delivers the composition to the process tool is. satisfactory. Thus, for example, bubbler-based delivery systems may be utilized, but are not preferred. In such systems, an inert carrier gas is bubbled through the precursor composition (typically in liquid form above its melting point). The resulting gas, which is wholly or partially saturated with the vapor of the composition, is provided to the CVD tool.
  • Here and throughout this disclosure, where the invention provides that at least one aminosilane source reagent composition is present in a composition or method, the composition or method may contain or involve additional aminosilane and/or other compounds.
  • EXAMPLES
  • Experiment 1
  • Silica films were grown with the silicon precursors listed in Table I, Si(NMe2)3Cl and Si(NEt2)2Cl2. Precursor solutions were prepared at 0.1M Si in octane. Substrates of (100) Si were prepared with an SCl treatment followed by dilute HF to remove any native SiO2. The generic process conditions for the experiments are shown in Table II. Results from the growth of hafnia films encouraged the inventors to center initial experiments on growth in an N2O atmosphere although growth in O2 or other oxidizer could be used at temperatures at or below 500° C. A limited pressure-temperature matrix was performed for each Si precursor using the N2O ambient as shown in FIGS. 2A and 2B.
    TABLE I
    Precursors used for film deposition.
    (Bis(diethyl-amino)dichlorosilane) Si(N(C2H5)2)2Cl2
    (Tris(dimethyl-amino)chlorosilane) Si(N(CH3)2)3Cl
    Tetrakis(diethyl-amino)hafnium Hf(N(C2H5)2)4 TDEAHf
    Tetrakis(dimethyl-amino)hafnium Hf(N(CH3)2)4 TDMAHf
  • TABLE II
    Generic process conditions
    Precursor solution 0.10 M in octane
    Precursor solution delivery rate 0.10 ml/min
    Vaporization Temperature 150° C.
    Run time
    10 minutes
    Carrier gas
    100 sccm Ar
    Heating and Cooling process gas 500 sccm Ar
    Run time process gas 400 sccm N2O
    Pressure 0.8, 2.2, or 8.0 Torr
    Temperature 400-650° C. wafer surface
  • From NMR studies of precursor compatibility, it was shown that Si(NEt2)2Cl2 is compatible with TDEAHf in solution, with any ligand exchange being degenerate. Si(NMe2)3Cl is compatible with both TDEAHf and TDMAHf. A solution of 0.05M TDEAHf: 0.05M Si(NEt2)2Cl2 was produced by mixing the two 0.1M solutions. This mixture was used to grow films over the entire matrix of process conditions.
  • Film thickness was measured using single-wavelength ellipsometry at 70° incidence angle, and XRF. For SiO2 deposition, all films were less than 30 Å thick, so an index of refraction could not be measured accurately. Film thickness was assigned based on an assumed index of refraction, n=1.46, typical of high quality thermal oxide. For HfO2, the XRF was calibrated by assuming the X-ray efficiencies were equivalent to TaO2.5, for which standards that been measured by RBS. The Hf:Si composition was estimated by assuming that both are fully oxidized and fully dense. The ellipsometric thickness not accounted for by HfO2 was assigned to SiO2, and composition was calculated from these two thicknesses.
  • Results
  • Growth rates of SiO2 were less than 3 Å/min under all conditions as shown in FIG. 3 and FIG. 4. There is some indication that the Si(NEt2)2Cl2 may form silica films a little bit more readily, however, none of the growth rates are sufficient for the two precursors under the instant conditions.
  • The growth of SiO2 with only the TDEAHf, as measured by the subtraction of ellipsometric thickness from XRF thickness (shown in FIG. 5) was greater than that from the Si(NEt2)2Cl2 precursor alone (FIG. 3) Films grown from the precursor mixture (TDEAHf+Si(NEt2)2Cl2) showed still higher SiO2 growth rates as shown in FIG. 6. This increased growth rate compared to FIG. 3 is unexpected and should be quite useful for the growth of hafnium silicate films of uniform Hf:Si composition through the thickness of the film.
  • The films have a mixed Si:Hf composition on the film surface. The constant SiO2 growth rate over the range of 500-600° C. at 2.2 Torr being the same as 0.8 Torr at 600° C. is taken as evidence of mass transport limited deposition over the range of the process. The addition of water vapor or O2, should further decrease the temperature window wherein both Hf and Si alkylamido precursors transport and decompose reliably.
  • Experiment 2 Prior Art Synthetic Process
  • When attempts were made to synthesize Si(NR2)4 R=Et and Me by combining SiCl4 in hexanes with 5 equivalents of LiNR2, only CISi(NMe2)3 and Cl2Si(NEt2)2 were obtained.
  • Experiment 3 Synthesis of Tetrakis(Dialkylamino) Silanes
  • SiCl4 reacts with 5 equivalents of LiNR2 initially in a non-polar solvent, such as hexanes. Then the non-polar solvent is pumped off completely under vacuum. Polar solvent is added into the reaction vessel to continue the reaction. The resulting slurry in polar solvent is refluxed for 4-8 hours to facilitate the completion of the reaction.
  • Experiment 4 Synthesis of Tetrakis (Dimethylamino) Silane
  • The general reactions were carried out under a steady flow of nitrogen. A 5L Schlenk flask was charged with 0.8L of 1.6M solution of n-BuLi in hexane, 1L of anhydrous hexane and a big magnetic stirring bar. Then 60 g (10% excess) of HNMe2 was bubbled into the Schlenk flask slowly at 0° C., under magnetic stirring. During the addition, very fine white precipitate of LiNMe2 was formed and the reaction mixture became extremely viscous. The mixture was allowed to reach room temperature and then was stirred for an additional 2 h. A solution of SiCl4 (43.5 g, 29.3 mL) in hexane (50 mL) was slowly added to the reaction flask. Moderate heat was generated (exothermic) and the external cooling to 0° C. was applied. Upon completion of SiCl4 addition, the mixture became less viscous. The mixture was allowed to reach room temperature and then was stirred for an additional 2 h. All volatiles were removed in vacuum. Then the reaction flask was charge with 0.5 L of anhydrous THF. The resulting mixture was refluxed for 4 h. THF was removed in vacuum to give a slurry-like mixture of Si(NMe2)4 and Li salts. 400 mL of hexane were added to extract Si(NMe2)4 and the resulting mixture was filtered. A second extraction was applied with 100 mL of hexane and a slightly yellow filtrate was obtained. Removal of volatiles under vacuum followed by the vacuum distillation (35° C. at 1 mmHg) gave 31.3 grams of colorless liquid. Yield: 60%. Bp. 35° C. at 1 mmHg. Anal. (calcd., %): C 47.16 (47.06), H 11.42 (11.76), N 26.73 (27.45). Mass spectrum (EI, %): m/z 204 (M+, 70), 160 (M+-NMe2, 100), 116 (M+-2 NMe2, 90). FIG. 7 1H NMR (C6D6): □ 2.51 (s, CH3). Residual Cl content is less than 10 ppm (detection limit of analysis).
  • Experiment 4 Synthesis of Tetrakis (Ethylmethylamino) Silane
  • A SL Schlenk flask was charged with 0.8L of 1.6M solution of n-BuLi in hexane, 1 L of anhydrous hexane and a big magnetic stirring bar. The reaction mixture was maintained at 0° C. during the addition of HNEtMe (79.3 g, 1.344 mol, 5% excess) solution in hexane (100 mL). Very fine white precipitate of LiNEtMe formed immediately and the reaction mixture became extremely viscous. The mixture was allowed to reach room temperature and then was stirred for an additional hour. A solution of HSiCl3 (43.36 g, 0.32 mol) in hexane (100 mL) was slowly added to the reaction flask. Moderate heat was generated (exothermic) and the external cooling to 0° C. was applied. Upon completion of HSiCl3 addition, the mixture became less viscous. The mixture was allowed to reach room temperature and then was stirred for an additional hour. All volatiles were removed in vacuum. Then the reaction flask was charge with 0.5 L of anhydrous THF. The resulting mixture was refluxed for 4 h. THF was removed in vacuum. 300 mL of hexane were added to extract amidosilanes, the resulting mixture was filtered, and the precipitate was discarded. Removal of volatiles under vacuum followed by the vacuum distillation gave two fractions (28° C. at 0.5 mmHg and 50° C. at 0.3 mmHg) in 4:3 molar rations. The first fraction was confirmed to be HSi(NEtMe)3. The second fraction was identified as Si(NEtMe)4. Yield: 30%. Bp. 50° C. at 0.3 mmHg. Anal. (calcd., %): C 55.61 (55.38), H 12.58 (12.31), N 21.08 (21.54). Mass spectrum (EI, %): m/z 260 (M+, 40), 202 (M+-NEtMe, 70), 144 (M+-2 NEtMe, 50), 86 (M+-3 NEtMe, 100). 1H NMR (C6D6): □ 2.83 (8H, q, J(H—H)=7 Hz, CH2 CH 3), 2.51 (12H, s, CH 3), 1.07 (12H, t, J(H—H)=7 Hz, CH2 CH). 13C NMR: (C6D6) □ 44.68 (CH2—CH3), 35.07 (CH3), 15.01 (CH2CH3).
  • The features, aspects and advantages of the present invention are further shown with reference to the following non-limiting examples relating to the invention.

Claims (13)

1. A CVD precursor composition for forming a silicon-containing thin film on a substrate, said precursor composition including at least one aminosilane source reagent composition selected from the group consisting of:
Figure US20060099831A1-20060511-C00010
wherein R3 is selected from the group consisting of hydrogen, C1-C4 alkyl, and C1-C4 alkoxy; x is from 0 to 3; Si is silicon; A is halogen; y is from 0 to 3; N is nitrogen; each of R1 and R2 is same or different and is independently selected from the group consisting of H, aryl, perfluoroaryl, C1-C8 alkyl, and C1-C8 perfluoroalkyl; and n is from 1-6.
2. The composition of claim 1, wherein said aminosilane source reagent composition is made by:
(a) reacting an aminosilane precursor compound with an amine source reagent compound, wherein the amine source reagent compound is selected from the group consisting of:
Figure US20060099831A1-20060511-C00011
wherein B is selected from the group consisting of H, Li, Na, K, Zn and MgBr; N is nitrogen; R1 and R2 are same or different and each is independently selected from the group consisting of H, aryl, perfluoroaryl, C1-C8 alkyl, and C1-C8 perfluoroalkyl; and n is from 1-6, in a solvent system comprising at least one non-polar solvent, at temperature in a range from about −30° C. to about room temperature, for a period of time sufficient to produce a reaction mixture comprising partially substituted aminosilane components, unreacted aminosilane precursors and unreacted amine components;
(b) combining the reaction mixture with at least one polar activating solvent component to at least partially solvate and activate the unreacted amine components, wherein the polar activating solvent comprises a Lewis base selected from the group consisting of ethers and tertiary amines; and
(c) continuing the reaction of step (b) at temperature in a range from about 0° C. to about 100° C. for a period of time sufficient to produce the aminosilane source reagent composition, wherein the aminosilane source reagent composition comprises less than 1000 ppm halogen.
3. The CVD precursor composition according to claim 2, wherein the polar activating solvent is selected from the group consisting of: diethyl ether, tetrahydrofuran (THF), ethylene glycol dimethyl ether (glyme), diethylene glycol dimethyl ether (diglyme), 1,4-dioxane, tetraethylene glycol dimethyl ether (tetraglyme), 1,4,7,10-tetraoxacyclododecane (12-Crown-4), 1,4,7,10,13-pentaoxacyclopentadecane (15-Crown-5), and 1,4,7,10,13,16-hexaoxacyclooctadecane (18-Crown-6), pentamethyldiethylenetriamine (PMDETA), tetramethylethylene-diamine (TMEDA), Triethylamine; (TEA) Diazabicycloun-decene (DBU), Tri-n-butylamine (TNBA), and tetraethylethylenediamine (TEDA).
4. The composition of claim 1, wherein said aminosilane source reagent composition is made by:
(1) combining an aminosilane precursor compound comprising at least one halogen leaving group, with an amine source reagent compound, in a solvent system comprising at least one non-polar solvent, for a period of time sufficient to produce a reaction mixture consisting essentially of partially substituted aminosilane components, unreacted aminosilane precursors and unreacted amine components;
(2) removing the non-polar solvent from the reaction mixture;
(3) combining with the reaction mixture of step (2) a polar activating solvent to at least partially solvate and activate the unreacted amine components;
(4) continuing the reaction of step (3) for a period of time sufficient to provide for essentially stoichiometric substitution of at least one halide on the aminosilane precursor compound by an amine component to produce the aminosilane source reagent composition.
5. The method according to claim 4, wherein the non-polar solvent is removed by vacuum evaporation.
6. The method according to claim 4, wherein the reaction of steps (2) and (3) is carried out at a temperature that is in the range of from about −30° C. to room temperature.
7. The CVD precursor composition according to claim 1, further comprising at least one metalloamide source reagent composition selected from the group consisting of:
Figure US20060099831A1-20060511-C00012
wherein M is selected from the group consisting of: Zr, Hf, Y, La, Lanthanide series elements, Ta, Ti, Al; N is nitrogen; each of R1 and R2 is same or different and is independently selected from the group consisting of H, aryl, perfluoroaryl, C1-C8 alkyl, C1-C8 perfluoroalkyl, alkylsilyl; x is the oxidation state on metal M; and n is from 1-6.
8. The CVD precursor composition according to claim 1, further comprising at least one metalloamide source reagent composition having a formula:

M(NR2)x(NR′2)y
wherein M is selected from the group consisting of: Y, Hf, La, and Ta; N is nitrogen, each of R and R′ is independently selected from the group consisting of H, aryl, perfluoroaryl, C1-C8 alkyl, C1-C8 perfluoroalkyl, and alkylsilyl; (NR2)x and (NR′2)y are different amino ligands and R′ is different from R; x is from 1 to 5; y is from 1 to 5; and x+y is equal to the oxidation state of metal M, and a solvent medium, wherein the metalloamide source reagent compound is soluble or suspendable therein.
9. The CVD precursor composition according to claim 8, wherein the solvent medium is selected from the group consisting of: ethers, glymes, tetraglymes, amines, polyamines, alcohols, glycols, aliphatic hydrocarbon solvents, aromatic hydrocarbon solvents, cyclic ethers and combinations of two or more of the foregoing.
10. A CVD method of forming a silicon-containing thin film on a substrate, comprising:
vaporizing the CVD precursor composition of claim 1 to form a source reagent precursor vapor;
transporting the source reagent precursor vapor into a chemical vapor deposition zone, optionally using a carrier gas; and
contacting the source reagent precursor vapor with a substrate in said chemical vapor deposition zone at elevated temperature to deposit the silicon containing thin film on the substrate.
11. A CVD method of forming a silicon-containing thin film on a substrate, comprising:
vaporizing the CVD precursor composition of claim 8 to form a source reagent precursor vapor;
transporting the source reagent precursor vapor into a chemical vapor deposition zone, optionally using a carrier gas; and
contacting the source reagent precursor vapor with a substrate in said chemical vapor deposition zone at elevated temperature to deposit the silicon containing thin film on the substrate.
12. A liquid CVD precursor composition for forming a silicon-containing thin film on a substrate, said precursor composition including
(a) at least one aminosilane source reagent composition selected from the group consisting of:
Figure US20060099831A1-20060511-C00013
wherein R3 is selected from the group consisting of hydrogen, C1-C4 alkyl, and C1-C4 alkoxy; x is from 0 to 3; Si is silicon; A is halogen; y is from 0 to 3; N is nitrogen; each of R1 and R2 is same or different and is independently selected from the group consisting of H, aryl, perfluoroaryl, C1-C8 alkyl, and C1-C8 perfluoroalkyl; and n is from 1-6; and
(b) at least one metalloamide source reagent composition having a formula:

M(NR2)x(NR′2)y
wherein M is selected from the group consisting of: Y, Hf, La, and Ta; N is nitrogen, each of R and R is independently selected from the group consisting of H, aryl, perfluoroaryl, C1-C8 alkyl, C1-C8 perfluoroalkyl, and alkylsilyl; (NR2)x and (NR′2)y are different amino ligands and R′ is different from R; x is from 1 to 5; y is from 1 to 5; and x+y is equal to the oxidation state of metal M, and a solvent medium, wherein the metalloamide source reagent compound is soluble or suspendable therein.
13. The CVD precursor composition according to claim 9, wherein the solvent medium is selected from the group consisting of: ethers, glymes, tetraglymes, amines, polyamines, alcohols, glycols, aliphatic hydrocarbon solvents, aromatic hydrocarbon solvents, cyclic ethers and combinations of two or more of the foregoing.
US11/301,043 2001-03-30 2005-12-12 Silicon source reagent compositions, and method of making and using same for microelectronic device structure Abandoned US20060099831A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/301,043 US20060099831A1 (en) 2001-03-30 2005-12-12 Silicon source reagent compositions, and method of making and using same for microelectronic device structure

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US09/823,196 US7005392B2 (en) 2001-03-30 2001-03-30 Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US09/954,831 US6869638B2 (en) 2001-03-30 2001-09-18 Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US10/112,517 US7084080B2 (en) 2001-03-30 2002-03-29 Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US11/301,043 US20060099831A1 (en) 2001-03-30 2005-12-12 Silicon source reagent compositions, and method of making and using same for microelectronic device structure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/112,517 Continuation US7084080B2 (en) 2001-03-30 2002-03-29 Silicon source reagent compositions, and method of making and using same for microelectronic device structure

Publications (1)

Publication Number Publication Date
US20060099831A1 true US20060099831A1 (en) 2006-05-11

Family

ID=28789776

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/112,517 Expired - Fee Related US7084080B2 (en) 2001-03-30 2002-03-29 Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US11/301,043 Abandoned US20060099831A1 (en) 2001-03-30 2005-12-12 Silicon source reagent compositions, and method of making and using same for microelectronic device structure

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/112,517 Expired - Fee Related US7084080B2 (en) 2001-03-30 2002-03-29 Silicon source reagent compositions, and method of making and using same for microelectronic device structure

Country Status (3)

Country Link
US (2) US7084080B2 (en)
AU (1) AU2003220530A1 (en)
WO (1) WO2003084969A1 (en)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060108623A1 (en) * 1998-11-25 2006-05-25 Buskirk Peter C V Oxidative top electrode deposition process, and microelectronic device structure
US20060148271A1 (en) * 2001-03-30 2006-07-06 Borovik Alexander S Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US20060258173A1 (en) * 2005-05-16 2006-11-16 Manchao Xiao Precursors for CVD silicon carbo-nitride films
US20060257697A1 (en) * 2005-05-11 2006-11-16 Schlumberger Technology Corporation Fuel cell apparatus and method for downhole power systems
US20070111912A1 (en) * 2005-10-28 2007-05-17 Phenis Michael T Dynamic multi-purpose composition for the removal of photoresists and methods for its use
EP1860690A2 (en) * 2006-05-23 2007-11-28 Air Products and Chemicals, Inc. Process for producing silicon oxide films from organoaminosilane precursors
US20090032952A1 (en) * 2007-01-18 2009-02-05 Advanced Technology Materials, Inc. TANTALUM AMIDO-COMPLEXES WITH CHELATE LIGANDS USEFUL FOR CVD AND ALD OF TaN AND Ta205 THIN FILMS
US20100164057A1 (en) * 2007-06-28 2010-07-01 Advanced Technology Materials, Inc. Precursors for silicon dioxide gap fill
US20100221503A1 (en) * 2008-06-24 2010-09-02 Dynaloy Llc Stripper solutions effective for back-end-of-line operations
WO2011112546A1 (en) * 2010-03-08 2011-09-15 Dynaloy, Llc Methods and compositions for doping silicon substrates with molecular monolayers
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
CN103298973A (en) * 2010-12-09 2013-09-11 原子能及可替代能源委员会 Method for producing lithium-based layers by CVD
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8853438B2 (en) 2012-11-05 2014-10-07 Dynaloy, Llc Formulations of solutions and processes for forming a substrate including an arsenic dopant
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8987181B2 (en) 2011-11-08 2015-03-24 Dynaloy, Llc Photoresist and post etch residue cleaning solution
US9243218B2 (en) 2005-10-28 2016-01-26 Dynaloy, Llc Dynamic multipurpose composition for the removal of photoresists and method for its use
US20210087683A1 (en) * 2019-09-25 2021-03-25 Soulbrain Co., Ltd. Method for forming thin film
US11746411B2 (en) * 2019-09-25 2023-09-05 Soulbrain Co., Ltd. Method for forming thin film

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7084080B2 (en) * 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US20060178019A1 (en) * 2002-08-18 2006-08-10 Aviza Technology, Inc. Low temperature deposition of silicon oxides and oxynitrides
US6963006B2 (en) * 2003-01-15 2005-11-08 Air Products And Chemicals, Inc. Process for the production and purification of bis(tertiary-butylamino)silane
JP4954448B2 (en) * 2003-04-05 2012-06-13 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. Organometallic compounds
US7141500B2 (en) * 2003-06-05 2006-11-28 American Air Liquide, Inc. Methods for forming aluminum containing films utilizing amino aluminum precursors
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20080299312A1 (en) * 2004-09-02 2008-12-04 Atsushi Itsuki Raw Material Solution for Metal Organic Chemical Vapor Deposition Method and Method for Manufacturing Composite Oxide Film Containing Hf-Si Using the Raw Material Solution
JP4522900B2 (en) * 2005-03-30 2010-08-11 東京エレクトロン株式会社 Film forming method and recording medium
US7425350B2 (en) * 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070154637A1 (en) * 2005-12-19 2007-07-05 Rohm And Haas Electronic Materials Llc Organometallic composition
JP5248025B2 (en) * 2007-03-01 2013-07-31 東京エレクトロン株式会社 Method for forming SrTiO3 film and computer-readable storage medium
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US9200167B2 (en) 2012-01-27 2015-12-01 Air Products And Chemicals, Inc. Alkoxyaminosilane compounds and applications thereof
US9677178B2 (en) 2012-01-27 2017-06-13 Versum Materials Us, Llc Alkoxyaminosilane compounds and applications thereof
KR101993355B1 (en) 2013-03-13 2019-09-30 삼성전자주식회사 Method of fabricating a semiconductor device
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
KR102052664B1 (en) 2013-03-15 2019-12-06 삼성전자주식회사 Trialkylsilane Si precursor compound and method of forming a layer using the same
KR102106885B1 (en) 2013-03-15 2020-05-06 삼성전자 주식회사 Precursors for deposition of silicon dioxide film, and method for fabricating semiconductor device using the same
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors

Citations (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US499198A (en) * 1893-06-13 Johann bammann and moritz ulrich
US3076834A (en) * 1960-03-04 1963-02-05 Dow Chemical Co Chelate-phenol adducts
US3437516A (en) * 1966-04-28 1969-04-08 Us Air Force Vapor deposition from perfluoroorganometallic compounds
US3467686A (en) * 1967-10-03 1969-09-16 Union Carbide Corp Preparation of organosilicon-nitrogen compounds
US3988332A (en) * 1974-05-20 1976-10-26 E. I. Du Pont De Nemours And Company Hydrocarbylidene compounds of niobium and tantalum
US4383119A (en) * 1982-06-04 1983-05-10 Chemplex Company Organomagnesium compounds
US4491669A (en) * 1980-11-12 1985-01-01 Petrarch Systems Inc. Mixed alkoxyaminosilanes, methods of making same and vulcanizing silicons prepared therefrom
US4499198A (en) * 1982-10-07 1985-02-12 Chemplex Company Polymerization catalysts and methods
US4510222A (en) * 1982-05-24 1985-04-09 Hitachi, Ltd. Photomask with corrected white defects
US4646913A (en) * 1983-07-29 1987-03-03 Wing Russell T Key pack
US4726938A (en) * 1985-01-15 1988-02-23 Rhone-Poulenc Specialites Chimiques Liquid/liquid extraction/purification of impure solutions of rare earth values
US4895709A (en) * 1985-04-26 1990-01-23 Sri International Method of preparing metal carbides, nitrides, and the like
US4908065A (en) * 1987-01-07 1990-03-13 Tokyo Ohka Kogyo Co., Ltd. Coating solution for use in the formation of metal oxide film
US5003092A (en) * 1989-06-02 1991-03-26 The Research Foundation Of State University Of Ny Use of R2 MR' to prepare semiconductor and ceramic precursors
US5008422A (en) * 1985-04-26 1991-04-16 Sri International Polysilazanes and related compositions, processes and uses
US5043049A (en) * 1989-01-26 1991-08-27 Seiko Epson Corporation Methods of forming ferroelectric thin films
US5084588A (en) * 1990-07-05 1992-01-28 Union Carbide Chemicals & Plastics Technology Corporation Reducing halide contamination in alkoxy silanes
US5085731A (en) * 1991-02-04 1992-02-04 Air Products And Chemicals, Inc. Volatile liquid precursors for the chemical vapor deposition of copper
US5094701A (en) * 1990-03-30 1992-03-10 Air Products And Chemicals, Inc. Cleaning agents comprising beta-diketone and beta-ketoimine ligands and a process for using the same
US5098516A (en) * 1990-12-31 1992-03-24 Air Products And Chemicals, Inc. Processes for the chemical vapor deposition of copper and etching of copper
US5120703A (en) * 1990-04-17 1992-06-09 Alfred University Process for preparing oxide superconducting films by radio-frequency generated aerosol-plasma deposition in atmosphere
US5139825A (en) * 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
US5144049A (en) * 1991-02-04 1992-09-01 Air Products And Chemicals, Inc. Volatile liquid precursors for the chemical vapor deposition of copper
US5178911A (en) * 1989-11-30 1993-01-12 The President And Fellows Of Harvard College Process for chemical vapor deposition of main group metal nitrides
US5187300A (en) * 1991-02-04 1993-02-16 Air Products And Chemicals, Inc. Volatile precursors for copper CVD
US5189503A (en) * 1988-03-04 1993-02-23 Kabushiki Kaisha Toshiba High dielectric capacitor having low current leakage
US5204314A (en) * 1990-07-06 1993-04-20 Advanced Technology Materials, Inc. Method for delivering an involatile reagent in vapor form to a CVD reactor
US5210254A (en) * 1992-03-31 1993-05-11 Union Carbide Chemicals & Plastics Technology Corporation Acidic halide neutralization in alkoxysilanes
US5225561A (en) * 1990-07-06 1993-07-06 Advanced Technology Materials, Inc. Source reagent compounds for MOCVD of refractory films containing group IIA elements
US5252518A (en) * 1992-03-03 1993-10-12 Micron Technology, Inc. Method for forming a mixed phase TiN/TiSi film for semiconductor manufacture using metal organometallic precursors and organic silane
US5280012A (en) * 1990-07-06 1994-01-18 Advanced Technology Materials Inc. Method of forming a superconducting oxide layer by MOCVD
US5322712A (en) * 1993-05-18 1994-06-21 Air Products And Chemicals, Inc. Process for improved quality of CVD copper films
US5417823A (en) * 1993-12-17 1995-05-23 Ford Motor Company Metal-nitrides prepared by photolytic/pyrolytic decomposition of metal-amides
US5424095A (en) * 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
US5440173A (en) * 1993-09-17 1995-08-08 Radiant Technologies High-temperature electrical contact for making contact to ceramic materials and improved circuit element using the same
US5453494A (en) * 1990-07-06 1995-09-26 Advanced Technology Materials, Inc. Metal complex source reagents for MOCVD
US5536323A (en) * 1990-07-06 1996-07-16 Advanced Technology Materials, Inc. Apparatus for flash vaporization delivery of reagents
US5591483A (en) * 1994-08-31 1997-01-07 Wayne State University Process for the preparation of metal nitride coatings from single source precursors
US5593741A (en) * 1992-11-30 1997-01-14 Nec Corporation Method and apparatus for forming silicon oxide film by chemical vapor deposition
US5616755A (en) * 1994-09-14 1997-04-01 Huels Aktiengesellschaft Process for preparing low-chloride or chloride-free aminofunctional organosilanes
US5668054A (en) * 1996-01-11 1997-09-16 United Microelectronics Corporation Process for fabricating tantalum nitride diffusion barrier for copper matallization
US5679815A (en) * 1994-09-16 1997-10-21 Advanced Technology Materials, Inc. Tantalum and niobium reagents useful in chemical vapor deposition processes, and process for depositing coatings using the same
US5705443A (en) * 1995-05-30 1998-01-06 Advanced Technology Materials, Inc. Etching method for refractory materials
US5711816A (en) * 1990-07-06 1998-01-27 Advanced Technolgy Materials, Inc. Source reagent liquid delivery apparatus, and chemical vapor deposition system comprising same
US5719417A (en) * 1996-11-27 1998-02-17 Advanced Technology Materials, Inc. Ferroelectric integrated circuit structure
US5726294A (en) * 1993-11-12 1998-03-10 Florida State University Metalorganic chemical vapor deposition method for depositing F-series metal or nitrogen and metal amides for use in MOCVD
US5744192A (en) * 1996-11-08 1998-04-28 Sharp Microelectronics Technology, Inc. Method of using water vapor to increase the conductivity of cooper desposited with cu(hfac)TMVS
US5767301A (en) * 1997-01-21 1998-06-16 Sharp Microelectronics Technology, Inc. Precursor with (alkyloxy)(alkyl)-silylolefin ligand to deposit copper
US5820678A (en) * 1997-05-30 1998-10-13 The Regents Of The University Of California Solid source MOCVD system
US5820664A (en) * 1990-07-06 1998-10-13 Advanced Technology Materials, Inc. Precursor compositions for chemical vapor deposition, and ligand exchange resistant metal-organic precursor solutions comprising same
US5876503A (en) * 1996-11-27 1999-03-02 Advanced Technology Materials, Inc. Multiple vaporizer reagent supply system for chemical vapor deposition utilizing dissimilar precursor compositions
US5889254A (en) * 1995-11-22 1999-03-30 General Electric Company Method and apparatus for Nd: YAG hardsurfacing
US5919522A (en) * 1995-03-31 1999-07-06 Advanced Technology Materials, Inc. Growth of BaSrTiO3 using polyamine-based precursors
US5924012A (en) * 1996-10-02 1999-07-13 Micron Technology, Inc. Methods, complexes, and system for forming metal-containing films
US5923970A (en) * 1997-11-20 1999-07-13 Advanced Technology Materials, Inc. Method of fabricating a ferrolelectric capacitor with a graded barrier layer structure
US5955774A (en) * 1996-06-17 1999-09-21 Samsung Electronics Co., Ltd. Integrated circuit ferroelectric memory devices including resistors in periphery region
US5962884A (en) * 1997-03-07 1999-10-05 Sharp Laboratories Of America, Inc. Single transistor ferroelectric memory cell with asymmetrical ferroelectric polarization and method of making the same
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US5973911A (en) * 1994-07-29 1999-10-26 Texas Instruments Incorporated Ferroelectric thin-film capacitor
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6060406A (en) * 1998-05-28 2000-05-09 Lucent Technologies Inc. MOS transistors with improved gate dielectrics
US6072207A (en) * 1991-02-25 2000-06-06 Symetrix Corporation Process for fabricating layered superlattice materials and making electronic devices including same
US6110529A (en) * 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
US6121647A (en) * 1996-06-26 2000-09-19 Tdk Corporation Film structure, electronic device, recording medium, and process of preparing ferroelectric thin films
US6177135B1 (en) * 1997-03-31 2001-01-23 Advanced Technology Materials, Inc. Low temperature CVD processes for preparing ferroelectric films using Bi amides
US6184550B1 (en) * 1998-08-28 2001-02-06 Advanced Technology Materials, Inc. Ternary nitride-carbide barrier layers
US6204525B1 (en) * 1997-09-22 2001-03-20 Murata Manufacturing Co., Ltd. Ferroelectric thin film device and method of producing the same
US6229168B1 (en) * 1994-01-13 2001-05-08 Rohm Co., Ltd. Ferroelectric capacitor and a method for manufacturing thereof
US6337184B1 (en) * 1997-04-01 2002-01-08 Jeffrey B. Miller Molecular marker for muscle stem cells
US6342711B1 (en) * 1999-03-08 2002-01-29 Advanced Technology Materials, Inc. Confinement of E-fields in high density ferroelectric memory device structures
US6346741B1 (en) * 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6348412B1 (en) * 1998-04-28 2002-02-19 Micron Technology, Inc. Organometallic compound mixtures in chemical vapor deposition
US6399208B1 (en) * 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
US6417369B1 (en) * 2000-03-13 2002-07-09 Advanced Technology Materials, Inc. Pyrazolate copper complexes, and MOCVD of copper using same
US6541375B1 (en) * 1998-06-30 2003-04-01 Matsushita Electric Industrial Co., Ltd. DC sputtering process for making smooth electrodes and thin film ferroelectric capacitors having improved memory retention
US6593484B2 (en) * 2000-12-25 2003-07-15 Kabushikikaisha Kojundokagaku Kenkyusho Tantalum tertiary amylimido tris (dimethylamide), a process for producing the same, a solution of starting material for mocvd using the same, and a method of forming a tantalum nitride film using the same
US6736993B1 (en) * 2000-04-18 2004-05-18 Advanced Technology Materials, Inc. Silicon reagents and low temperature CVD method of forming silicon-containing gate dielectric materials using same
US6794703B1 (en) * 1996-09-27 2004-09-21 Micron Technology, Inc. High pressure reoxidation/anneal of high dielectric constant
US20040215030A1 (en) * 2003-04-22 2004-10-28 Norman John Anthony Thomas Precursors for metal containing films
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US6900498B2 (en) * 2001-05-08 2005-05-31 Advanced Technology Materials, Inc. Barrier structures for integration of high K oxides with Cu and Al electrodes
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US7012292B1 (en) * 1998-11-25 2006-03-14 Advanced Technology Materials, Inc Oxidative top electrode deposition process, and microelectronic device structure
US7084080B2 (en) * 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US7166732B2 (en) * 2004-06-16 2007-01-23 Advanced Technology Materials, Inc. Copper (I) compounds useful as deposition precursors of copper thin films
US7208427B2 (en) * 2003-08-18 2007-04-24 Advanced Technology Materials, Inc. Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing
US20080138984A1 (en) * 2004-05-10 2008-06-12 Praxair Technology, Inc. Organometallic Precursor Compounds

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4217579A1 (en) * 1992-05-27 1993-12-02 Wacker Chemie Gmbh Process for the production of polysilazanes
JPH06293778A (en) 1993-04-05 1994-10-21 Idemitsu Kosan Co Ltd Silanamine derivative and its production
DE19516386A1 (en) * 1995-05-04 1996-11-07 Huels Chemische Werke Ag Process for the preparation of chlorine-functional organosilanes poor or free amino-functional organosilanes
US5976991A (en) * 1998-06-11 1999-11-02 Air Products And Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
WO2000067300A1 (en) 1999-04-29 2000-11-09 President And Fellows Of Harvard College Liquid precursors for formation of materials containing alkali metals

Patent Citations (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US499198A (en) * 1893-06-13 Johann bammann and moritz ulrich
US3076834A (en) * 1960-03-04 1963-02-05 Dow Chemical Co Chelate-phenol adducts
US3437516A (en) * 1966-04-28 1969-04-08 Us Air Force Vapor deposition from perfluoroorganometallic compounds
US3467686A (en) * 1967-10-03 1969-09-16 Union Carbide Corp Preparation of organosilicon-nitrogen compounds
US3988332A (en) * 1974-05-20 1976-10-26 E. I. Du Pont De Nemours And Company Hydrocarbylidene compounds of niobium and tantalum
US4491669A (en) * 1980-11-12 1985-01-01 Petrarch Systems Inc. Mixed alkoxyaminosilanes, methods of making same and vulcanizing silicons prepared therefrom
US4510222A (en) * 1982-05-24 1985-04-09 Hitachi, Ltd. Photomask with corrected white defects
US4383119A (en) * 1982-06-04 1983-05-10 Chemplex Company Organomagnesium compounds
US4499198A (en) * 1982-10-07 1985-02-12 Chemplex Company Polymerization catalysts and methods
US4646913A (en) * 1983-07-29 1987-03-03 Wing Russell T Key pack
US4726938A (en) * 1985-01-15 1988-02-23 Rhone-Poulenc Specialites Chimiques Liquid/liquid extraction/purification of impure solutions of rare earth values
US4895709A (en) * 1985-04-26 1990-01-23 Sri International Method of preparing metal carbides, nitrides, and the like
US5008422A (en) * 1985-04-26 1991-04-16 Sri International Polysilazanes and related compositions, processes and uses
US4908065A (en) * 1987-01-07 1990-03-13 Tokyo Ohka Kogyo Co., Ltd. Coating solution for use in the formation of metal oxide film
US5189503A (en) * 1988-03-04 1993-02-23 Kabushiki Kaisha Toshiba High dielectric capacitor having low current leakage
US5043049A (en) * 1989-01-26 1991-08-27 Seiko Epson Corporation Methods of forming ferroelectric thin films
US5003092A (en) * 1989-06-02 1991-03-26 The Research Foundation Of State University Of Ny Use of R2 MR' to prepare semiconductor and ceramic precursors
US5139825A (en) * 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
US5178911A (en) * 1989-11-30 1993-01-12 The President And Fellows Of Harvard College Process for chemical vapor deposition of main group metal nitrides
US5094701A (en) * 1990-03-30 1992-03-10 Air Products And Chemicals, Inc. Cleaning agents comprising beta-diketone and beta-ketoimine ligands and a process for using the same
US5120703A (en) * 1990-04-17 1992-06-09 Alfred University Process for preparing oxide superconducting films by radio-frequency generated aerosol-plasma deposition in atmosphere
US5084588A (en) * 1990-07-05 1992-01-28 Union Carbide Chemicals & Plastics Technology Corporation Reducing halide contamination in alkoxy silanes
US5820664A (en) * 1990-07-06 1998-10-13 Advanced Technology Materials, Inc. Precursor compositions for chemical vapor deposition, and ligand exchange resistant metal-organic precursor solutions comprising same
US5204314A (en) * 1990-07-06 1993-04-20 Advanced Technology Materials, Inc. Method for delivering an involatile reagent in vapor form to a CVD reactor
US6110529A (en) * 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
US5225561A (en) * 1990-07-06 1993-07-06 Advanced Technology Materials, Inc. Source reagent compounds for MOCVD of refractory films containing group IIA elements
US5280012A (en) * 1990-07-06 1994-01-18 Advanced Technology Materials Inc. Method of forming a superconducting oxide layer by MOCVD
US5453494A (en) * 1990-07-06 1995-09-26 Advanced Technology Materials, Inc. Metal complex source reagents for MOCVD
US5711816A (en) * 1990-07-06 1998-01-27 Advanced Technolgy Materials, Inc. Source reagent liquid delivery apparatus, and chemical vapor deposition system comprising same
US5536323A (en) * 1990-07-06 1996-07-16 Advanced Technology Materials, Inc. Apparatus for flash vaporization delivery of reagents
US5098516A (en) * 1990-12-31 1992-03-24 Air Products And Chemicals, Inc. Processes for the chemical vapor deposition of copper and etching of copper
US5187300A (en) * 1991-02-04 1993-02-16 Air Products And Chemicals, Inc. Volatile precursors for copper CVD
US5085731A (en) * 1991-02-04 1992-02-04 Air Products And Chemicals, Inc. Volatile liquid precursors for the chemical vapor deposition of copper
US5144049A (en) * 1991-02-04 1992-09-01 Air Products And Chemicals, Inc. Volatile liquid precursors for the chemical vapor deposition of copper
US6072207A (en) * 1991-02-25 2000-06-06 Symetrix Corporation Process for fabricating layered superlattice materials and making electronic devices including same
US5252518A (en) * 1992-03-03 1993-10-12 Micron Technology, Inc. Method for forming a mixed phase TiN/TiSi film for semiconductor manufacture using metal organometallic precursors and organic silane
US5210254A (en) * 1992-03-31 1993-05-11 Union Carbide Chemicals & Plastics Technology Corporation Acidic halide neutralization in alkoxysilanes
US5593741A (en) * 1992-11-30 1997-01-14 Nec Corporation Method and apparatus for forming silicon oxide film by chemical vapor deposition
US5322712A (en) * 1993-05-18 1994-06-21 Air Products And Chemicals, Inc. Process for improved quality of CVD copper films
US5440173A (en) * 1993-09-17 1995-08-08 Radiant Technologies High-temperature electrical contact for making contact to ceramic materials and improved circuit element using the same
US5726294A (en) * 1993-11-12 1998-03-10 Florida State University Metalorganic chemical vapor deposition method for depositing F-series metal or nitrogen and metal amides for use in MOCVD
US5417823A (en) * 1993-12-17 1995-05-23 Ford Motor Company Metal-nitrides prepared by photolytic/pyrolytic decomposition of metal-amides
US6229168B1 (en) * 1994-01-13 2001-05-08 Rohm Co., Ltd. Ferroelectric capacitor and a method for manufacturing thereof
US5424095A (en) * 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
US5973911A (en) * 1994-07-29 1999-10-26 Texas Instruments Incorporated Ferroelectric thin-film capacitor
US5591483A (en) * 1994-08-31 1997-01-07 Wayne State University Process for the preparation of metal nitride coatings from single source precursors
US5616755A (en) * 1994-09-14 1997-04-01 Huels Aktiengesellschaft Process for preparing low-chloride or chloride-free aminofunctional organosilanes
US5679815A (en) * 1994-09-16 1997-10-21 Advanced Technology Materials, Inc. Tantalum and niobium reagents useful in chemical vapor deposition processes, and process for depositing coatings using the same
US5919522A (en) * 1995-03-31 1999-07-06 Advanced Technology Materials, Inc. Growth of BaSrTiO3 using polyamine-based precursors
US5705443A (en) * 1995-05-30 1998-01-06 Advanced Technology Materials, Inc. Etching method for refractory materials
US5889254A (en) * 1995-11-22 1999-03-30 General Electric Company Method and apparatus for Nd: YAG hardsurfacing
US5668054A (en) * 1996-01-11 1997-09-16 United Microelectronics Corporation Process for fabricating tantalum nitride diffusion barrier for copper matallization
US5955774A (en) * 1996-06-17 1999-09-21 Samsung Electronics Co., Ltd. Integrated circuit ferroelectric memory devices including resistors in periphery region
US6121647A (en) * 1996-06-26 2000-09-19 Tdk Corporation Film structure, electronic device, recording medium, and process of preparing ferroelectric thin films
US6794703B1 (en) * 1996-09-27 2004-09-21 Micron Technology, Inc. High pressure reoxidation/anneal of high dielectric constant
US5924012A (en) * 1996-10-02 1999-07-13 Micron Technology, Inc. Methods, complexes, and system for forming metal-containing films
US5744192A (en) * 1996-11-08 1998-04-28 Sharp Microelectronics Technology, Inc. Method of using water vapor to increase the conductivity of cooper desposited with cu(hfac)TMVS
US5876503A (en) * 1996-11-27 1999-03-02 Advanced Technology Materials, Inc. Multiple vaporizer reagent supply system for chemical vapor deposition utilizing dissimilar precursor compositions
US5719417A (en) * 1996-11-27 1998-02-17 Advanced Technology Materials, Inc. Ferroelectric integrated circuit structure
US5767301A (en) * 1997-01-21 1998-06-16 Sharp Microelectronics Technology, Inc. Precursor with (alkyloxy)(alkyl)-silylolefin ligand to deposit copper
US5962884A (en) * 1997-03-07 1999-10-05 Sharp Laboratories Of America, Inc. Single transistor ferroelectric memory cell with asymmetrical ferroelectric polarization and method of making the same
US6177135B1 (en) * 1997-03-31 2001-01-23 Advanced Technology Materials, Inc. Low temperature CVD processes for preparing ferroelectric films using Bi amides
US6337184B1 (en) * 1997-04-01 2002-01-08 Jeffrey B. Miller Molecular marker for muscle stem cells
US5820678A (en) * 1997-05-30 1998-10-13 The Regents Of The University Of California Solid source MOCVD system
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6204525B1 (en) * 1997-09-22 2001-03-20 Murata Manufacturing Co., Ltd. Ferroelectric thin film device and method of producing the same
US6072689A (en) * 1997-11-20 2000-06-06 Advanced Technology Materials, Inc. Ferroelectric capacitor and integrated circuit device comprising same
US5923970A (en) * 1997-11-20 1999-07-13 Advanced Technology Materials, Inc. Method of fabricating a ferrolelectric capacitor with a graded barrier layer structure
US6346741B1 (en) * 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6348412B1 (en) * 1998-04-28 2002-02-19 Micron Technology, Inc. Organometallic compound mixtures in chemical vapor deposition
US6060406A (en) * 1998-05-28 2000-05-09 Lucent Technologies Inc. MOS transistors with improved gate dielectrics
US6541375B1 (en) * 1998-06-30 2003-04-01 Matsushita Electric Industrial Co., Ltd. DC sputtering process for making smooth electrodes and thin film ferroelectric capacitors having improved memory retention
US6184550B1 (en) * 1998-08-28 2001-02-06 Advanced Technology Materials, Inc. Ternary nitride-carbide barrier layers
US7012292B1 (en) * 1998-11-25 2006-03-14 Advanced Technology Materials, Inc Oxidative top electrode deposition process, and microelectronic device structure
US6511856B2 (en) * 1999-03-08 2003-01-28 Advanced Technology Materials, Inc. Confinement of E-fields in high density ferroelectric memory device structures
US6342711B1 (en) * 1999-03-08 2002-01-29 Advanced Technology Materials, Inc. Confinement of E-fields in high density ferroelectric memory device structures
US6399208B1 (en) * 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
US6417369B1 (en) * 2000-03-13 2002-07-09 Advanced Technology Materials, Inc. Pyrazolate copper complexes, and MOCVD of copper using same
US6639080B2 (en) * 2000-03-13 2003-10-28 Advanced Technology Materials, Inc. Pyrazolate copper complexes, and MOCVD of copper using same
US6440202B1 (en) * 2000-03-13 2002-08-27 Advanced Technology Materials, Inc. Pyrazolate copper complexes, and MOCVD of copper using same
US6736993B1 (en) * 2000-04-18 2004-05-18 Advanced Technology Materials, Inc. Silicon reagents and low temperature CVD method of forming silicon-containing gate dielectric materials using same
US6593484B2 (en) * 2000-12-25 2003-07-15 Kabushikikaisha Kojundokagaku Kenkyusho Tantalum tertiary amylimido tris (dimethylamide), a process for producing the same, a solution of starting material for mocvd using the same, and a method of forming a tantalum nitride film using the same
US7084080B2 (en) * 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US20060148271A1 (en) * 2001-03-30 2006-07-06 Borovik Alexander S Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US6900498B2 (en) * 2001-05-08 2005-05-31 Advanced Technology Materials, Inc. Barrier structures for integration of high K oxides with Cu and Al electrodes
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20040215030A1 (en) * 2003-04-22 2004-10-28 Norman John Anthony Thomas Precursors for metal containing films
US7208427B2 (en) * 2003-08-18 2007-04-24 Advanced Technology Materials, Inc. Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing
US20080138984A1 (en) * 2004-05-10 2008-06-12 Praxair Technology, Inc. Organometallic Precursor Compounds
US7166732B2 (en) * 2004-06-16 2007-01-23 Advanced Technology Materials, Inc. Copper (I) compounds useful as deposition precursors of copper thin films
US7241912B2 (en) * 2004-06-16 2007-07-10 Advanced Technology Materials, Inc. Copper (I) compounds useful as deposition precursors of copper thin films
US7371880B2 (en) * 2004-06-16 2008-05-13 Advanced Technology Materials, Inc. Copper (I) compounds useful as deposition precursors of copper thin films

Cited By (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060108623A1 (en) * 1998-11-25 2006-05-25 Buskirk Peter C V Oxidative top electrode deposition process, and microelectronic device structure
US20060148271A1 (en) * 2001-03-30 2006-07-06 Borovik Alexander S Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US20060257697A1 (en) * 2005-05-11 2006-11-16 Schlumberger Technology Corporation Fuel cell apparatus and method for downhole power systems
US8383849B2 (en) 2005-05-16 2013-02-26 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride films
US8932675B2 (en) 2005-05-16 2015-01-13 Air Products And Chemicals, Inc. Methods for depositing silicon carbo-nitride film
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US20090069588A1 (en) * 2005-05-16 2009-03-12 Air Products And Chemicals, Inc. Precursors for CVD Silicon Carbo-nitride Films
US20060258173A1 (en) * 2005-05-16 2006-11-16 Manchao Xiao Precursors for CVD silicon carbo-nitride films
US20110165346A1 (en) * 2005-05-16 2011-07-07 Air Products And Chemicals, Inc. Precursors for CVD Silicon Carbo-Nitride Films
US9640386B2 (en) 2005-05-16 2017-05-02 Versum Materials Us, Llc Precursors for CVD silicon carbo-nitride films
US7932413B2 (en) 2005-05-16 2011-04-26 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride films
US20070111912A1 (en) * 2005-10-28 2007-05-17 Phenis Michael T Dynamic multi-purpose composition for the removal of photoresists and methods for its use
US8263539B2 (en) 2005-10-28 2012-09-11 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and methods for its use
US20090186793A1 (en) * 2005-10-28 2009-07-23 Phenis Michael T Dynamic multi-purpose composition for the removal of photoresists and method for its use
US9243218B2 (en) 2005-10-28 2016-01-26 Dynaloy, Llc Dynamic multipurpose composition for the removal of photoresists and method for its use
US20070275166A1 (en) * 2006-05-23 2007-11-29 Hareesh Thridandam Process for producing silicon oxide films from organoaminosilane precursors
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
EP1860690A3 (en) * 2006-05-23 2010-03-17 Air Products and Chemicals, Inc. Process for producing silicon oxide films from organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US8940648B2 (en) 2006-05-23 2015-01-27 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
EP1860690A2 (en) * 2006-05-23 2007-11-28 Air Products and Chemicals, Inc. Process for producing silicon oxide films from organoaminosilane precursors
US7858816B2 (en) 2007-01-18 2010-12-28 Advanced Technology Materials, Inc. Tantalum amido-complexes with chelate ligands useful for CVD and ALD of TaN and Ta205 thin films
US7750173B2 (en) 2007-01-18 2010-07-06 Advanced Technology Materials, Inc. Tantalum amido-complexes with chelate ligands useful for CVD and ALD of TaN and Ta205 thin films
US20090032952A1 (en) * 2007-01-18 2009-02-05 Advanced Technology Materials, Inc. TANTALUM AMIDO-COMPLEXES WITH CHELATE LIGANDS USEFUL FOR CVD AND ALD OF TaN AND Ta205 THIN FILMS
US20100164057A1 (en) * 2007-06-28 2010-07-01 Advanced Technology Materials, Inc. Precursors for silicon dioxide gap fill
US9337054B2 (en) 2007-06-28 2016-05-10 Entegris, Inc. Precursors for silicon dioxide gap fill
US10043658B2 (en) 2007-06-28 2018-08-07 Entegris, Inc. Precursors for silicon dioxide gap fill
US20100221503A1 (en) * 2008-06-24 2010-09-02 Dynaloy Llc Stripper solutions effective for back-end-of-line operations
US8440389B2 (en) 2008-06-24 2013-05-14 Dynaloy, Llc Stripper solutions effective for back-end-of-line operations
KR102285209B1 (en) * 2010-03-08 2021-08-02 버슘머트리얼즈 유에스, 엘엘씨 Methods and compositions for doping silicon substrates with molecular monolayers
US8906774B2 (en) 2010-03-08 2014-12-09 Dynaloy, Llc Methods and compositions for doping silicon substrates with molecular monolayers
US8466035B2 (en) 2010-03-08 2013-06-18 Dynaloy, Llc Methods and compositions for doping silicon substrates with molecular monolayers
KR20180094149A (en) * 2010-03-08 2018-08-22 버슘머트리얼즈 유에스, 엘엘씨 Methods and compositions for doping silicon substrates with molecular monolayers
WO2011112546A1 (en) * 2010-03-08 2011-09-15 Dynaloy, Llc Methods and compositions for doping silicon substrates with molecular monolayers
US8835290B2 (en) 2010-03-08 2014-09-16 Dynaloy, Llc Methods and compositions for doping silicon substrates with molecular monolayers
CN103189969A (en) * 2010-03-08 2013-07-03 戴纳洛伊有限责任公司 Methods and compositions for doping silicon substrates with molecular monolayers
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
CN103298973A (en) * 2010-12-09 2013-09-11 原子能及可替代能源委员会 Method for producing lithium-based layers by CVD
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8987181B2 (en) 2011-11-08 2015-03-24 Dynaloy, Llc Photoresist and post etch residue cleaning solution
US8853438B2 (en) 2012-11-05 2014-10-07 Dynaloy, Llc Formulations of solutions and processes for forming a substrate including an arsenic dopant
US20210087683A1 (en) * 2019-09-25 2021-03-25 Soulbrain Co., Ltd. Method for forming thin film
US20220275511A1 (en) * 2019-09-25 2022-09-01 Soulbrain Co., Ltd. Method for forming thin film
US11746411B2 (en) * 2019-09-25 2023-09-05 Soulbrain Co., Ltd. Method for forming thin film

Also Published As

Publication number Publication date
US7084080B2 (en) 2006-08-01
WO2003084969A1 (en) 2003-10-16
US20020180028A1 (en) 2002-12-05
AU2003220530A1 (en) 2003-10-20

Similar Documents

Publication Publication Date Title
US7084080B2 (en) Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US20060148271A1 (en) Silicon source reagent compositions, and method of making and using same for microelectronic device structure
KR102474876B1 (en) Tungsten precursor and Method of forming a tungsten-containing layer using the same
US9905414B2 (en) Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
EP2860182B1 (en) Organoaminosilane precursors and methods for depositing films comprising same
EP2392691B1 (en) Organoaminosilane precursors and methods for depositing films comprising the same
KR101506940B1 (en) Halogenated organoaminosilane precursors and methods for depositing films comprising same
US8771807B2 (en) Organoaminosilane precursors and methods for making and using same
EP2261389B1 (en) Method of forming high-k dielectric films based on novel zirconium, and hafnium precursors and their use for semiconductor manufacturing
US7887883B2 (en) Composition and method for low temperature deposition of silicon-containing films
US8318966B2 (en) Organometallic compounds
TWI454589B (en) Group 4 metal precursor for metal-containing films
JPH03504618A (en) Thermal deposition of silicon nitride and silicon dioxide films onto substrates
US20110206863A1 (en) Organometallic compounds having sterically hindered amides
US6774038B2 (en) Organometal complex and method of depositing a metal silicate thin layer using same
JP2001181288A (en) Metal alkoxide compound for chemical vapor deposition and compound metal oxide thin film using the same

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION