US20060124169A1 - Gas supply unit, substrate processing apparatus, and supply gas setting method - Google Patents

Gas supply unit, substrate processing apparatus, and supply gas setting method Download PDF

Info

Publication number
US20060124169A1
US20060124169A1 US11/296,209 US29620905A US2006124169A1 US 20060124169 A1 US20060124169 A1 US 20060124169A1 US 29620905 A US29620905 A US 29620905A US 2006124169 A1 US2006124169 A1 US 2006124169A1
Authority
US
United States
Prior art keywords
gas supply
supply unit
additional gas
gas
pressure ratio
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/296,209
Inventor
Kenetsu Mizusawa
Keiki Ito
Masahide Itoh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2004357292A external-priority patent/JP4358727B2/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US11/296,209 priority Critical patent/US20060124169A1/en
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ITO, KEIKI, ITOH, MASAHIDE, MIZUSAWA, KENETSU
Publication of US20060124169A1 publication Critical patent/US20060124169A1/en
Priority to US12/651,165 priority patent/US8906193B2/en
Priority to US13/691,125 priority patent/US9441791B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D1/00Pipe-line systems
    • F17D1/02Pipe-line systems for gases or vapours
    • F17D1/04Pipe-line systems for gases or vapours for distribution of gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D11/00Control of flow ratio
    • G05D11/02Controlling ratio of two or more flows of fluid or fluent material
    • G05D11/13Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means
    • G05D11/131Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means by measuring the values related to the quantity of the individual components
    • G05D11/132Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means by measuring the values related to the quantity of the individual components by controlling the flow of the individual components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • Y10T137/0329Mixing of plural fluids of diverse characteristics or conditions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • Y10T137/0329Mixing of plural fluids of diverse characteristics or conditions
    • Y10T137/0335Controlled by consistency of mixture
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • Y10T137/0329Mixing of plural fluids of diverse characteristics or conditions
    • Y10T137/0352Controlled by pressure
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/2496Self-proportioning or correlating systems
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87265Dividing into parallel flow paths with recombining
    • Y10T137/87338Flow passage with bypass
    • Y10T137/87346Including mixing feature

Definitions

  • the present invention relates to a gas supply unit for supplying a gas to a processing chamber, a substrate processing apparatus connected to the gas supply unit and a supply gas setting method.
  • a film forming process for forming a conductive film or an insulating film on the surface of a substrate an etching process for etching a film formed on the substrate and the like.
  • a plasma etching apparatus is widely employed in the etching process, wherein the plasma etching apparatus includes a processing chamber for accommodating therein a substrate.
  • the processing chamber In the processing chamber, there are installed a lower electrode for mounting the substrate thereon and a shower head, also serving as an upper electrode, for injecting a gas onto the substrate mounted on the lower electrode.
  • a radio frequency power is applied between the electrodes. Accordingly, a plasma is generated in the processing chamber and a film formed on the substrate is etched by the plasma.
  • etching characteristics such as an etching rate and an etching selectivity are influenced by a concentration of a gas supplied onto the substrate.
  • concentration of a gas supplied onto the substrate it has been a major challenge to improve a uniformity of etching in the surface of the substrate by making the etching characteristics uniform on the surface of the substrate.
  • the gaseous mixture for use in the etching process contains various gases, for example, an etching gas, a gas for controlling deposits of reaction products, a carrier gas such as an inert gas, that are chosen depending on a material to be etched, process conditions and the like.
  • gases for example, an etching gas, a gas for controlling deposits of reaction products, a carrier gas such as an inert gas, that are chosen depending on a material to be etched, process conditions and the like.
  • a gas introduction line is connected to lines communicating with multiple gas supply sources and, further, a mass flow controller is provided in each line.
  • a piping structure of a gas supply system becomes complicated and a control of gas flow rate is also complicated in each line. Therefore, for example, a large piping space is required, which in turn increases the expense of an apparatus control system.
  • the present invention has been conceived from the above drawbacks; and it is, therefore, an object of the present invention to provide a gas supply unit capable of realizing a simple piping configuration when supplying optional gaseous mixtures to a plurality of places in a processing chamber in a substrate processing apparatus such as an etching apparatus, a substrate processing apparatus including a processing chamber connected to the gas supply unit and a supply gas setting method employing the gas supply unit.
  • a gas supply unit for supplying a gas into a processing chamber in which a substrate is processed, the gas supply unit including a plurality of gas supply sources; a mixing line for mixing a plurality of gases supplied from the gas supply sources to make a gaseous mixture; a multiplicity of branch lines for branching the gaseous mixture to be supplied to a multiplicity of places in the processing chamber; and an additional gas supply unit for supplying a specified additional gas to a gaseous mixture flowing in at least one branch line.
  • gases from a plurality of the gas supply sources are mixed in the mixing line to be branched into a multiplicity of the branch lines. Further, a specified additional gas is added to a specific branch line to adjust components or their flow rates in the gaseous mixture.
  • the gaseous mixture from the mixing line is supplied to the processing chamber as it is.
  • a gaseous mixture containing common components is produced in, e.g., the mixing line and components and their flow rates in the gaseous mixture are adjusted in each branch line when necessary.
  • the number of lines needed is minimized.
  • optional gaseous mixtures are supplied to a plurality of places in the processing chamber by a simple piping configuration.
  • the gas supply unit may include pressure gauges and valves for adjusting gas flow rates in the branch lines, respectively, and a pressure ratio controller for controlling that gaseous mixtures branched into the branch lines to have a specified pressure ratio by adjusting opening degrees of the valves based on measurement results obtained by using the pressure gauges.
  • a pressure ratio partial pressure ratio
  • a substrate processing apparatus including a processing chamber accommodating therein a substrate; a plurality of gas supply sources; a mixing line for mixing a plurality of gases supplied from the gas supply sources to make a gaseous mixture; a multiplicity of branch lines for branching the gaseous mixture to be supplied to a multiplicity of places in the processing chamber; and an additional gas supply unit for supplying a specified additional gas to a gaseous mixture flowing in at least one branch line.
  • a supply gas setting method using the gas supply unit which includes a plurality of gas supply sources; a mixing line for mixing a plurality of gases supplied from the gas supply sources to make a gaseous mixture; a multiplicity of branch lines for branching the gaseous mixture to be supplied to a multiplicity of places in the processing chamber; an additional gas supply unit for supplying a specified additional gas to a gaseous mixture flowing in at least one branch line; and valves and pressure gauges for adjusting gas flow rates in the branch lines, respectively, the method including the following sequential steps of controlling a pressure ratio of the gaseous mixtures branched into the branch lines to be a specified pressure ratio by adjusting the valves under a condition in which the additional gas is not supplied to said at least one branch line from the additional gas supply unit and, then, fixing opening degrees of the valves of the branch lines to values obtained under the condition; and supplying an additional gas of a specified flow rate to said at least one branch line from the additional gas supply unit.
  • a piping space and a cost of controlling flow rates can be reduced by a simple piping configuration.
  • FIG. 1 is a longitudinal sectional view showing a schematic configuration of a plasma etching apparatus
  • FIG. 2 shows a cross sectional view of an inner upper electrode
  • FIG. 3 explains a schematic configuration of a gas supply unit
  • FIG. 4 is a flowchart for setting a supply gas
  • FIG. 5 shows a schematic configuration of a gas supply unit for supplying gaseous mixtures to three places in a processing chamber
  • FIG. 6 shows a schematic configuration of a gas supply unit for supplying a gaseous mixture from a side surface portion of a processing chamber.
  • FIG. 1 is a longitudinal sectional view showing a schematic configuration of a plasma etching apparatus 1 serving as a substrate processing apparatus including a gas supply unit in accordance with the preferred embodiment of the present invention.
  • the plasma etching apparatus 1 is a capacitively coupled plasma etching apparatus having a parallel plate type electrode structure.
  • the plasma etching apparatus 1 includes an approximately cylindrical processing chamber 10 that is grounded.
  • the processing chamber 10 is formed of, e.g., aluminum alloy and the inner wall surface thereof is covered by an alumina film or an yttrium oxide film.
  • a cylindrical susceptor supporting table 14 is disposed in a central bottom portion of the processing chamber 10 via an insulating plate 12 .
  • a susceptor 16 serving as a mounting table, for mounting thereon a wafer W, i.e., a substrate, is disposed on the susceptor supporting table 14 . Further, the susceptor 16 also serves as a lower electrode of the parallel plate type electrode structure and is formed of, e.g., aluminum alloy.
  • An electrostatic chuck 18 for holding the wafer. W is disposed on the susceptor 16 , and the electrostatic chuck 18 has an electrode 20 therein.
  • a DC power supply 22 is electrically connected to the electrode 20 .
  • the wafer W can be adsorbed on the top surface of the susceptor 16 by Coulomb force generated by a DC voltage applied to the electrode 20 from the DC power supply 22 .
  • a focus ring 24 is disposed on the susceptor 16 to surround the electrostatic chuck 18 .
  • a cylindrical inner wall member 26 formed of, e.g., quartz is attached to an outer peripheral surface of the susceptor 16 and the susceptor supporting table 14 .
  • An annular coolant chamber 28 is formed inside the susceptor supporting table 14 .
  • the coolant chamber 28 communicates with a chiller unit (not shown) installed outside the processing chamber 10 via lines 30 a and 30 b .
  • a coolant or cooling water is supplied into the coolant chamber 28 through the lines 30 a and 30 b to be circulated therein, thereby controlling the temperature of the wafer W on the susceptor 16 .
  • a gas supply line 32 passing through the susceptor 16 and the susceptor supporting table 14 reaches a top surface of the electrostatic chuck 18 , whereby a thermally conductive gas such as He gas can be supplied between the wafer W and the electrostatic chuck 18 .
  • An upper electrode 34 is disposed above the susceptor 16 to face it in parallel.
  • a plasma generation space PS is formed between the susceptor 16 and the upper electrode 34 .
  • the upper electrode 34 includes an annular outer upper electrode 36 and an inner upper electrode 38 of a circular plate that is disposed inwardly from the outer upper electrode 36 .
  • An annular dielectric material 42 is interposed between the outer upper electrode 36 and the inner upper electrode 38 .
  • An annular insulating shield member 44 formed of, e.g., alumina is airtightly interposed between the outer upper electrode 36 and an inner peripheral wall of the processing chamber 10 .
  • a first radio frequency power supply 54 is electrically connected to the outer upper electrode 36 via a matching unit 46 , an upper power supply rod 48 , a connector 50 and a power supply case 52 .
  • the first radio frequency power supply 54 can output a radio frequency voltage having a frequency equal to or larger than 40 MHz, e.g., a frequency of 60 MHz.
  • the power supply case 52 is shaped like, for example, a cylinder with its bottom surface removed. A lower end portion of the power supply case 52 is connected to the outer upper electrode 36 . A central portion of the top surface of the power supply case 52 is electrically connected to a lower end portion of the upper power supply rod 48 via the connector 50 . An upper end portion of the upper power supply rod 48 is connected to an output side of the matching unit 46 .
  • the matching unit 46 is connected to the first radio frequency power supply 54 , thereby matching an inner impedance of the first radio frequency power supply 54 with a load impedance.
  • the power supply case 52 is surrounded by a cylindrical ground conductor 10 a whose sidewall has a same diameter as a sidewall of the processing chamber 10 .
  • a lower end portion of the ground conductor 10 a is connected to an upper portion of the sidewall of the processing chamber 10 .
  • the upper power supply rod 48 passes through a central portion of the top surface of the ground conductor 10 a , and an insulation member 56 is interposed in a portion where the upper power supply rod 48 is in contact with the ground conductor 10 a.
  • the inner upper electrode 38 functions as a shower head for injecting a specified gaseous mixture toward the wafer W mounted on the susceptor 16 .
  • the inner upper electrode 38 includes a circular electrode plate 60 having a plurality of gas injection openings 60 a and an electrode supporting member 62 capable of supporting the electrode plate 60 by being attached to or detached from the top surface of the electrode plate 60 .
  • the electrode supporting member 62 is shaped as a disc having a same diameter as the electrode plate 60 , and a circular buffer space 63 is formed inside the electrode supporting member 62 . In the buffer space 63 , for example, as shown in FIG.
  • an annular partition member 64 formed of an O-ring is disposed, whereby the buffer space 63 is divided into a first buffer space 63 a of a central side and a second buffer space 63 b of an outer peripheral side.
  • the first and the second buffer space 63 a and 63 b face a central portion and an outer peripheral portion of the wafer W loaded on the susceptor 16 , respectively.
  • the gas injection openings 60 a are formed in the bottom surfaces of the buffer spaces 63 a and 63 b to communicate with the plasma generation space, whereby specified gaseous mixtures can be injected through the first and second buffer spaces 63 a and 63 b toward the central portion and the outer peripheral portion of the wafer W, respectively.
  • a gas supply unit 100 for supplying a specified gaseous mixture to each chamber in the buffer space 63 will be described later.
  • a lower power supply case 70 coupled to the upper power supply rod 48 is electrically connected to the top surface of the electrode supporting member 62 .
  • a variable condenser 72 is installed in the lower power supply case 70 .
  • the variable condenser 72 can adjust a relative ratio between an intensity of an electric field formed right under the outer upper electrode 36 and that formed right under the inner upper electrode 38 , which are generated by a radio frequency voltage from the first radio frequency power supply 54 .
  • a gas exhaust port 74 is formed in a bottom portion of the processing chamber 10 .
  • the gas exhaust port 74 is connected to the gas exhaust unit 78 including a vacuum pump and the like via a gas exhaust pipe 76 .
  • the processing chamber 10 can be depressurized to a desired vacuum level by using the gas exhaust unit 78 .
  • a second radio frequency power supply 82 is electrically connected to the susceptor 16 via a matching unit 80 .
  • the second radio frequency power supply 82 can output a radio frequency voltage having a frequency ranging from, e.g., 2 MHz to 20 MHz, for example, a frequency of 20 MHz.
  • a low pass filter 84 for passing a radio frequency wave generated from the second radio frequency power supply 82 to ground by shielding a radio frequency wave generated from the first radio frequency power supply 54 .
  • a high pass filter 86 for passing a radio frequency wave generated from the first radio frequency power supply 54 to ground.
  • the plasma etching apparatus 1 includes an apparatus controller 90 for controlling operations of various components such as the DC power supply 22 , the first radio frequency power supply 54 and the second radio frequency power supply 82 to perform an etching.
  • the gas supply unit 100 includes a first gas box 111 accommodating plural, e.g., three, gas supply sources 110 a , 110 b and 110 c and a second gas box 113 accommodating plural, e.g., two, additional gas supply sources 112 a and 112 b .
  • the gas supply source 110 a is sealed to contain therein fluorocarbon-based fluorine compound serving as an etching gas such as C x F y gas (e.g., CF 4 , C 4 F 6 , C 4 F 8 and C 5 F 8 );
  • the gas supply source 110 b is sealed to contain therein a gas for controlling deposits of CF-based reaction products, e.g., O 2 gas;
  • the gas supply source 110 c is sealed to contain therein a rare gas serving as a carrier gas, e.g., an Ar gas.
  • the additional gas supply source 112 a is sealed to contain therein, e.g., C x F y gas capable of accelerating an etching
  • the additional gas supply source 112 b is sealed to contain therein, e.g., O 2 gas capable of controlling deposits of CF-based reaction products.
  • a mixing line 120 in which various gases from the gas supply sources 110 a , 110 b and 110 c are combined to be mixed is connected to each of the gas supply sources 110 a , 110 b and 110 c of the first gas box 111 .
  • mass flow controllers 121 are installed for the gas supply sources 110 a to 110 c , respectively, to control flow rates of gases supplied from the gas supply sources 110 a to 110 c .
  • the mixing line 120 is coupled to a first branch line 122 and a second branch line 123 for branching a gaseous mixture that is mixed in the mixing line 120 .
  • the first branch line 122 is connected to the first buffer space 63 a in the inner upper electrode 38 of the processing chamber 10 .
  • the second branch line 123 is connected to the second buffer space 63 b in the inner upper electrode 38 .
  • a pressure control unit 124 is installed in the first branch line 122 .
  • a pressure control unit 125 is installed in the second branch line 123 .
  • the pressure control unit 124 is provided with a pressure gauge 124 a and a valve 124 b .
  • the pressure control unit 125 is provided with a pressure gauge 125 a and a valve 125 b . Measurement results respectively obtained by the pressure gauges 124 a and 125 a of the pressure control units 124 and 125 can be outputted to a pressure ratio controller 126 .
  • the pressure ratio controller 126 can control a pressure ratio, i.e., a flow rate ratio of gaseous mixtures branched into the first branch line 122 and the second branch line 123 by adjusting respective opening degrees of the valves 124 b and 125 b based on the measurement results obtained by using the pressure gauges 124 a and 125 a . Further, when setting a supply gas, while an additional gas is not supplied to the second branch line 123 from a second gas box 113 which will be described later, the pressure ratio controller 126 controls a pressure ratio of the gaseous mixtures flowing in the first branch line 122 and the second branch line 123 to be a target pressure ratio and fixes respective opening degrees of the valves 124 b and 125 b to values obtained under this condition.
  • a pressure ratio i.e., a flow rate ratio of gaseous mixtures branched into the first branch line 122 and the second branch line 123 by adjusting respective opening degrees of the valves 124 b and 125 b based on
  • An additional gas supply line 130 communicating with, e.g., the second branch line 123 is connected to each of additional gas supply sources 112 a and 112 b of the second gas box 113 .
  • respective lines of the additional gas supply line 130 connected to the additional gas supply sources 112 a and 112 b are combined in the middle thereof and then connected to the second branch line 123 .
  • the additional gas supply line 130 is connected to a downstream side of the pressure control unit 125 .
  • mass flow controllers 131 are installed for the additional gas supply sources 112 a and 112 b , respectively, to control flow rates of additional gases supplied from the additional gas supply sources 112 a and 112 b .
  • an additional gas that is chosen among gases from the second gas box 113 or obtained by mixing the gases can be supplied to the second branch line 123 .
  • an additional gas supply unit includes the second gas box 113 , the additional gas supply sources 112 a and 112 b , the additional gas supply line 130 and the mass flow controller 131 .
  • the operations of the mass flow controllers 121 in the first gas box 111 and the mass flow controllers 131 in the second gas box 113 are controlled by, e.g., the apparatus controller 90 of the plasma etching apparatus 1 .
  • various gases from the first gas box 111 and the second gas box 113 can be started or stopped to be supplied under the control of the apparatus controller 90 which also controls respective flow rates thereof.
  • FIG. 4 is a flowchart for setting components or their flow rates in gaseous mixtures to be supplied into the processing chamber 10 .
  • a preset gas in the first gas box 111 flows at a specified flow rate in the mixing line 120 based on instruction signals of the apparatus controller 90 (step S 1 in FIG. 4 ).
  • the C x F y gas, O 2 gas and Ar gas which are supplied at specified flow rates from the gas supply sources 110 a to 110 c , respectively, are mixed in the mixing line 120 , thereby producing a gaseous mixture containing C x F y gas, O 2 gas and Ar gas having a specified mixing ratio.
  • the pressure ratio controller 126 controls opening degrees of the valves 124 b and 125 b based on the measurement results obtained by the pressure gauges 124 a and 125 a , whereby a pressure ratio of gaseous mixtures respectively flowing in the first branch line 122 and the second branch line 123 is adjusted to be a target pressure ratio (step S 2 in FIG. 4 ). Accordingly, the components (mixing ratio) and their flow rates in the gaseous mixture supplied into the first buffer space 63 a through the first branch line 122 are set.
  • the same gas as the gaseous mixture supplied to the first buffer space 63 a i.e., a gaseous mixture for etching, is supplied into the second buffer space 63 b through the second branch line 123 .
  • step S 3 in FIG. 4 the opening degrees of the valves 124 b and 125 b of the pressure control units 124 and 125 are fixed by the pressure ratio controller 126 (step S 3 in FIG. 4 ).
  • a preset additional gas in the second gas box 113 flows at a specified flow rate in the additional gas supply line 130 (step S 4 in FIG. 4 ).
  • An instruction signal for starting the supply of the additional gas from the second gas box 113 is sent after a setting time that is set in advance in the apparatus controller 90 elapses.
  • the C x F y gas e.g., CF 4 gas
  • the C x F y gas capable of accelerating an etching is supplied at a specified flow rate from, e.g., the additional gas supply source 112 a to flow through the additional gas supply line 130 which is combined with the second branch line 123 .
  • the second buffer space 63 b communicating with the second branch line 123 is supplied with a gaseous mixture containing a larger amount of CF 4 gas than the gaseous mixture supplied to the first buffer space 63 a . In this manner, the components and their flow rates in the gaseous mixture supplied into the second buffer space 63 b are set.
  • the gaseous mixture from the first buffer space 63 a is supplied to the central portion of the wafer W on the susceptor 16 and the gaseous mixture containing plenty of CF 4 gas from the second buffer space 63 b is supplied to the outer peripheral portion of the wafer W. Accordingly, the etching characteristics of the outer peripheral portion of the wafer W are adjusted relatively to those of the central portion of the wafer W, thereby achieving uniform etching characteristics on the surface of the wafer W.
  • plural kinds of gases from the first gas box are mixed to make a gaseous mixture in the mixing line 120 and, then, the gaseous mixture are branched into the first branch line 122 and the second branch line 123 , which are supplied into the first and the second buffer space 63 a and 63 b of the processing chamber 10 , respectively.
  • the additional gas for adjusting the etching characteristics is supplied to the second branch line 123 , and the second buffer space 63 b is supplied with a gaseous mixture having components and flow rates different from those in the first buffer space 63 a . Therefore, components and their flow rates in gaseous mixtures supplied into the first and the second buffer space 63 a and 63 b can be optionally adjusted by a simple piping configuration.
  • flow rates in the first and the second branch line 122 and 123 are respectively adjusted by the pressure control units 124 and 125 , even if the pressure of the gas supply source is very low as in the plasma etching apparatus 1 , gas flow rates in supply lines can be adequately adjusted.
  • CF 4 gas is supplied into the second branch line 123 to accelerate an etching.
  • O 2 gas may be supplied to the second branch line 123 to remove CF-based reaction products. Further, it is possible to feed a gaseous mixture containing CF 4 gas and O 2 gas having a specified mixing ratio into the second branch line 123 .
  • a timing of supplying the additional gas from the second gas box 113 to the second branch line 123 is preset based on the setting time of the apparatus controller 90 in the preferred embodiment. However, it is also possible to start supplying the additional gas in the manner that the apparatus controller 90 monitors the measurement results obtained by the pressure gauges 124 a and 125 a via the pressure ratio controller 126 and sends an instruction signal to the second gas box 113 when a stable, desired target pressure ratio is achieved.
  • the additional gas supply sources 112 a and 112 b of the second gas box 113 may be connected to the first branch line 122 via the additional gas supply line 130 . By doing this, components or flow rates in the gaseous mixture supplied to the first buffer space 63 can be minutely controlled when necessary.
  • additional gas supply sources for supplying CF 4 gas and O 2 gas are installed in the second gas box 113 in the preferred embodiment
  • additional gas supply sources may supply other additional gases capable of accelerating or suppressing an etching, e.g., C x H y F z gas such as CHF 3 , CH 2 F 2 , CH 3 F for accelerating an etching, N 2 gas or CO gas for controlling CF-based reaction products, Xe gas or He gas for a dilution gas and the like.
  • the number or kinds of gases accommodated in the first and the second gas box 111 and 113 can be optionally chosen depending on a material to be etched, process conditions and the like.
  • the gas supply unit 100 supplies gaseous mixtures to two places, i.e., the first and the second buffer space 63 a and 63 b , in the processing chamber 10 in the preferred embodiment, but gaseous mixtures may be supplied to three places or more in the processing chamber 10 .
  • FIG. 5 shows such an example, wherein the inner upper electrode 38 includes a buffer space 63 having three buffer spaces concentrically disposed. That is, an annular third buffer space 63 c is formed further outside the second buffer space 63 b of the inner upper electrode 38 .
  • the mixing line 120 is branched into the first and the second branch line 122 and 123 and, further, a third branch line 150 .
  • the third branch line 150 is connected to the third buffer space 63 c .
  • the third branch lime 150 is provided with a pressure control unit 151 , a pressure gauge 151 a and a valve 151 b .
  • the gas supply unit 100 in this example is provided with a third gas box 152 for supplying a specified additional gas to the third branch line 150 .
  • the third gas box 152 has a same configuration as the second gas box 113 and includes an additional gas supply source 153 a of CF 4 gas and an additional gas supply source 153 b of O 2 gas. Both of the additional gas supply sources 153 a and 153 b are connected to the third branch line 150 via an additional gas supply line 154 .
  • the additional gas supply line 154 Provided in the additional gas supply line 154 are mass flow controllers 155 for the additional gas supply sources 153 a and 153 b , respectively. Further, the other configuration is same as in the above-mentioned preferred embodiment and, thus, the description thereof will be omitted.
  • gases from, e.g., the gas supply sources 110 a to 110 c of the first gas box 111 are supplied into the mixing line 120 to be mixed therein, thereby producing a gaseous mixture.
  • the gaseous mixture is branched into three branch lines 122 , 123 and 150 .
  • the gas pressure ratio of the branch lines 122 , 123 and 150 is adjusted to be a specified target pressure ratio by the pressure ratio controller 126 and, then, opening degrees of the valves 124 b , 125 b and 151 b are fixed.
  • components and their flow rates in the gaseous mixture to be supplied to the first buffer space 63 a communicating with the first branch line 122 are set. Thereafter, an additional gas of a specified kind is supplied at a specified flow rate into the second branch line 123 from the second gas box 113 via the additional gas supply line 130 . Further, an additional gas of a specified kind is supplied at a specified flow rate into the third branch line 150 from the third gas box 152 via the additional gas supply line 154 . Accordingly, the components and flow rates in the gaseous mixtures supplied into the second and the third buffer space 63 b and 63 c are set. Also in this case, optional gaseous mixtures can be supplied into three places in the processing chamber 10 by a simple piping configuration.
  • the gaseous mixtures supplied from the gas supply unit 100 are injected toward the wafer W through an upper portion of the processing chamber 10 .
  • the gaseous mixtures may be injected through another portion of the processing chamber 10 , e.g., a side surface portion of the plasma generation space PS in the processing chamber 10 .
  • the third branch line 150 is connected to both side surfaces of the processing chamber 10 and the gaseous mixtures are injected into the plasma generation space PS from nozzles connected to the both side surfaces of the processing chamber 10 .
  • specified gaseous mixtures can be supplied through an upper portion and a side portion of the plasma generation space PS, respectively. Therefore, a gas concentration in the plasma generation space PS can be adjusted, whereby an in-surface uniformity of an etching characteristic can be further improved on the wafer.
  • a flow rate of the branch line is adjusted by a pressure control unit in the preferred embodiment, it is possible to employ a mass flow controller.
  • the gas supply unit 100 described in the preferred embodiment is for supplying the gaseous mixture to the plasma etching apparatus 1
  • the present invention can be applied to another substrate processing apparatus into which a gaseous mixture is supplied, e.g., a film forming apparatus such as a plasma CVD apparatus, a sputtering device and a thermal oxidation apparatus.
  • the present invention can be also applied to an apparatus for processing a substrate other than a wafer, e.g., FPD (flat panel display) and a mask reticle for photomask, and MEMS (Micro Electro Mechanical System) manufacturing apparatus and the like.
  • FPD flat panel display
  • MEMS Micro Electro Mechanical System

Abstract

A gas supply unit, for supplying a gas into a processing chamber in which a substrate is processed, includes a plurality of gas supply sources, a mixing line for mixing a plurality of gases supplied from the gas supply sources to make a gaseous mixture, a multiplicity of branch lines for branching the gaseous mixture to be supplied to a multiplicity of places in the processing chamber, and an additional gas supply unit for supplying a specified additional gas to a gaseous mixture flowing in at least one branch line. The gas supply unit also includes pressure gauges and valves for adjusting gas flow rates in the branch lines, respectively, and a pressure ratio controller for controlling that gaseous mixtures branched into the branch lines to have a specified pressure ratio by adjusting opening degrees of the valves based on measurement results obtained by using the pressure gauges.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS:
  • This document claims priority to Japanese Patent Application Number 2004-357292, filed Dec. 9, 2004 and U.S. Provisional Application No. 60/639,795, filed Dec. 29, 2004, the entire content of which are hereby incorporated by reference.
  • FIELD OF THE INVENTION
  • The present invention relates to a gas supply unit for supplying a gas to a processing chamber, a substrate processing apparatus connected to the gas supply unit and a supply gas setting method.
  • BACKGROUND OF THE INVENTION
  • In a manufacturing process of an electric device such as a semiconductor device or a liquid crystal display device, there are performed a film forming process for forming a conductive film or an insulating film on the surface of a substrate, an etching process for etching a film formed on the substrate and the like.
  • For example, a plasma etching apparatus is widely employed in the etching process, wherein the plasma etching apparatus includes a processing chamber for accommodating therein a substrate. In the processing chamber, there are installed a lower electrode for mounting the substrate thereon and a shower head, also serving as an upper electrode, for injecting a gas onto the substrate mounted on the lower electrode. In the etching process, while a specified gaseous mixture is injected through the shower head, a radio frequency power is applied between the electrodes. Accordingly, a plasma is generated in the processing chamber and a film formed on the substrate is etched by the plasma.
  • However, etching characteristics such as an etching rate and an etching selectivity are influenced by a concentration of a gas supplied onto the substrate. Further, conventionally, it has been a major challenge to improve a uniformity of etching in the surface of the substrate by making the etching characteristics uniform on the surface of the substrate. Thus, there is proposed a technique of dividing an inner space of the shower head into a plurality of gas chambers, wherein each gas chamber is independently connected to an individual gas introduction line such that a gaseous mixture containing gases whose kinds and flow rates are optionally chosen based on the necessity can be supplied to each portion in the surface of the substrate (see, e.g., Reference 1). Consequently, a partial gas concentration on a small part in the surface of the substrate can be locally controlled to thereby improve an etching uniformity on the surface of the substrate.
  • However, the gaseous mixture for use in the etching process contains various gases, for example, an etching gas, a gas for controlling deposits of reaction products, a carrier gas such as an inert gas, that are chosen depending on a material to be etched, process conditions and the like. Accordingly, for example, when an inner space of the shower head is divided into a plurality of gas chambers and a gas introduction line is independently connected to each of the gas chambers, as shown in FIG. 1 of Reference 2, each gas introduction line is connected to lines communicating with multiple gas supply sources and, further, a mass flow controller is provided in each line. Thus, a piping structure of a gas supply system becomes complicated and a control of gas flow rate is also complicated in each line. Therefore, for example, a large piping space is required, which in turn increases the expense of an apparatus control system.
  • [Reference 1] Japanese Patent Laid-open Application No. 8-158072
  • [Reference 2] Japanese Patent Laid-open Application No. 9-45624
  • SUMMARY OF THE INVENTION
  • The present invention has been conceived from the above drawbacks; and it is, therefore, an object of the present invention to provide a gas supply unit capable of realizing a simple piping configuration when supplying optional gaseous mixtures to a plurality of places in a processing chamber in a substrate processing apparatus such as an etching apparatus, a substrate processing apparatus including a processing chamber connected to the gas supply unit and a supply gas setting method employing the gas supply unit.
  • To achieve the object, in accordance with one aspect of the present invention, there is provided a gas supply unit for supplying a gas into a processing chamber in which a substrate is processed, the gas supply unit including a plurality of gas supply sources; a mixing line for mixing a plurality of gases supplied from the gas supply sources to make a gaseous mixture; a multiplicity of branch lines for branching the gaseous mixture to be supplied to a multiplicity of places in the processing chamber; and an additional gas supply unit for supplying a specified additional gas to a gaseous mixture flowing in at least one branch line.
  • In accordance with the present invention, gases from a plurality of the gas supply sources are mixed in the mixing line to be branched into a multiplicity of the branch lines. Further, a specified additional gas is added to a specific branch line to adjust components or their flow rates in the gaseous mixture. In a branch line without being supplied with the additional gas, the gaseous mixture from the mixing line is supplied to the processing chamber as it is. In this case, a gaseous mixture containing common components is produced in, e.g., the mixing line and components and their flow rates in the gaseous mixture are adjusted in each branch line when necessary. Thus, the number of lines needed is minimized. As a result, optional gaseous mixtures are supplied to a plurality of places in the processing chamber by a simple piping configuration.
  • The gas supply unit may include pressure gauges and valves for adjusting gas flow rates in the branch lines, respectively, and a pressure ratio controller for controlling that gaseous mixtures branched into the branch lines to have a specified pressure ratio by adjusting opening degrees of the valves based on measurement results obtained by using the pressure gauges. In this case, since the flow rate in the branch line is controlled on the basis of a pressure ratio (partial pressure ratio), for example, even though a pressure in the branch line is low, the flow rate in the branch line can be adequately controlled.
  • In accordance with another aspect of the present invention, there is provided a substrate processing apparatus, including a processing chamber accommodating therein a substrate; a plurality of gas supply sources; a mixing line for mixing a plurality of gases supplied from the gas supply sources to make a gaseous mixture; a multiplicity of branch lines for branching the gaseous mixture to be supplied to a multiplicity of places in the processing chamber; and an additional gas supply unit for supplying a specified additional gas to a gaseous mixture flowing in at least one branch line.
  • In accordance with still another aspect of the present invention, there is provided a supply gas setting method using the gas supply unit which includes a plurality of gas supply sources; a mixing line for mixing a plurality of gases supplied from the gas supply sources to make a gaseous mixture; a multiplicity of branch lines for branching the gaseous mixture to be supplied to a multiplicity of places in the processing chamber; an additional gas supply unit for supplying a specified additional gas to a gaseous mixture flowing in at least one branch line; and valves and pressure gauges for adjusting gas flow rates in the branch lines, respectively, the method including the following sequential steps of controlling a pressure ratio of the gaseous mixtures branched into the branch lines to be a specified pressure ratio by adjusting the valves under a condition in which the additional gas is not supplied to said at least one branch line from the additional gas supply unit and, then, fixing opening degrees of the valves of the branch lines to values obtained under the condition; and supplying an additional gas of a specified flow rate to said at least one branch line from the additional gas supply unit.
  • In accordance with the present invention, a piping space and a cost of controlling flow rates can be reduced by a simple piping configuration.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The above and other objects and features of the present invention will become apparent from the following description of preferred embodiments given in conjunction with the accompanying drawings, in which:
  • FIG. 1 is a longitudinal sectional view showing a schematic configuration of a plasma etching apparatus;
  • FIG. 2 shows a cross sectional view of an inner upper electrode;
  • FIG. 3 explains a schematic configuration of a gas supply unit;
  • FIG. 4 is a flowchart for setting a supply gas;
  • FIG. 5 shows a schematic configuration of a gas supply unit for supplying gaseous mixtures to three places in a processing chamber; and
  • FIG. 6 shows a schematic configuration of a gas supply unit for supplying a gaseous mixture from a side surface portion of a processing chamber.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Hereinafter, a preferred embodiment of the present invention will be described. FIG. 1 is a longitudinal sectional view showing a schematic configuration of a plasma etching apparatus 1 serving as a substrate processing apparatus including a gas supply unit in accordance with the preferred embodiment of the present invention.
  • The plasma etching apparatus 1 is a capacitively coupled plasma etching apparatus having a parallel plate type electrode structure. The plasma etching apparatus 1 includes an approximately cylindrical processing chamber 10 that is grounded. The processing chamber 10 is formed of, e.g., aluminum alloy and the inner wall surface thereof is covered by an alumina film or an yttrium oxide film.
  • A cylindrical susceptor supporting table 14 is disposed in a central bottom portion of the processing chamber 10 via an insulating plate 12. A susceptor 16, serving as a mounting table, for mounting thereon a wafer W, i.e., a substrate, is disposed on the susceptor supporting table 14. Further, the susceptor 16 also serves as a lower electrode of the parallel plate type electrode structure and is formed of, e.g., aluminum alloy.
  • An electrostatic chuck 18 for holding the wafer. W is disposed on the susceptor 16, and the electrostatic chuck 18 has an electrode 20 therein. A DC power supply 22 is electrically connected to the electrode 20. The wafer W can be adsorbed on the top surface of the susceptor 16 by Coulomb force generated by a DC voltage applied to the electrode 20 from the DC power supply 22.
  • A focus ring 24 is disposed on the susceptor 16 to surround the electrostatic chuck 18. A cylindrical inner wall member 26 formed of, e.g., quartz is attached to an outer peripheral surface of the susceptor 16 and the susceptor supporting table 14.
  • An annular coolant chamber 28 is formed inside the susceptor supporting table 14. The coolant chamber 28 communicates with a chiller unit (not shown) installed outside the processing chamber 10 via lines 30 a and 30 b. A coolant or cooling water is supplied into the coolant chamber 28 through the lines 30 a and 30 b to be circulated therein, thereby controlling the temperature of the wafer W on the susceptor 16. A gas supply line 32 passing through the susceptor 16 and the susceptor supporting table 14 reaches a top surface of the electrostatic chuck 18, whereby a thermally conductive gas such as He gas can be supplied between the wafer W and the electrostatic chuck 18.
  • An upper electrode 34 is disposed above the susceptor 16 to face it in parallel. A plasma generation space PS is formed between the susceptor 16 and the upper electrode 34.
  • The upper electrode 34 includes an annular outer upper electrode 36 and an inner upper electrode 38 of a circular plate that is disposed inwardly from the outer upper electrode 36. An annular dielectric material 42 is interposed between the outer upper electrode 36 and the inner upper electrode 38. An annular insulating shield member 44 formed of, e.g., alumina is airtightly interposed between the outer upper electrode 36 and an inner peripheral wall of the processing chamber 10.
  • A first radio frequency power supply 54 is electrically connected to the outer upper electrode 36 via a matching unit 46, an upper power supply rod 48, a connector 50 and a power supply case 52. The first radio frequency power supply 54 can output a radio frequency voltage having a frequency equal to or larger than 40 MHz, e.g., a frequency of 60 MHz.
  • The power supply case 52 is shaped like, for example, a cylinder with its bottom surface removed. A lower end portion of the power supply case 52 is connected to the outer upper electrode 36. A central portion of the top surface of the power supply case 52 is electrically connected to a lower end portion of the upper power supply rod 48 via the connector 50. An upper end portion of the upper power supply rod 48 is connected to an output side of the matching unit 46. The matching unit 46 is connected to the first radio frequency power supply 54, thereby matching an inner impedance of the first radio frequency power supply 54 with a load impedance. The power supply case 52 is surrounded by a cylindrical ground conductor 10 a whose sidewall has a same diameter as a sidewall of the processing chamber 10. A lower end portion of the ground conductor 10 a is connected to an upper portion of the sidewall of the processing chamber 10. The upper power supply rod 48 passes through a central portion of the top surface of the ground conductor 10 a, and an insulation member 56 is interposed in a portion where the upper power supply rod 48 is in contact with the ground conductor 10 a.
  • The inner upper electrode 38 functions as a shower head for injecting a specified gaseous mixture toward the wafer W mounted on the susceptor 16. The inner upper electrode 38 includes a circular electrode plate 60 having a plurality of gas injection openings 60 a and an electrode supporting member 62 capable of supporting the electrode plate 60 by being attached to or detached from the top surface of the electrode plate 60. The electrode supporting member 62 is shaped as a disc having a same diameter as the electrode plate 60, and a circular buffer space 63 is formed inside the electrode supporting member 62. In the buffer space 63, for example, as shown in FIG. 2, an annular partition member 64 formed of an O-ring is disposed, whereby the buffer space 63 is divided into a first buffer space 63 a of a central side and a second buffer space 63 b of an outer peripheral side. The first and the second buffer space 63 a and 63 b face a central portion and an outer peripheral portion of the wafer W loaded on the susceptor 16, respectively. The gas injection openings 60 a are formed in the bottom surfaces of the buffer spaces 63 a and 63 b to communicate with the plasma generation space, whereby specified gaseous mixtures can be injected through the first and second buffer spaces 63 a and 63 b toward the central portion and the outer peripheral portion of the wafer W, respectively. Further, a gas supply unit 100 for supplying a specified gaseous mixture to each chamber in the buffer space 63 will be described later.
  • As depicted in FIG. 1, a lower power supply case 70 coupled to the upper power supply rod 48 is electrically connected to the top surface of the electrode supporting member 62. A variable condenser 72 is installed in the lower power supply case 70. The variable condenser 72 can adjust a relative ratio between an intensity of an electric field formed right under the outer upper electrode 36 and that formed right under the inner upper electrode 38, which are generated by a radio frequency voltage from the first radio frequency power supply 54.
  • A gas exhaust port 74 is formed in a bottom portion of the processing chamber 10. The gas exhaust port 74 is connected to the gas exhaust unit 78 including a vacuum pump and the like via a gas exhaust pipe 76. The processing chamber 10 can be depressurized to a desired vacuum level by using the gas exhaust unit 78.
  • A second radio frequency power supply 82 is electrically connected to the susceptor 16 via a matching unit 80. The second radio frequency power supply 82 can output a radio frequency voltage having a frequency ranging from, e.g., 2 MHz to 20 MHz, for example, a frequency of 20 MHz.
  • Electrically connected to the inner upper electrode 38 is a low pass filter 84 for passing a radio frequency wave generated from the second radio frequency power supply 82 to ground by shielding a radio frequency wave generated from the first radio frequency power supply 54. Electrically connected to the susceptor 16 is a high pass filter 86 for passing a radio frequency wave generated from the first radio frequency power supply 54 to ground.
  • The plasma etching apparatus 1 includes an apparatus controller 90 for controlling operations of various components such as the DC power supply 22, the first radio frequency power supply 54 and the second radio frequency power supply 82 to perform an etching.
  • Hereinafter, a gas supply unit 100 for supplying gaseous mixtures to the inner upper electrode 38 in the plasma etching apparatus 1 will be described.
  • The gas supply unit 100, as shown in FIG. 3, includes a first gas box 111 accommodating plural, e.g., three, gas supply sources 110 a, 110 b and 110 c and a second gas box 113 accommodating plural, e.g., two, additional gas supply sources 112 a and 112 b. In this embodiment, for instance, the gas supply source 110 a is sealed to contain therein fluorocarbon-based fluorine compound serving as an etching gas such as CxFy gas (e.g., CF4, C4F6, C4F8 and C5F8); the gas supply source 110 b is sealed to contain therein a gas for controlling deposits of CF-based reaction products, e.g., O2 gas; and the gas supply source 110 c is sealed to contain therein a rare gas serving as a carrier gas, e.g., an Ar gas. Further, the additional gas supply source 112 a is sealed to contain therein, e.g., CxFy gas capable of accelerating an etching, and the additional gas supply source 112 b is sealed to contain therein, e.g., O2 gas capable of controlling deposits of CF-based reaction products.
  • A mixing line 120 in which various gases from the gas supply sources 110 a, 110 b and 110 c are combined to be mixed is connected to each of the gas supply sources 110 a, 110 b and 110 c of the first gas box 111. In the mixing line 120, mass flow controllers 121 are installed for the gas supply sources 110 a to 110 c, respectively, to control flow rates of gases supplied from the gas supply sources 110 a to 110 c. The mixing line 120 is coupled to a first branch line 122 and a second branch line 123 for branching a gaseous mixture that is mixed in the mixing line 120. The first branch line 122 is connected to the first buffer space 63 a in the inner upper electrode 38 of the processing chamber 10. The second branch line 123 is connected to the second buffer space 63 b in the inner upper electrode 38.
  • A pressure control unit 124 is installed in the first branch line 122. In the same manner, a pressure control unit 125 is installed in the second branch line 123. The pressure control unit 124 is provided with a pressure gauge 124 a and a valve 124 b. Similarly, the pressure control unit 125 is provided with a pressure gauge 125 a and a valve 125 b. Measurement results respectively obtained by the pressure gauges 124 a and 125 a of the pressure control units 124 and 125 can be outputted to a pressure ratio controller 126. The pressure ratio controller 126 can control a pressure ratio, i.e., a flow rate ratio of gaseous mixtures branched into the first branch line 122 and the second branch line 123 by adjusting respective opening degrees of the valves 124 b and 125 b based on the measurement results obtained by using the pressure gauges 124 a and 125 a. Further, when setting a supply gas, while an additional gas is not supplied to the second branch line 123 from a second gas box 113 which will be described later, the pressure ratio controller 126 controls a pressure ratio of the gaseous mixtures flowing in the first branch line 122 and the second branch line 123 to be a target pressure ratio and fixes respective opening degrees of the valves 124 b and 125 b to values obtained under this condition.
  • An additional gas supply line 130 communicating with, e.g., the second branch line 123 is connected to each of additional gas supply sources 112 a and 112 b of the second gas box 113. For example, respective lines of the additional gas supply line 130 connected to the additional gas supply sources 112 a and 112 b are combined in the middle thereof and then connected to the second branch line 123. The additional gas supply line 130 is connected to a downstream side of the pressure control unit 125. In the additional gas supply line 130, mass flow controllers 131 are installed for the additional gas supply sources 112 a and 112 b, respectively, to control flow rates of additional gases supplied from the additional gas supply sources 112 a and 112 b. In this configuration, an additional gas that is chosen among gases from the second gas box 113 or obtained by mixing the gases can be supplied to the second branch line 123. Further, in this embodiment, an additional gas supply unit includes the second gas box 113, the additional gas supply sources 112 a and 112 b, the additional gas supply line 130 and the mass flow controller 131.
  • The operations of the mass flow controllers 121 in the first gas box 111 and the mass flow controllers 131 in the second gas box 113 are controlled by, e.g., the apparatus controller 90 of the plasma etching apparatus 1. Thus, various gases from the first gas box 111 and the second gas box 113 can be started or stopped to be supplied under the control of the apparatus controller 90 which also controls respective flow rates thereof.
  • Hereinafter, operations of the gas supply unit 100 having the above-mentioned configuration will be described. FIG. 4 is a flowchart for setting components or their flow rates in gaseous mixtures to be supplied into the processing chamber 10. First, a preset gas in the first gas box 111 flows at a specified flow rate in the mixing line 120 based on instruction signals of the apparatus controller 90 (step S1 in FIG. 4). For example, the CxFy gas, O2 gas and Ar gas, which are supplied at specified flow rates from the gas supply sources 110 a to 110 c, respectively, are mixed in the mixing line 120, thereby producing a gaseous mixture containing CxFy gas, O2 gas and Ar gas having a specified mixing ratio. Subsequently, the pressure ratio controller 126 controls opening degrees of the valves 124 b and 125 b based on the measurement results obtained by the pressure gauges 124 a and 125 a, whereby a pressure ratio of gaseous mixtures respectively flowing in the first branch line 122 and the second branch line 123 is adjusted to be a target pressure ratio (step S2 in FIG. 4). Accordingly, the components (mixing ratio) and their flow rates in the gaseous mixture supplied into the first buffer space 63 a through the first branch line 122 are set. Further, at this time, at least, the same gas as the gaseous mixture supplied to the first buffer space 63 a, i.e., a gaseous mixture for etching, is supplied into the second buffer space 63 b through the second branch line 123.
  • Then, when the gaseous mixtures respectively flowing in the first branch line 122 and the second branch line 123 are controlled to have the target pressure ratio to be stable, the opening degrees of the valves 124 b and 125 b of the pressure control units 124 and 125 are fixed by the pressure ratio controller 126 (step S3 in FIG. 4). By an instruction signal from the apparatus controller 90 after respective opening degrees of the valves 124 b and 125 b being fixed, a preset additional gas in the second gas box 113 flows at a specified flow rate in the additional gas supply line 130 (step S4 in FIG. 4). An instruction signal for starting the supply of the additional gas from the second gas box 113 is sent after a setting time that is set in advance in the apparatus controller 90 elapses. The CxFy gas, e.g., CF4 gas, capable of accelerating an etching is supplied at a specified flow rate from, e.g., the additional gas supply source 112 a to flow through the additional gas supply line 130 which is combined with the second branch line 123. Accordingly, the second buffer space 63 b communicating with the second branch line 123 is supplied with a gaseous mixture containing a larger amount of CF4 gas than the gaseous mixture supplied to the first buffer space 63 a. In this manner, the components and their flow rates in the gaseous mixture supplied into the second buffer space 63 b are set. Further, although the pressure ratio between the pressure in the first branch line 122 and that in the second branch line 123 is changed by supplying the additional gas into the second branch line 123, a gaseous mixture having an original flow rate is supplied into the first buffer space 63 a because the valves 124 b and 125 b are fixed.
  • Further, in the plasma etching apparatus 1 having therein a depressurized atmosphere, the gaseous mixture from the first buffer space 63 a is supplied to the central portion of the wafer W on the susceptor 16 and the gaseous mixture containing plenty of CF4 gas from the second buffer space 63 b is supplied to the outer peripheral portion of the wafer W. Accordingly, the etching characteristics of the outer peripheral portion of the wafer W are adjusted relatively to those of the central portion of the wafer W, thereby achieving uniform etching characteristics on the surface of the wafer W.
  • In accordance with the preferred embodiment, plural kinds of gases from the first gas box are mixed to make a gaseous mixture in the mixing line 120 and, then, the gaseous mixture are branched into the first branch line 122 and the second branch line 123, which are supplied into the first and the second buffer space 63 a and 63 b of the processing chamber 10, respectively. The additional gas for adjusting the etching characteristics is supplied to the second branch line 123, and the second buffer space 63 b is supplied with a gaseous mixture having components and flow rates different from those in the first buffer space 63 a. Therefore, components and their flow rates in gaseous mixtures supplied into the first and the second buffer space 63 a and 63 b can be optionally adjusted by a simple piping configuration.
  • Further, since flow rates in the first and the second branch line 122 and 123 are respectively adjusted by the pressure control units 124 and 125, even if the pressure of the gas supply source is very low as in the plasma etching apparatus 1, gas flow rates in supply lines can be adequately adjusted.
  • In the preferred embodiment, CF4 gas is supplied into the second branch line 123 to accelerate an etching. However, for example, when a deposit amount of CF-based reaction products is large and an etching rate is slow in the outer peripheral portion of the wafer W compared to that of the central portion thereof, O2 gas may be supplied to the second branch line 123 to remove CF-based reaction products. Further, it is possible to feed a gaseous mixture containing CF4 gas and O2 gas having a specified mixing ratio into the second branch line 123.
  • A timing of supplying the additional gas from the second gas box 113 to the second branch line 123 is preset based on the setting time of the apparatus controller 90 in the preferred embodiment. However, it is also possible to start supplying the additional gas in the manner that the apparatus controller 90 monitors the measurement results obtained by the pressure gauges 124 a and 125 a via the pressure ratio controller 126 and sends an instruction signal to the second gas box 113 when a stable, desired target pressure ratio is achieved.
  • Further, the additional gas supply sources 112 a and 112 b of the second gas box 113 may be connected to the first branch line 122 via the additional gas supply line 130. By doing this, components or flow rates in the gaseous mixture supplied to the first buffer space 63 can be minutely controlled when necessary.
  • Although the additional gas supply sources for supplying CF4 gas and O2 gas are installed in the second gas box 113 in the preferred embodiment, additional gas supply sources may supply other additional gases capable of accelerating or suppressing an etching, e.g., CxHyFz gas such as CHF3, CH2F2, CH3F for accelerating an etching, N2 gas or CO gas for controlling CF-based reaction products, Xe gas or He gas for a dilution gas and the like. Besides, the number or kinds of gases accommodated in the first and the second gas box 111 and 113 can be optionally chosen depending on a material to be etched, process conditions and the like.
  • The gas supply unit 100 supplies gaseous mixtures to two places, i.e., the first and the second buffer space 63 a and 63 b, in the processing chamber 10 in the preferred embodiment, but gaseous mixtures may be supplied to three places or more in the processing chamber 10. FIG. 5 shows such an example, wherein the inner upper electrode 38 includes a buffer space 63 having three buffer spaces concentrically disposed. That is, an annular third buffer space 63 c is formed further outside the second buffer space 63 b of the inner upper electrode 38. In this case, the mixing line 120 is branched into the first and the second branch line 122 and 123 and, further, a third branch line 150. The third branch line 150 is connected to the third buffer space 63 c. Similarly to the branch lines 122 and 123, the third branch lime 150 is provided with a pressure control unit 151, a pressure gauge 151 a and a valve 151 b. Further, the gas supply unit 100 in this example is provided with a third gas box 152 for supplying a specified additional gas to the third branch line 150. For example, the third gas box 152 has a same configuration as the second gas box 113 and includes an additional gas supply source 153 a of CF4 gas and an additional gas supply source 153 b of O2 gas. Both of the additional gas supply sources 153 a and 153 b are connected to the third branch line 150 via an additional gas supply line 154. Provided in the additional gas supply line 154 are mass flow controllers 155 for the additional gas supply sources 153 a and 153 b, respectively. Further, the other configuration is same as in the above-mentioned preferred embodiment and, thus, the description thereof will be omitted.
  • Further, when gaseous mixtures are respectively supplied into the buffer spaces 63 a to 63 c, gases from, e.g., the gas supply sources 110 a to 110 c of the first gas box 111 are supplied into the mixing line 120 to be mixed therein, thereby producing a gaseous mixture. The gaseous mixture is branched into three branch lines 122, 123 and 150. The gas pressure ratio of the branch lines 122, 123 and 150 is adjusted to be a specified target pressure ratio by the pressure ratio controller 126 and, then, opening degrees of the valves 124 b, 125 b and 151 b are fixed. Accordingly, components and their flow rates in the gaseous mixture to be supplied to the first buffer space 63 a communicating with the first branch line 122 are set. Thereafter, an additional gas of a specified kind is supplied at a specified flow rate into the second branch line 123 from the second gas box 113 via the additional gas supply line 130. Further, an additional gas of a specified kind is supplied at a specified flow rate into the third branch line 150 from the third gas box 152 via the additional gas supply line 154. Accordingly, the components and flow rates in the gaseous mixtures supplied into the second and the third buffer space 63 b and 63 c are set. Also in this case, optional gaseous mixtures can be supplied into three places in the processing chamber 10 by a simple piping configuration.
  • In the preferred embodiment, the gaseous mixtures supplied from the gas supply unit 100 are injected toward the wafer W through an upper portion of the processing chamber 10. However, the gaseous mixtures may be injected through another portion of the processing chamber 10, e.g., a side surface portion of the plasma generation space PS in the processing chamber 10. In such a case, for example, as shown in FIG. 6, the third branch line 150 is connected to both side surfaces of the processing chamber 10 and the gaseous mixtures are injected into the plasma generation space PS from nozzles connected to the both side surfaces of the processing chamber 10. In this case, specified gaseous mixtures can be supplied through an upper portion and a side portion of the plasma generation space PS, respectively. Therefore, a gas concentration in the plasma generation space PS can be adjusted, whereby an in-surface uniformity of an etching characteristic can be further improved on the wafer.
  • Although a flow rate of the branch line is adjusted by a pressure control unit in the preferred embodiment, it is possible to employ a mass flow controller. Further, although the gas supply unit 100 described in the preferred embodiment is for supplying the gaseous mixture to the plasma etching apparatus 1, the present invention can be applied to another substrate processing apparatus into which a gaseous mixture is supplied, e.g., a film forming apparatus such as a plasma CVD apparatus, a sputtering device and a thermal oxidation apparatus. Further, the present invention can be also applied to an apparatus for processing a substrate other than a wafer, e.g., FPD (flat panel display) and a mask reticle for photomask, and MEMS (Micro Electro Mechanical System) manufacturing apparatus and the like.
  • While the invention has been shown and described with respect to the preferred embodiment, it will be understood by those skilled in the art that various changes and modifications may be made without departing from the spirit and scope of the invention as defined in the following claims.

Claims (14)

1. A gas supply unit for supplying a gas into a processing chamber in which a substrate is processed, the gas supply unit comprising:
a plurality of gas supply sources;
a mixing line for mixing a plurality of gases supplied from the gas supply sources to make a gaseous mixture;
a multiplicity of branch lines for branching the gaseous mixture to be supplied to a multiplicity of places in the processing chamber; and
an additional gas supply unit for supplying a specified additional gas to a gaseous mixture flowing in at least one branch line.
2. The gas supply unit of claim 1, further comprising pressure gauges and valves for adjusting gas flow rates in the branch lines, respectively, and a pressure ratio controller for controlling that gaseous mixtures branched into the branch lines to have a specified pressure ratio by adjusting opening degrees of the valves based on measurement results obtained by using the pressure gauges.
3. The gas supply unit of claim 2, wherein the additional gas supply unit includes an additional gas supply line which communicates with said at least one branch line, and the additional gas supply line is connected to a downstream side of the pressure gauges and the valves.
4. The gas supply unit of claim 3, wherein the pressure ratio controller controls that a pressure ratio of the gaseous mixtures branched into the branch lines becomes a specified pressure ratio by adjusting the valves under a condition in which the additional gas is not supplied to said at least one branch line from the additional gas supply unit, and opening degrees of the valves are fixed to values obtained under the condition.
5. The gas supply unit of claim 4, further comprising a controller for supplying the additional gas to said at least one branch line from the additional gas supply unit after the gaseous mixtures branched into the branch lines are controlled to have a specified pressure ratio by the pressure ratio controller.
6. A substrate processing apparatus, comprising:
a processing chamber accommodating therein a substrate;
a plurality of gas supply sources;
a mixing line for mixing a plurality of gases supplied from the gas supply sources to make a gaseous mixture;
a multiplicity of branch lines for branching the gaseous mixture to be supplied to a multiplicity of places in the processing chamber; and
an additional gas supply unit for supplying a specified additional gas to a gaseous mixture flowing in at least one branch line.
7. The substrate processing apparatus of claim 6, further comprising pressure gauges and valves for adjusting gas flow rates in the branch lines, respectively, and a pressure ratio controller for controlling that gaseous mixtures branched into the branch lines to have a specified pressure ratio by adjusting opening degrees of the valves based on measurement results obtained by using the pressure gauges.
8. The substrate processing apparatus of claim 7, wherein the additional gas supply unit includes an additional gas supply line which communicates with said at least one branch line, and the additional gas supply line is connected to a downstream side of the pressure gauges and the valves.
9. The substrate processing apparatus of claim 8, wherein the pressure ratio controller controls that a pressure ratio of the gaseous mixtures branched into the branch lines becomes a specified pressure ratio by adjusting the valves under a condition in which the additional gas is not supplied to said at least one branch line from the additional gas supply unit, and opening degrees of the valves are fixed to values obtained under the condition.
10. The substrate processing apparatus of claim 9, further comprising a controller for supplying the additional gas to said at least one branch line from the additional gas supply unit after the gaseous mixtures branched into the branch lines are controlled to have a specified pressure ratio by the pressure ratio controller.
11. The substrate processing apparatus of claim 6, further comprising a shower head, disposed in the processing chamber, for discharging the gaseous mixture into a processing space in the processing chamber, wherein the branch lines are connected to the shower head.
12. The substrate processing apparatus of claim 11, wherein the number of the branch lines is two and the two branch lines are respectively connected to a first and a second buffer space which are concentrically defined in the shower head.
13. The substrate processing apparatus of claim 12, wherein the first and the second buffer space are respectively disposed at an inner portion and an outer portion in the shower head, and said at least one branch line connected to the additional gas supply unit is connected to the second buffer space.
14. A supply gas setting method using the gas supply unit which includes:
a plurality of gas supply sources;
a mixing line for mixing a plurality of gases supplied from the gas supply sources to make a gaseous mixture;
a multiplicity of branch lines for branching the gaseous mixture to be supplied to a multiplicity of places in the processing chamber;
an additional gas supply unit for supplying a specified additional gas to a gaseous mixture flowing in at least one branch line; and
valves and pressure gauges for adjusting gas flow rates in the branch lines, respectively,
the method comprising the following sequential steps of:
controlling a pressure ratio of the gaseous mixtures branched into the branch lines to be a specified pressure ratio by adjusting the valves under a condition in which the additional gas is not supplied to said at least one branch line from the additional gas supply unit and, then, fixing opening degrees of the valves of the branch lines to values obtained under the condition; and
supplying an additional gas of a specified flow rate to said at least one branch line from the additional gas supply unit.
US11/296,209 2004-12-09 2005-12-08 Gas supply unit, substrate processing apparatus, and supply gas setting method Abandoned US20060124169A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US11/296,209 US20060124169A1 (en) 2004-12-09 2005-12-08 Gas supply unit, substrate processing apparatus, and supply gas setting method
US12/651,165 US8906193B2 (en) 2004-12-09 2009-12-31 Gas supply unit, substrate processing apparatus and supply gas setting method
US13/691,125 US9441791B2 (en) 2004-12-09 2012-11-30 Gas supply unit, substrate processing apparatus and supply gas setting method

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2004-357292 2004-12-09
JP2004357292A JP4358727B2 (en) 2004-12-09 2004-12-09 Gas supply apparatus, substrate processing apparatus, and supply gas setting method
US63979504P 2004-12-29 2004-12-29
US11/296,209 US20060124169A1 (en) 2004-12-09 2005-12-08 Gas supply unit, substrate processing apparatus, and supply gas setting method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/651,165 Division US8906193B2 (en) 2004-12-09 2009-12-31 Gas supply unit, substrate processing apparatus and supply gas setting method

Publications (1)

Publication Number Publication Date
US20060124169A1 true US20060124169A1 (en) 2006-06-15

Family

ID=36582387

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/296,209 Abandoned US20060124169A1 (en) 2004-12-09 2005-12-08 Gas supply unit, substrate processing apparatus, and supply gas setting method
US12/651,165 Active US8906193B2 (en) 2004-12-09 2009-12-31 Gas supply unit, substrate processing apparatus and supply gas setting method
US13/691,125 Active 2028-07-23 US9441791B2 (en) 2004-12-09 2012-11-30 Gas supply unit, substrate processing apparatus and supply gas setting method

Family Applications After (2)

Application Number Title Priority Date Filing Date
US12/651,165 Active US8906193B2 (en) 2004-12-09 2009-12-31 Gas supply unit, substrate processing apparatus and supply gas setting method
US13/691,125 Active 2028-07-23 US9441791B2 (en) 2004-12-09 2012-11-30 Gas supply unit, substrate processing apparatus and supply gas setting method

Country Status (1)

Country Link
US (3) US20060124169A1 (en)

Cited By (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080078746A1 (en) * 2006-08-15 2008-04-03 Noriiki Masuda Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
US20080202609A1 (en) * 2007-02-26 2008-08-28 Ezra Robert Gold Method and apparatus for controlling gas flow to a processing chamber
US20080202588A1 (en) * 2007-02-26 2008-08-28 Ezra Robert Gold Method and apparatus for controlling gas flow to a processing chamber
US20080202610A1 (en) * 2007-02-26 2008-08-28 Ezra Robert Gold Method and apparatus for controlling gas flow to a processing chamber
US20090117746A1 (en) * 2007-11-02 2009-05-07 Tokyo Electron Limited Gas supply device, substrate processing apparatus and substrate processing method
US20090145484A1 (en) * 2006-01-31 2009-06-11 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
US20100048022A1 (en) * 2008-08-25 2010-02-25 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus and method of manufacturing semiconductor device
US20110139372A1 (en) * 2009-12-10 2011-06-16 Wendell Thomas Blonigan Showerhead assembly for vacuum processing apparatus
US20110277690A1 (en) * 2010-05-14 2011-11-17 Sierra Solar Power, Inc. Multi-channel gas-delivery system
US20120135145A1 (en) * 2009-07-08 2012-05-31 Sung Tae Je Substrate-processing apparatus and substrate-processing method for selectively inserting diffusion plates
US20120152914A1 (en) * 2010-12-15 2012-06-21 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and non-transitory computer-readable medium
US20130092322A1 (en) * 2004-12-09 2013-04-18 Tokyo Electron Limited Gas supply unit, substrate processing apparatus and supply gas setting method
US20150096882A1 (en) * 2012-06-20 2015-04-09 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US20160122873A1 (en) * 2014-10-29 2016-05-05 Tokyo Electron Limited Film forming apparatus and shower head
US20170032982A1 (en) * 2015-07-30 2017-02-02 Lam Research Corporation Gas delivery system
US20170186588A1 (en) * 2014-09-30 2017-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Dry etching apparatus
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US20170253969A1 (en) * 2016-03-03 2017-09-07 Tokyo Electron Limited Vaporization raw material supplying device and substrate processing apparatus using the same
KR20170143002A (en) * 2015-05-17 2017-12-28 엔테그리스, 아이엔씨. Gas cabinet
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US20210216088A1 (en) * 2017-11-08 2021-07-15 Tokyo Electron Limited Gas supply system and gas supply method
CN113375055A (en) * 2020-02-25 2021-09-10 Kc股份有限公司 Gas supply system
CN113388835A (en) * 2021-06-30 2021-09-14 中国航发贵州黎阳航空动力有限公司 Chemical milling device and using method thereof
CN114121585A (en) * 2020-08-26 2022-03-01 中微半导体设备(上海)股份有限公司 Plasma processing device and gas supply method
US11492702B2 (en) * 2017-11-06 2022-11-08 Tokyo Electron Limited Film-forming apparatus and film-forming method
JP7296854B2 (en) 2019-11-07 2023-06-23 東京エレクトロン株式会社 Gas supply method and substrate processing apparatus
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070151668A1 (en) 2006-01-04 2007-07-05 Tokyo Electron Limited Gas supply system, substrate processing apparatus, and gas supply method
US7896967B2 (en) * 2006-02-06 2011-03-01 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
JP6473131B2 (en) 2013-03-12 2019-02-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Multi-zone gas injection assembly with azimuthal and radial distribution control
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
DE102015100762A1 (en) * 2015-01-20 2016-07-21 Infineon Technologies Ag Container switching device and method for monitoring a fluid rate
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20200087267A (en) 2017-12-08 2020-07-20 램 리써치 코포레이션 Integrated showerhead with improved hole pattern to deliver radical and precursor gases to the downstream chamber to enable remote plasma film deposition
KR102641752B1 (en) * 2018-11-21 2024-03-04 삼성전자주식회사 Gas injection module, substrate processing apparatus and method for manufacturing semiconductor device using the same
KR20210127768A (en) * 2019-03-11 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 Lid assembly apparatus and methods for substrate processing chambers

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5916369A (en) * 1995-06-07 1999-06-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
US5958140A (en) * 1995-07-27 1999-09-28 Tokyo Electron Limited One-by-one type heat-processing apparatus
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20040050325A1 (en) * 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
US20050005994A1 (en) * 2002-06-03 2005-01-13 Kazuhiko Sugiyama Method for supplying gas while dividing to chamber from gas supply facility equipped with flow controller

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6254442A (en) 1985-09-03 1987-03-10 Hitachi Electronics Eng Co Ltd Dry etching process
JPH08158072A (en) 1994-12-02 1996-06-18 Nippon Soken Inc Dry etching device
JP4056144B2 (en) 1998-09-10 2008-03-05 株式会社エフオーアイ Plasma processing equipment
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US20050189074A1 (en) * 2002-11-08 2005-09-01 Tokyo Electron Limited Gas processing apparatus and method and computer storage medium storing program for controlling same
TWI273642B (en) * 2002-04-19 2007-02-11 Ulvac Inc Film-forming apparatus and film-forming method
JP4127779B2 (en) 2002-08-28 2008-07-30 株式会社神戸製鋼所 Hot isostatic pressurizing device and hot isostatic pressurizing method
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US20060124169A1 (en) * 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US20070151668A1 (en) * 2006-01-04 2007-07-05 Tokyo Electron Limited Gas supply system, substrate processing apparatus, and gas supply method
JP4895167B2 (en) * 2006-01-31 2012-03-14 東京エレクトロン株式会社 Gas supply apparatus, substrate processing apparatus, and gas supply method
US7896967B2 (en) * 2006-02-06 2011-03-01 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
JP4911984B2 (en) * 2006-02-08 2012-04-04 東京エレクトロン株式会社 Gas supply apparatus, substrate processing apparatus, gas supply method, and shower head
US20100312405A1 (en) * 2009-06-04 2010-12-09 Ckd Corporation Fluid control method, fluid control system, and non-transitory computer-readable medium storing fluid control program
JP2011192664A (en) * 2010-03-11 2011-09-29 Tokyo Electron Ltd Plasma etching method and plasma etching apparatus
JP6034655B2 (en) * 2012-10-25 2016-11-30 東京エレクトロン株式会社 Plasma processing equipment

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5916369A (en) * 1995-06-07 1999-06-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
US5958140A (en) * 1995-07-27 1999-09-28 Tokyo Electron Limited One-by-one type heat-processing apparatus
US20050005994A1 (en) * 2002-06-03 2005-01-13 Kazuhiko Sugiyama Method for supplying gas while dividing to chamber from gas supply facility equipped with flow controller
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20040050325A1 (en) * 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system

Cited By (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9441791B2 (en) * 2004-12-09 2016-09-13 Tokyo Electron Limited Gas supply unit, substrate processing apparatus and supply gas setting method
US20130092322A1 (en) * 2004-12-09 2013-04-18 Tokyo Electron Limited Gas supply unit, substrate processing apparatus and supply gas setting method
US20090145484A1 (en) * 2006-01-31 2009-06-11 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
US8701593B2 (en) 2006-01-31 2014-04-22 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
US8236380B2 (en) * 2006-01-31 2012-08-07 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
US20080078746A1 (en) * 2006-08-15 2008-04-03 Noriiki Masuda Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
US9466506B2 (en) 2006-08-15 2016-10-11 Tokyo Electron Limited Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
US7775236B2 (en) 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US20080202609A1 (en) * 2007-02-26 2008-08-28 Ezra Robert Gold Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US20080202588A1 (en) * 2007-02-26 2008-08-28 Ezra Robert Gold Method and apparatus for controlling gas flow to a processing chamber
US20080202610A1 (en) * 2007-02-26 2008-08-28 Ezra Robert Gold Method and apparatus for controlling gas flow to a processing chamber
US8074677B2 (en) 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
SG145663A1 (en) * 2007-02-26 2008-09-29 Applied Materials Inc Method and apparatus for controlling gas flow to a processing chamber
SG145668A1 (en) * 2007-02-26 2008-09-29 Applied Materials Inc Method and apparatus for controlling gas flow to a processing chamber
US8679255B2 (en) * 2007-11-02 2014-03-25 Tokyo Electron Limited Gas supply device, substrate processing apparatus and substrate processing method
US20090117746A1 (en) * 2007-11-02 2009-05-07 Tokyo Electron Limited Gas supply device, substrate processing apparatus and substrate processing method
US8430962B2 (en) 2007-11-02 2013-04-30 Tokyo Electron Limited Gas supply device, substrate processing apparatus and substrate processing method
US20130237058A1 (en) * 2007-11-02 2013-09-12 Tokyo Electron Limited Gas supply device, substrate processing apparatus and substrate processing method
US20100048022A1 (en) * 2008-08-25 2010-02-25 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus and method of manufacturing semiconductor device
US8771418B2 (en) * 2009-07-08 2014-07-08 Eugene Technology Co., Ltd. Substrate-processing apparatus and substrate-processing method for selectively inserting diffusion plates
US20120135145A1 (en) * 2009-07-08 2012-05-31 Sung Tae Je Substrate-processing apparatus and substrate-processing method for selectively inserting diffusion plates
US20110139372A1 (en) * 2009-12-10 2011-06-16 Wendell Thomas Blonigan Showerhead assembly for vacuum processing apparatus
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US20110277690A1 (en) * 2010-05-14 2011-11-17 Sierra Solar Power, Inc. Multi-channel gas-delivery system
US9396964B2 (en) * 2010-12-15 2016-07-19 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and non-transitory computer-readable medium
US20120152914A1 (en) * 2010-12-15 2012-06-21 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and non-transitory computer-readable medium
US20150096882A1 (en) * 2012-06-20 2015-04-09 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US10692701B2 (en) * 2014-09-30 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Dry etching apparatus
US20170186588A1 (en) * 2014-09-30 2017-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Dry etching apparatus
US11120974B2 (en) * 2014-09-30 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US20160122873A1 (en) * 2014-10-29 2016-05-05 Tokyo Electron Limited Film forming apparatus and shower head
US10844489B2 (en) * 2014-10-29 2020-11-24 Tokyo Electron Limited Film forming apparatus and shower head
KR102039237B1 (en) * 2015-05-17 2019-10-31 엔테그리스, 아이엔씨. Gas cabinet
KR20170143002A (en) * 2015-05-17 2017-12-28 엔테그리스, 아이엔씨. Gas cabinet
US20180149315A1 (en) * 2015-05-17 2018-05-31 Entegris, Inc. Gas cabinets
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
US20170032982A1 (en) * 2015-07-30 2017-02-02 Lam Research Corporation Gas delivery system
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US11342163B2 (en) 2016-02-12 2022-05-24 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US20170253969A1 (en) * 2016-03-03 2017-09-07 Tokyo Electron Limited Vaporization raw material supplying device and substrate processing apparatus using the same
US10074765B2 (en) 2016-05-24 2018-09-11 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US11424103B2 (en) 2016-08-19 2022-08-23 Lam Research Corporation Control of on-wafer cd uniformity with movable edge ring and gas injection adjustment
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US11492702B2 (en) * 2017-11-06 2022-11-08 Tokyo Electron Limited Film-forming apparatus and film-forming method
US20210216088A1 (en) * 2017-11-08 2021-07-15 Tokyo Electron Limited Gas supply system and gas supply method
US11698648B2 (en) * 2017-11-08 2023-07-11 Tokyo Electron Limited Gas supply system and gas supply method
JP7296854B2 (en) 2019-11-07 2023-06-23 東京エレクトロン株式会社 Gas supply method and substrate processing apparatus
CN113375055A (en) * 2020-02-25 2021-09-10 Kc股份有限公司 Gas supply system
CN114121585A (en) * 2020-08-26 2022-03-01 中微半导体设备(上海)股份有限公司 Plasma processing device and gas supply method
CN113388835A (en) * 2021-06-30 2021-09-14 中国航发贵州黎阳航空动力有限公司 Chemical milling device and using method thereof
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Also Published As

Publication number Publication date
US9441791B2 (en) 2016-09-13
US20100163112A1 (en) 2010-07-01
US20130092322A1 (en) 2013-04-18
US8906193B2 (en) 2014-12-09

Similar Documents

Publication Publication Date Title
US8906193B2 (en) Gas supply unit, substrate processing apparatus and supply gas setting method
US8701593B2 (en) Gas supply system, substrate processing apparatus and gas supply method
US20070181181A1 (en) Gas supply system, substrate processing apparatus and gas supply method
KR100753692B1 (en) Gas supply unit, substrate processing apparatus and supply gas setting method
US8790529B2 (en) Gas supply system, substrate processing apparatus and gas supply method
JP5600644B2 (en) Workpiece manufacturing equipment
KR101772723B1 (en) Plasma processing method
US8561572B2 (en) Gas supply system, substrate processing apparatus and gas supply method
JP4357487B2 (en) Gas supply apparatus, substrate processing apparatus, and gas supply method
US7723236B2 (en) Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
US9947510B2 (en) Method for supplying gas, and plasma processing apparatus
US20150096882A1 (en) Plasma processing apparatus and plasma processing method
JP2006202833A (en) Method and apparatus of setting gas, etching device and substrate processing system
KR101262904B1 (en) Plasma etching apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MIZUSAWA, KENETSU;ITO, KEIKI;ITOH, MASAHIDE;REEL/FRAME:017346/0951

Effective date: 20051128

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION