US20070033554A1 - Delay distribution calculation method, circuit evaluation method and false path extraction method - Google Patents

Delay distribution calculation method, circuit evaluation method and false path extraction method Download PDF

Info

Publication number
US20070033554A1
US20070033554A1 US11/543,224 US54322406A US2007033554A1 US 20070033554 A1 US20070033554 A1 US 20070033554A1 US 54322406 A US54322406 A US 54322406A US 2007033554 A1 US2007033554 A1 US 2007033554A1
Authority
US
United States
Prior art keywords
vertex
path
gate
delay
correlation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/543,224
Inventor
Shuji Tsukiyama
Masakazu Tanaka
Masahiro Fukui
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to US11/543,224 priority Critical patent/US20070033554A1/en
Publication of US20070033554A1 publication Critical patent/US20070033554A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/04Programme control other than numerical control, i.e. in sequence controllers or logic controllers
    • G05B19/042Programme control other than numerical control, i.e. in sequence controllers or logic controllers using digital processors
    • G05B19/0421Multiprocessor system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3315Design verification, e.g. functional simulation or model checking using static timing analysis [STA]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/08Probabilistic or stochastic CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/12Timing analysis or timing optimisation

Definitions

  • the present invention generally relates to technology of evaluating performance of an integrated circuit such as CMOS (Complementary Metal-Oxide Semiconductor) and LSI (Large Scale Integration) in its design. More particularly, the present invention relates to technology of calculation of delay distribution, and removal and extraction of false paths.
  • CMOS Complementary Metal-Oxide Semiconductor
  • LSI Large Scale Integration
  • VLSI Very Large Scale Integration
  • OPC Optical Proximity Correction
  • variation control by mask shape correction has become possible, and is increasingly required in practical applications. Therefore, the future VLSI physical design requires technology of designing a highly integrated, high performance circuit by setting proper design margins for each transistor in view of the manufacturing variation.
  • a method for estimating variation in circuit performance such as critical path delay resulting from manufacturing variation is essential to such design technology. Since the distribution of critical path delay is independent of input, statistical static timing analysis can be used as a method for estimating variation in critical path delay.
  • each dashed ellipse 210 corresponds to a primary input terminal and a primary output terminal of the circuit and input/output terminals of a logic gate.
  • a white circle 211 and a black circle 212 in an ellipse 210 corresponding to a terminal v are 0-vertex v0 and 1-vertex v0 of v, respectively, where v0 and v1 indicate that the corresponding terminal v has signal values “0” and “1”, respectively.
  • S indicates a set of sources into which no edge comes
  • T is a set of sinks from which no edge goes out.
  • the sources correspond to the primary input terminals
  • the sinks correspond to the primary output terminals.
  • Each directed path from a source to a sink in the graph G is referred to as a path.
  • every edge is a directed edge going out from a left vertex and coming into a right vertex.
  • each box 221 , 222 , 223 represents a logic gate in the circuit 100 .
  • the left vertices in each box correspond to the input terminals of the corresponding logic gate, and the right vertices in each box correspond to the output terminal of the corresponding logic gate.
  • Each edge in the box goes out from a vertex representing input of the corresponding logic gate into a vertex representing output thereof.
  • each edge in the box corresponds to pMOS or nMOS in the corresponding gate.
  • the way to generate the edges is determined according to the type of logic gate.
  • Each edge connecting vertices in different boxes corresponds to an interconnect, and edge e 0 going out from 0-vertex of a terminal reaches 0-vertex of another terminal, and edge e 1 going out from 1-vertex of a terminal reaches 1-vertex of another terminal.
  • d0(v) The true maximum delay required to propagate a value “0” to a terminal v is herein denoted by d0(v), and the true maximum delay required to propagate a value “1” is herein denoted by d(1).
  • Simulation using such an acyclic graph made it possible to conduct timing analysis of a logic circuit by a relatively simple process.
  • the conventional methods have additional problems.
  • the conventional methods include paths that cannot be simulated actually (false paths). This results in excessively increased calculation time, degraded accuracy in delay estimation, and the like.
  • the false paths can be divided into two types: logical false paths and functional false paths.
  • a logical false path is a path that will not be activated actually since there is no input for logically propagating a signal to that path.
  • a functional false path is a path that will not be activated since there is an input for activating that path but such an input will not be produced actually. For example, in FIG. 11 , among the paths passing through two AND gates G 1 , G 6 controlled by complementary signals z, /z, such a path that the input x of the AND gate G 1 other than the input z becomes “1” and the output y of the AND gate G 6 becomes “1” corresponds to a logical false path.
  • the present invention also proposes a method for extracting a false path from an integrated circuit to be designed.
  • the delay distribution is calculated based on correlation information indicating a correlation of performance between interconnects or elements that are included in the integrated circuit.
  • the delay distribution of the integrated circuit is calculated based on correlation information indicating a correlation of performance between the interconnects and elements that are included in the integrated circuit. This enables the delay distribution of the integrated circuit to be calculated with improved accuracy.
  • the delay distribution calculation method includes the steps of: generating a graph representing the integrated circuit based on circuit information indicating connection between elements in the integrated circuit; and calculating delay distribution of each vertex in the graph by using performance distribution information and the correlation information.
  • the performance distribution information indicates performance distribution of the interconnects and the elements that are included in the integrated circuit.
  • the calculation step includes a first step of selecting from the graph a vertex that does not belong to a set of vertices whose delay distribution has been calculated as a vertex for calculation, and a second step of calculating for the vertex selected in the first step the delay distribution and a correlation of performance with each vertex belonging to the set, based on the performance distribution information and the correlation information, and the first and second steps are repeatedly conducted while adding to the set the vertex whose delay distribution has been calculated.
  • the delay distribution calculation method includes the step of producing the correlation information based on layout of the integrated circuit by using correlation characteristics information.
  • the correlation characteristics information indicates a relation between the correlation of performance between the interconnects or the elements and layout characteristics.
  • a method for evaluating an integrated circuit to be designed includes: a first step of producing an equivalent circuit that does not include a signal transmission path corresponding to a false path, based on circuit information indicating connection between components in the integrated circuit; and a second step of evaluating the integrated circuit by using the equivalent circuit produced in the first step.
  • evaluation can be conducted by using the equivalent circuit including no false path, allowing for rapid, accurate evaluation of performance of the integrated circuit.
  • false path information indicating the false path is used, and the false path information represents the false path by two vertices on a graph representing the integrated circuit.
  • the first step includes the steps of extracting a first partial circuit provided with a first vertex as an input and a second vertex as an output, the first vertex and the second vertex being two vertices representing the false path, making a copy of the first partial circuit as a second partial circuit, and modifying connection of the first and second partial circuits and another circuit such that there exists no path from the first vertex toward the second vertex.
  • the false path is extracted using an activating condition of a non-control signal edge within each logic gate included in the integrated circuit.
  • the false path extraction method includes the steps of: propagating a logic value listed in the activating condition of a non-control signal edge within a first gate by a propagation process; conducting repeatedly the propagation step while the value to be propagated is a control signal; and detecting a path from the first gate to a second gate as the false path when the propagated value is inconsistent with the activating condition of a non-control signal edge within the second gate.
  • FIG. 1 is a flowchart illustrating a delay distribution calculation method according to a first embodiment of the present invention
  • FIG. 2 shows representation specifying false paths
  • FIG. 3 shows an unmodified graph
  • FIG. 4 is a flowchart illustrating a circuit evaluation method according to a second embodiment of the present invention.
  • FIG. 5 is a flowchart illustrating the process of producing an equivalent circuit in the circuit evaluation method of FIG. 4 ;
  • FIG. 6 shows a modified graph
  • FIG. 7 shows another example of the modified graph
  • FIG. 8 is a flowchart illustrating a false path extraction method according to a third embodiment of the present invention.
  • FIG. 9 shows an example of a logic circuit
  • FIG. 10 shows an acyclic graph representing the circuit of FIG. 9 ;
  • FIG. 11 shows a logic circuit including false paths.
  • FIG. 12 is a flowchart illustrating the process of producing correlation information
  • FIG. 13 is a flowchart illustrating the process of obtaining correlation characteristics information.
  • d0(v) denotes the true maximum delay required to propagate a value “0” to a terminal v
  • dl(v) denotes the true maximum delay required to propagate a value “1” to a terminal v.
  • delay (weight of the edge e) t(e) required to transmit a signal value from a terminal v to a terminal w is regarded as a stochastic variable, and has a normal distribution N( ⁇ , ⁇ 2 )
  • the mean ⁇ and variance ⁇ 2 of the delay t(e) are respectively denoted by ⁇ (e) and ⁇ 2 (e).
  • edge e 1 (v1 , w1) connecting 1-vertices of the vertices v and w.
  • variations of delay t(el) of the edge e 1 and delay t(e 0 ) of the edge e 0 are not independent of each other.
  • edges corresponding to a logic gate and the delays thereof will be described.
  • the way to generate the edges in order to represent the maximum delay required to propagate “0” or “1′′ to an output terminal w of the logic gate by the longest path length d(w 0 ), d(w 1 ) to 0-vertex w 0 or 1-vertex w 1 of a vertex w on the graph G will now be considered.
  • the logic gate is an AND gate
  • the AND gate has an input terminal v i (1 . i . k) and an output terminal w.
  • an edge e i 1 (v i 1, w1) from 1-vertex v i 1 of the input v i to 1-vertex w 1 of w.
  • the delay t(e i 1 ) of the edge e i 1 corresponds to the time required for the output w to become “1” after the input v i becomes “1”.
  • an edge e i 0 (v i 0, w0) from 0-vertex v i 0 of the input v i to 0-vertex of the output w.
  • the delay d(e i 0 ) of the edge e i 0 corresponds to the time required for the output w to become “0” after the input v i becomes “0”.
  • 1 ⁇ i ⁇ k ] . . . (5). d ( w 0) max[d ( v i 1)+ t ( e i 1)
  • the delay t(e i 0 ) of the edge e i 0 corresponds to the time required for the output w to become “1” after the input v i becomes “0”
  • the delay t(e i 1 ) of the edge e i 1 corresponds to the time required for the output w to become “0” after the input v i becomes “1”
  • k 1.
  • 1 ⁇ i ⁇ k ] . . . (7). d ( w 1) max[d ( v i 1)+ t ( e i 1)
  • edges are generated for the OR gate in the same manner as that for the AND gate, and are generated for the NOR gate in the same manner as that for the NAND gate.
  • the edges are generated in the same manner as that for the NAND (NOR) gate.
  • a value “0” of the input v i may set the output w to either a value “0” or “1”.
  • a value “1 38 of the input v i may set the output w to either a value “O” or “1”.
  • an edge e i 00 (v i 0, w0) from 0-vertex v i of the input v i to 0-vertex w 0 of the output w
  • an edge e i 01 (v i 0, w1) from 0-vertex v i 0 of the input v i to 1-vertex w 1 of the output w
  • an edge e i l 10 (v i 1, w0) from 1-vertex v i 1 of the input v i to 0-vertex w 0 of the output w
  • an edge e i 11 (v i 1, w1) from 1-vertex v 1 1 of the input v i to 1-vertex w 1 of the output w.
  • the delay t(e i bb) of each edge e i bb′ (v i b, wb′) corresponds to the time required for the output w to become b′ after the input v i becomes b, where b and b′ indicate either “0” or “1” .
  • the delays t(e i 0 ) and t(e i 1 ) of the edges correspond to switching delays of pMOS and nMOS to which the input v i of the gate is connected.
  • Such a switching delay is the time during which a transistor is in a saturation region, and is determined by a saturation drain current I dsat , load capacitance C to be driven, slew rate of the gate voltage, and the like.
  • the saturation drain current I dsat has variation, which mostly depends on variation in the gate length L.
  • the variation in the gate length L is approximately modeled by normal distribution. Therefore, the switching delay t(e i b) is also modeled by normal distribution N( ⁇ , ⁇ 2 ).
  • Variation in the gate length L is affected by the spacing P between adjacent polysilicon gates, the transistor gate width W. the length L DIF of a diffusion region, and the like. Accordingly, by finding the relation between these values and variation in the gate length L, the spacing P, gate width W and diffusion region length L DIF can be obtained from the layout pattern. As a result, variation in the gate length L can be predicted, and hence variation in the switching delay can be estimated.
  • delays of the edges e′, e′′ corresponding to different types of MOS are also independent of each other.
  • f(x 1 , x 2 ) is a probability density function of two variables x 1 , x 2
  • the mean Exp[x 1 +X 2 ] and variance Var[x 1 +x 2 ] of x 1 +x 2 are calculated by the following equations (16) and (17):
  • the given graph has a plurality of series edges.
  • ⁇ ⁇ ( e * , e ) ⁇ ⁇ ⁇ ( e ′ ) ⁇ ⁇ ⁇ ( e ′ , e ) + ⁇ ⁇ ( e ′′ ) ⁇ ⁇ ⁇ ( e ′′ , e ) ⁇ / ⁇ 2 ⁇ ( e ′ ) + ⁇ 2 ⁇ ( e ′′ ) .
  • (x ⁇ ) is a vector
  • (x ⁇ ) ⁇ is a transposed vector of (x ⁇ )
  • (x ⁇ ) ⁇ (x 1 ⁇ 1 , x 2 ⁇ 2 , . . . , x n ⁇ n ).
  • is a determinant of ⁇ ij . ⁇ , ⁇ 2 and ⁇ correspond to the mean, variance and correlation coefficient.
  • f(x 1 , x 2 , . . . , x n ) is a probability density function with n variables
  • the probability Pro[max[x 1 , x 2 , . . . , x n ] ⁇ D] that all variables are not greater than D is given by the following equation (31):
  • Pro ⁇ [ max ⁇ [ x 1 , x 2 , ... ⁇ , x n ] ⁇ D ] ⁇ - ⁇ D ⁇ ⁇ - ⁇ D ⁇ ... ⁇ ⁇ ⁇ - ⁇ D ⁇ f ⁇ ( x 1 , x 2 , ... ⁇ , x n ) ⁇ d x 1 ⁇ d x 2 ⁇ ... ⁇ ⁇ d x n .
  • g ⁇ ( D ) d [ ⁇ - ⁇ D ⁇ ⁇ - ⁇ D ⁇ ... ⁇ ⁇ ⁇ - ⁇ D ⁇ f ⁇ ( x 1 , x 2 , ... ⁇ , x n ) ⁇ d x 1 ⁇ d x 2 ⁇ ... ⁇ ⁇ d x n ] d D . ( 33 )
  • FIG. 1 is a flowchart illustrating a delay distribution calculation method according to the first embodiment of the present invention.
  • distribution of the maximum delay d(v) from a source to each vertex v (v ⁇ V) is calculated on the topological order in the acyclic graph G. Therefore, a vertex set Front that always satisfies the following conditions (A), (B) and (C) is considered:
  • step S 11 the following information is input: circuit information 11 indicating connection between elements in an integrated circuit; performance distribution information 12 indicating performance distribution of interconnects and elements such as logic gates which are included in the integrated circuit; and correlation information 13 indicating correlation between delay distributions of the interconnects and the elements.
  • circuit information 11 indicating connection between elements in an integrated circuit
  • performance distribution information 12 indicating performance distribution of interconnects and elements such as logic gates which are included in the integrated circuit
  • correlation information 13 indicating correlation between delay distributions of the interconnects and the elements.
  • An acyclic graph representing the integrated circuit is generated based on the circuit information 11 .
  • step S 12 a vertex for delay distribution calculation is selected.
  • step S 13 correlation between the delay distribution of the vertex selected in step S 12 and the vertex that has already been selected is calculated.
  • a new vertex w is then added to the set Front while satisfying the above conditions (A), (B) and (C).
  • delay distribution information 14 of the output terminal that is, the mean and variance of the delay to each vertex in the sink T
  • step S 15 the processing is then terminated. Otherwise, the flow returns to step S 12 so that the processing is continued.
  • the correlation information is represented by the correlation coefficient in the delay distribution.
  • the correlation information may alternatively be represented by the correlation degree of a delay value itself.
  • the delay distribution may be calculated using the correlation regarding performance other than the delay.
  • the correlation information may be produced by referring to the layout of an integrated circuit to be designed by using correlation characteristic information.
  • correlation characteristics information herein indicates the relation between correlation of performance between interconnects or elements and characteristics in terms of the layout.
  • FIG. 12 shows the process of producing correlation information.
  • Characteristics information of the elements include delay, gate width, gate length, oxide film thickness, ion implantation concentration, source-drain saturation current and threshold voltage of a transistor, and the like.
  • the process variation of these values results from completely random factors, and factors that vary depending on the layout information such as shape, location and orientation.
  • the ion implantation concentration of the transistor diffusion layer depends on the orientation of an implantation apparatus in the manufacturing process. Therefore, the same characteristics are more likely to be obtained when the implantation apparatus has the same orientation.
  • the values such as ion implantation concentration and oxide film thickness are likely to vary continuously depending on the location of the elements. For example, two elements are more likely to exhibit similar characteristics when they are located closer.
  • the gate width and the gate length are likely to vary depending on the surrounding layout pattern such as the distance to another gate. Moreover, there is a strong correlation of variation between the delays of distinct paths to the same logic gate and between the interconnect delays in the case where a common interconnect branches out.
  • the correlation coefficient is c when two elements are arranged with the same orientation, but is e when they are arranged with different orientations (where c, e are a constant);
  • the correlation coefficient between element delays is g when the element delays result from the same element, but is h when they result from distinct elements (where g, h are a constant).
  • the correlation characteristics information may be obtained by measurement.
  • an integrated circuit including a multiplicity of sample elements having different orientations, different surrounding layout patterns, different distances to another element is manufactured for characteristics evaluation, and characteristics of each element are measured in order to calculate the mean and variance of the element characteristics.
  • FIG. 13 shows the process of obtaining correlation characteristics information. Even if the correlation between delay distributions cannot be obtained directly, it is known that a saturation current of a transistor is approximately proportional to the delay, and that the gate length is inversely proportional to the saturation current and the gate width is proportional to the saturation current. Therefore, if the correlation between the layout conditions and the values such as gate length, gate width and saturation current can be obtained, it can be used as correlation between the delays.
  • the correlation characteristics information can thus be obtained prior to the integrated circuit design, according to the process.
  • correlation information between elements can be obtained from the correlation characteristics information, based on the information such as actual layout.
  • the second embodiment of the present invention relates to a method for evaluating a given circuit by removing false paths.
  • a “logical false path” can be characterized by using information on the connection structure of a circuit.
  • a “functional false path” can be specified as a path including a causative path, and a causative path can be defined as a path from a vertex x of X to a vertex y of Y by using a pair of vertex sets (X, Y) (disclosed in H. C. Chen and D. H. Du, “Path sensitization in critical path problem,” IEEE Trans. Computer-Aided Design of ICs and Systems, vol. 12, no. 2, pp. 196-207, 1993).
  • false paths can be designed as paths passing through both a vertex 41 of X and a vertex 42 of Y in a specified pair of vertex sets (X, Y). Therefore, removing these paths from the graph G 400 would enable improved accuracy of the critical path delay.
  • Out is a set 52 of terminal vertices of the edges going out from the set G[U] other than the vertex 42 of Y.
  • In is a set 51 of initial vertices of the edges coming into the set G[U] other than the vertex 41 of X.
  • ( u,v ) ⁇ E, u ⁇ U, v ⁇ U, v ⁇ Y ⁇ In ⁇ v ⁇ V
  • FIG. 4 is a flowchart illustrating a circuit evaluation method of the present invention.
  • circuit information 21 and false path information 22 are first input in step S 21 .
  • the circuit information 21 indicates the connection, performance and the like of a circuit to be evaluated.
  • the false path information 22 represents each false path by a set of two vertices on the graph representing the integrated circuit.
  • step S 22 an equivalent circuit including no false path is then produced from the circuit information 21 . If there are a plurality of false paths, step S 22 is conducted repeatedly. In step S 33 , the circuit is evaluated in terms of the delay, power consumption and the like by using information 23 on the equivalent circuit including no false path.
  • FIG. 5 is a flowchart illustrating the process in step S 22 .
  • a partial circuit G[U] 43 is extracted in step S 22 a.
  • step S 22 b a copy of the partial circuit G[U] 43 is made, and a graph G′′ 62 corresponding to a second partial circuit is generated.
  • the original partial circuit G[U] 43 is represented by a graph G′ 61 corresponding to a first partial circuit.
  • step S 22 c all the edges from a vertex in the graph G′ 61 to y 42 are removed, and edges EG 1 from a corresponding vertex in the graph G′′ 62 to y 42 are generated instead.
  • a copy EG 3 of each edge EG 2 going out from a vertex of In 51 into a vertex in the graph G′ 61 is generated between the vertex of In 51 and a corresponding vertex in the graph G′′ 62 . Note that each edge EG 4 going out from a vertex in the graph G′ 61 into a vertex of Out 52 is left intact.
  • obtaining variation in the critical path delay in G ⁇ (X, Y)> corresponds to obtaining variation in the maximum delay in a path that does not pass through both a vertex of X and a vertex of Y in the original graph G.
  • FIG. 7 shows another example of the graph modifying process for producing an equivalent circuit satisfying the above conditions.
  • step S 22 c all the edges from a vertex in the graph G′ 61 corresponding to a first partial circuit to a vertex 42 of Y are removed, and edges EG 5 from a corresponding vertex in the graph G′′ 62 corresponding to a second partial circuit to a vertex 42 of Y are generated instead.
  • a copy EG 7 of each edge EG 6 going out from a vertex in G′ 61 into a vertex of Out 52 is generated between a corresponding vertex in G′′ 62 to the vertex in Out 52 .
  • all the edges going out from a vertex of In 51 into a vertex in G′ 61 are removed, and edges EG 8 from the respective vertex of In 51 to a corresponding vertex of G′′ 62 are generated instead.
  • the graph G′ 61 corresponding to a first partial circuit is connected to a first vertex 41 , In 51 and Out 52 , but is not connected to a second vertex 42 .
  • the graph G′′ 62 corresponding to a second partial circuit is connected to the second vertex 42 and In 51 , but is not connected to the first vertex 41 and Out 52 .
  • the graph G′ 61 corresponding to a first partial circuit is connected to the first vertex 41 and Out 52 , but is not connected to the second vertex 42 and In 51 .
  • the graph G′′ 62 corresponding to a second partial circuit is connected to the second vertex 42 , In 51 and Out 52 , but is not connected to the first vertex 41 .
  • i 1, 2, . . . , f].
  • the above modifying process is repeated for each pair (X, Y) ( ⁇ F).
  • the vertices of U are copied. Therefore, each pair (X′, Y′) other than (X, Y) ((X′, Y′) ⁇ F ⁇ [(X, Y)]) is corrected as follows by using U:
  • a non-updated pair is denoted by (X′, Y′) and an updated pair is denoted by (X′′, Y′′). It is obvious that there exists no directed path connecting vertices of X′′ and no directed path connecting vertices of Y′′ in G ⁇ X, Y>.
  • a set of paths passing through both a vertex of X and a vertex of Y is denoted by P(X, Y)
  • a set of paths passing through both a vertex of X′ and a vertex of Y′ is denoted by P(X′, Y′).
  • a set of paths passing through both a vertex of X′′ and a vertex of Y′′ is denoted by P′(X′′, Y′′). Every path included in P′(X′′, Y′′) corresponds to a path in P(X′, Y′). In other words, every path corresponding to a path in P(X′, Y′) and existing in G ⁇ X, Y> is included in P′(X′′, Y′′). Therefore, no path in G other than those in P(X′, Y′) is included in P′(X′′, Y′′).
  • the third embodiment of the present invention relates to a method for extracting false paths from a circuit to be designed.
  • the extracted false paths can be removed by using the method of the second embodiment.
  • a “logical false path” can be characterized by using information on the connection structure of a circuit.
  • a signal- value that determines the output of a logic gate when applied to one input thereof is called a “control signal” .
  • a signal value that does not determine the output of a logic gate even when applied to one input thereof is called a “non-control signal” .
  • both “0” and “1” are control signals
  • both “0” and “1” are non-control signals.
  • the output is determined when a control signal applied to one input thereof.
  • the output value of the logic gate L is determined by the propagation process.
  • the logic value listed in the activating condition of a non-control signal edge is propagated by the propagation process.
  • FIG. 8 is a flowchart illustrating a false path extraction method according to the third embodiment of the present invention.
  • circuit information 31 is first input in step S 31 .
  • a requisite condition of a signal for activating a non-control signal in each gate is determined in step S 32 .
  • step S 33 a signal change of the gates that are not simultaneously activated is extracted based on the requisite condition determined in step S 32 .
  • the value of an input c of a gate G is a control signal cs of G, and G has an input b in addition to the input C.
  • suc(v) is a set of vertices in the gate G that are accessible from a vertex v through a directed path, a pair of vertices (vnc, bnc) specifies a false path.
  • delay distribution of an integrated circuit is calculated while taking into account the correlation of performance between interconnects or elements, allowing for improved delay estimation accuracy. This prevents excessive margins in integrated circuit design, enabling reduction in area and power consumption.

Abstract

Delay distribution in an integrated circuit is calculated while taking into account a correlation of performance between interconnects or elements in the integrated circuit, thereby improving estimation accuracy. Circuit information, performance distribution information of the interconnects or elements in the integrated circuit, and correlation information of performance between the interconnects or elements are input. A vertex is selected for calculation, and a correlation between delay distribution at the selected vertex and delay distribution in a partial circuit including the selected vertex is calculated based on the performance distribution information and the correlation information.

Description

    BACKGROUND OF THE INVENTION
  • The present invention generally relates to technology of evaluating performance of an integrated circuit such as CMOS (Complementary Metal-Oxide Semiconductor) and LSI (Large Scale Integration) in its design. More particularly, the present invention relates to technology of calculation of delay distribution, and removal and extraction of false paths.
  • In the VLSI (Very Large Scale Integration) design in deep sub-micron era, it is necessary to take variation in manufacturing process into account in advance so that circuits with required performance are produced with high yield. Like the technology such as OPC (Optical Proximity Correction), variation control by mask shape correction has become possible, and is increasingly required in practical applications. Therefore, the future VLSI physical design requires technology of designing a highly integrated, high performance circuit by setting proper design margins for each transistor in view of the manufacturing variation.
  • A method for estimating variation in circuit performance such as critical path delay resulting from manufacturing variation is essential to such design technology. Since the distribution of critical path delay is independent of input, statistical static timing analysis can be used as a method for estimating variation in critical path delay.
  • One method for statistical static timing analysis is to estimate the maximum delay on the assumption that variations in signal transmission time do not have a correlation (disclosed in M. Hashimoto and H. Onodera, “A performance optimization method by gate resizing based on statistical static timing analysis,” Proc. Workshop on Synthesis And System Integration of Mixed Technology (SASIMI 2000), pp. 77-82, 2000).
  • On the other hand, one method for static timing analysis of a combinational circuit formed from CMOS logic gates is as follows: a given circuit 100 as shown in FIG. 9 is represented by an acyclic graph G=(V, E) 200 as shown in FIG. 10, and in this graph G 200, the maximum delay required to propagate a value “0” or “1” is obtained for each output terminal v.
  • In FIG. 10, each dashed ellipse 210 corresponds to a primary input terminal and a primary output terminal of the circuit and input/output terminals of a logic gate. A white circle 211 and a black circle 212 in an ellipse 210 corresponding to a terminal v are 0-vertex v0 and 1-vertex v0 of v, respectively, where v0 and v1 indicate that the corresponding terminal v has signal values “0” and “1”, respectively.
  • Moreover, S indicates a set of sources into which no edge comes, and T is a set of sinks from which no edge goes out. The sources correspond to the primary input terminals, and the sinks correspond to the primary output terminals. Each directed path from a source to a sink in the graph G is referred to as a path. Although the direction of each edge is not shown in FIG. 10, every edge is a directed edge going out from a left vertex and coming into a right vertex.
  • In FIG. 10, each box 221, 222, 223 represents a logic gate in the circuit 100. The left vertices in each box correspond to the input terminals of the corresponding logic gate, and the right vertices in each box correspond to the output terminal of the corresponding logic gate. Each edge in the box goes out from a vertex representing input of the corresponding logic gate into a vertex representing output thereof. In the case where the box represents a NAND gate or a NOR gate, each edge in the box corresponds to pMOS or nMOS in the corresponding gate. The way to generate the edges is determined according to the type of logic gate. Each edge connecting vertices in different boxes corresponds to an interconnect, and edge e0 going out from 0-vertex of a terminal reaches 0-vertex of another terminal, and edge e1 going out from 1-vertex of a terminal reaches 1-vertex of another terminal.
  • The true maximum delay required to propagate a value “0” to a terminal v is herein denoted by d0(v), and the true maximum delay required to propagate a value “1” is herein denoted by d(1). Herein, d0(v), d1(v) for each terminal v of the circuit are represented by the longest path lengths d(v0), d(v1) from a sink to v0, v1on the graph G, respectively. Therefore, the delay required to transmit a signal value from a terminal v to a terminal w is assigned to each edge e=(v, w) as a weight t(e).
  • Simulation using such an acyclic graph made it possible to conduct timing analysis of a logic circuit by a relatively simple process.
  • In delay calculation of a signal z in the circuit 100 as shown in FIG. 9, however, if the delays of signals x and y heavily depend on the delay of a signal b, there is a significant correlation between the delays of the signals x and y. If there is variation in interconnect delay, there is also a correlation between the signal transmission delays of fanout of the signal b. Accordingly, the statistical analysis that does not take correlation into account is likely to be inaccurate.
  • When delay distribution estimation has poor accuracy, it must be ensured that an integrated circuit will operate in a normal condition even under a plurality of worst conditions which are not likely to occur simultaneously in actual situations, resulting in design including excessive margins. This unnecessarily increases the area and costs such as power consumption in the designed integrated circuit.
  • The conventional methods have additional problems.
  • The conventional methods include paths that cannot be simulated actually (false paths). This results in excessively increased calculation time, degraded accuracy in delay estimation, and the like.
  • The false paths can be divided into two types: logical false paths and functional false paths. A logical false path is a path that will not be activated actually since there is no input for logically propagating a signal to that path. A functional false path is a path that will not be activated since there is an input for activating that path but such an input will not be produced actually. For example, in FIG. 11, among the paths passing through two AND gates G1, G6 controlled by complementary signals z, /z, such a path that the input x of the AND gate G1 other than the input z becomes “1” and the output y of the AND gate G6 becomes “1” corresponds to a logical false path. For example, when operation by a series of operating units (X, M, Y) and a series of operating units (A, M, B) is required, the use of a common multiplier M would result in a series of operating units (A, M, Y) or (X, M, B). However, if the specification does not allow simultaneous operation of the operating units, these series of operating units correspond to functional false paths.
  • It is practically impossible for human beings to find logical false paths in view of the large circuit scale. Therefore, an automatic finding method using a computer is essential.
  • SUMMARY OF THE INVENTION
  • It is an object of the present invention to provide a method for calculating delay distribution of an integrated circuit, which is capable of calculating delay distribution more accurately according to an actual circuit.
  • It is another object of the present invention to provide an integrated circuit evaluation method having improved evaluation accuracy while avoiding effects of a false path. The present invention also proposes a method for extracting a false path from an integrated circuit to be designed.
  • More specifically, according to the present invention, in a method for calculating delay distribution in an integrated circuit to be designed, the delay distribution is calculated based on correlation information indicating a correlation of performance between interconnects or elements that are included in the integrated circuit.
  • According to the present invention, the delay distribution of the integrated circuit is calculated based on correlation information indicating a correlation of performance between the interconnects and elements that are included in the integrated circuit. This enables the delay distribution of the integrated circuit to be calculated with improved accuracy.
  • Preferably, the delay distribution calculation method according to the present invention includes the steps of: generating a graph representing the integrated circuit based on circuit information indicating connection between elements in the integrated circuit; and calculating delay distribution of each vertex in the graph by using performance distribution information and the correlation information. The performance distribution information indicates performance distribution of the interconnects and the elements that are included in the integrated circuit.
  • Preferably, the calculation step includes a first step of selecting from the graph a vertex that does not belong to a set of vertices whose delay distribution has been calculated as a vertex for calculation, and a second step of calculating for the vertex selected in the first step the delay distribution and a correlation of performance with each vertex belonging to the set, based on the performance distribution information and the correlation information, and the first and second steps are repeatedly conducted while adding to the set the vertex whose delay distribution has been calculated.
  • Preferably, the delay distribution calculation method according to the present invention includes the step of producing the correlation information based on layout of the integrated circuit by using correlation characteristics information. The correlation characteristics information indicates a relation between the correlation of performance between the interconnects or the elements and layout characteristics.
  • According to the present invention, a method for evaluating an integrated circuit to be designed includes: a first step of producing an equivalent circuit that does not include a signal transmission path corresponding to a false path, based on circuit information indicating connection between components in the integrated circuit; and a second step of evaluating the integrated circuit by using the equivalent circuit produced in the first step.
  • According to the present invention, evaluation can be conducted by using the equivalent circuit including no false path, allowing for rapid, accurate evaluation of performance of the integrated circuit.
  • Preferably, in the circuit evaluation method according to the present invention, false path information indicating the false path is used, and the false path information represents the false path by two vertices on a graph representing the integrated circuit.
  • Preferably, the first step includes the steps of extracting a first partial circuit provided with a first vertex as an input and a second vertex as an output, the first vertex and the second vertex being two vertices representing the false path, making a copy of the first partial circuit as a second partial circuit, and modifying connection of the first and second partial circuits and another circuit such that there exists no path from the first vertex toward the second vertex.
  • According to the present invention, in a method for extracting a false path in an integrated circuit to be designed, the false path is extracted using an activating condition of a non-control signal edge within each logic gate included in the integrated circuit.
  • Preferably, the false path extraction method according to the present invention includes the steps of: propagating a logic value listed in the activating condition of a non-control signal edge within a first gate by a propagation process; conducting repeatedly the propagation step while the value to be propagated is a control signal; and detecting a path from the first gate to a second gate as the false path when the propagated value is inconsistent with the activating condition of a non-control signal edge within the second gate.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a flowchart illustrating a delay distribution calculation method according to a first embodiment of the present invention;
  • FIG. 2 shows representation specifying false paths;
  • FIG. 3 shows an unmodified graph;
  • FIG. 4 is a flowchart illustrating a circuit evaluation method according to a second embodiment of the present invention;
  • FIG. 5 is a flowchart illustrating the process of producing an equivalent circuit in the circuit evaluation method of FIG. 4;
  • FIG. 6 shows a modified graph;
  • FIG. 7 shows another example of the modified graph;
  • FIG. 8 is a flowchart illustrating a false path extraction method according to a third embodiment of the present invention;
  • FIG. 9 shows an example of a logic circuit;
  • FIG. 10 shows an acyclic graph representing the circuit of FIG. 9;
  • FIG. 11 shows a logic circuit including false paths.
  • FIG. 12 is a flowchart illustrating the process of producing correlation information; and
  • FIG. 13 is a flowchart illustrating the process of obtaining correlation characteristics information.
  • DETAILED DESCRIPTION OF THE INVENTION First Embodiment
  • A method for calculating distribution of the maximum delay value for each terminal v of a circuit will be described in the first embodiment of the present invention. In the following description, d0(v) denotes the true maximum delay required to propagate a value “0” to a terminal v, and dl(v) denotes the true maximum delay required to propagate a value “1” to a terminal v.
  • First, a given circuit is represented by an acyclic graph G=(V, E) as shown in FIG. 10. For each edge e=(v, w), delay (weight of the edge e) t(e) required to transmit a signal value from a terminal v to a terminal w is regarded as a stochastic variable, and has a normal distribution N(μ, σ2) The mean β and variance σ2 of the delay t(e) are respectively denoted by β(e) and σ2(e). In other words, probability density function f(t(e)) of the delay t(e) is given by the following equation: f ( t ( e ) ) = 1 2 π σ ( e ) exp [ - ( t ( e ) - μ ( e ) ) 2 2 σ 2 ( e ) ] . ( 1 )
  • The delay t(e) of an edge e corresponding to an interconnect will now be described. It is herein assumed that the interconnect delay t(e) has variation with a normal distribution.
  • When an edge corresponding to an interconnect is an edge e0=(v0, w0) connecting 0-vertices of vertices v and w, there exists an edge e1=(v1 , w1) connecting 1-vertices of the vertices v and w. However, variations of delay t(el) of the edge e1 and delay t(e0) of the edge e0 are not independent of each other. Moreover, when two or more edges e′=(v, w′), e″=(v, w″) go out from a vertex v (that is, when a net has fanout), variations of delays t(e′), t(e″) of the edges e′, e″ are not independent of each other, either. For such a set of edges (e′, e″) in the same net, a correlation coefficient r(e′, e″)≠0 is introduced. The delay of an edge e′ corresponding to an interconnect is independent of the delay of an edge corresponding to an interconnect of a different net or an edge e″ that does not correspond to an interconnect (i.e., that is included in a logic gate), so that the correlation coefficient r(e′, e″)=0.
  • Hereinafter, the edges corresponding to a logic gate and the delays thereof will be described. The way to generate the edges in order to represent the maximum delay required to propagate “0” or “1″ to an output terminal w of the logic gate by the longest path length d(w0), d(w1) to 0-vertex w0 or 1-vertex w1 of a vertex w on the graph G will now be considered.
  • First, it is assumed that the logic gate is an AND gate, and the AND gate has an input terminal vi (1 . i . k) and an output terminal w. The delay d(w1) required to set the output w to “1” corresponds to the time after all inputs vi become “1”. Therefore, the delay d(w1) is calculated by
    d(w1)=max[d(v i1)+t( e i1|1≦i≦k] . . .   (2)
    under the condition that all inputs vi are “1”. Therefore, for each input vi is generated an edge e i 1=(v i1, w1) from 1-vertex v i 1 of the input vi to 1-vertex w1 of w. The delay t(ei 1) of the edge e i 1 corresponds to the time required for the output w to become “1” after the input vi becomes “1”.
  • On the other hand, the delay d(w0) required to set the output w of the AND gate to “0” is determined by the time required for a single input to become “0”. Accordingly, when every input vj other than vi (j ≠i; 1 . j . k) is “0 and d(vi0)+t(ei0) . d(vj0)+t(ej0), d(w0)=d(vi0)+t(ei0).
  • Therefore, d(w0) seems to be given by
    d(w0)=min[d(v i0)+t(e i0)|1≦i≦k] . . .   (3).
  • However, even when every input vj other than vi is “1”, d(w0)=d(vi0)+t(ei0). Therefore, provided that every input vj other than vi is “1” for 1 . j . k, the maximum delay d(w0) required to set w to “0” is given by the following equation:
    d(w0)=max[d(v i0)+t(e i0)|1≦i≦k] . . .   (4).
  • Therefore, for each input vi is generated an edge ei 0=(vi0, w0) from 0-vertex vi0 of the input vi to 0-vertex of the output w. The delay d(ei 0) of the edge ei 0 corresponds to the time required for the output w to become “0” after the input vi becomes “0”.
  • Thus adding the edges within the AND gate and determining the delays thereof enables the delays d(w1), d(w0) to be calculated by the maximum operation.
  • Hereinafter, specific description will be given for the case where the logic gate is a NAND gate. In this case, the expressions for setting the output w to “1” and for setting the output w to “0” need only be switched each other in the above equations (2), (4). Therefore, the delays d(w1), d(w0) can be calculated by the following equations:
    d(w1)=max[d(v i0)+t(e i0)|1≦i≦k] . . .   (5).
    d(w0)=max[d(v i1)+t(e i1)|1≦i≦k] . . .   (6).
  • Accordingly, for each input vi are generated an edge ei0=(vi0, w1) from 0-vertex vi 0 of the input vi to 1-vertex w1 of the output w, and an edge e i 1=(v i1, w0) from 1-vertex v i 1 of the input vi to 0-vertex w0 of the output w. The delay t(ei 0) of the edge ei 0 corresponds to the time required for the output w to become “1” after the input vi becomes “0” , and the delay t(ei 1 ) of the edge e i 1 corresponds to the time required for the output w to become “0” after the input vi becomes “1” . In the case where the logic gate is an inverter, k=1.
  • From the same discussion, in the case where the logic gate is an OR (or NOR) gate as well, d(w0) (d(w1) in the case of the NOR gate) and d(w1) (d(w0) in the case of the NOR gate) can be calculated by the following equations:
    d(w0)=max[d(v i0)+t(e i0)|1≦i≦k] . . .   (7).
    d(w1)=max[d(v i1)+t(e i1)|1≦i≦k] . . .   (8).
  • Accordingly, the edges are generated for the OR gate in the same manner as that for the AND gate, and are generated for the NOR gate in the same manner as that for the NAND gate. In the case of a CMOS composite gate as well, the edges are generated in the same manner as that for the NAND (NOR) gate.
  • In the case of an XOR gate, however, a value “0” of the input vi may set the output w to either a value “0” or “1”. Moreover, a value “138 of the input vi may set the output w to either a value “O” or “1”. Therefore, for each input vi are generated an edge ei 00=(vi0, w0) from 0-vertex viof the input vi to 0-vertex w0 of the output w, an edge ei 01=(vi0, w1) from 0-vertex vi 0 of the input vi to 1-vertex w1 of the output w, an edge eil 10=(v i1, w0) from 1-vertex v i 1 of the input vi to 0-vertex w0 of the output w, and an edge e i 11 =(v i1, w1) from 1-vertex v 1 1 of the input vi to 1-vertex w1 of the output w. The delay t(eibb) of each edge eibb′ (vib, wb′) corresponds to the time required for the output w to become b′ after the input vi becomes b, where b and b′ indicate either “0” or “1” .
  • By thus generating the edges within the logic gate, the longest path length to each sink obtained in the acyclic graph G=(V, E) is a candidate for critical path delay.
  • Hereinafter, variation in delay in the logic gate will be described.
  • In the case of a NAND gate, NOR gate or CMOS composite gate, the delays t(ei 0) and t(ei 1) of the edges correspond to switching delays of pMOS and nMOS to which the input vi of the gate is connected. Such a switching delay is the time during which a transistor is in a saturation region, and is determined by a saturation drain current Idsat, load capacitance C to be driven, slew rate of the gate voltage, and the like. The saturation drain current Idsat has variation, which mostly depends on variation in the gate length L. Like a threshold voltage Vth, the variation in the gate length L is approximately modeled by normal distribution. Therefore, the switching delay t(eib) is also modeled by normal distribution N(β, σ2).
  • Variation in the gate length L is affected by the spacing P between adjacent polysilicon gates, the transistor gate width W. the length LDIF of a diffusion region, and the like. Accordingly, by finding the relation between these values and variation in the gate length L, the spacing P, gate width W and diffusion region length LDIF can be obtained from the layout pattern. As a result, variation in the gate length L can be predicted, and hence variation in the switching delay can be estimated.
  • Such variation in the delay is affected by the spacing between adjacent polysilicon gates. Thus, variations of the delays of the edges corresponding to adjacent transistors are not independent of each other. Therefore, for a set of edges (e′, e″) included in the same logic gate, a correlation coefficient r(e′, e″ )≠0 is introduced. Such a correlation is considered for the logic gates other than the NAND gate and the NOR gate. When there is no correlation, correlation coefficient r(e′, e″)=0.
  • Since only the edges included in the same logic gate have a correlation, delays of the edges e′, e″, included in different gates are independent of each other, and hence r(e′, e″)=0. In the case of the NAND gate and the NOR gate, delays of the edges e′, e″ corresponding to different types of MOS are also independent of each other.
  • Since only the edges corresponding to the interconnects in the same net and the edges within a single logic gate have a correlation in terms of variation in the delay, there exists no (directed) path that passes through the edges having a correlation in terms of the delay. Accordingly, the delays of all the edges on a single path are independent of each other.
  • Hereinafter, calculation of maximum delay distribution of a circuit of interest will be described using the delay distributions of the logic gate and interconnects and the correlation therebetween.
  • When stochastic variables x and y with a correlation coefficient R[x, y]=ρ have normal distributions N(μ1, σ1 2) and N(μ2, σ2 2), respectively, the mean Exp[t] and variance Var[t] of t(=max[x, y]) are obtained by the following equations unless σ1 2−σ2 2=σ−1=0: Exp [ t ] = μ 1 · Φ ( β ) + μ 2 · Φ ( - β ) + α · φ ( - β ) ( 9 ) Var [ t ] = ( μ 1 2 + σ 1 2 ) · Φ ( β ) + ( μ 2 2 + σ 2 2 ) · Φ ( - β ) + ( μ 1 + μ 2 ) · α · φ ( β ) - Exp [ t ] 2 ( 10 )
    where t is approximated to a normal distribution with two variables, and
    α=√σ1 22 2−2σ1σ2ρ  (11)
    β=(μ1−μ2)/α  (12)
    φ ( x ) = 1 2 π exp [ - x 2 2 ] ( 13 ) Φ ( x ) = 1 2 π - x exp [ - y 2 2 ] y ( 14 )
    (disclosed in C. E. Clark, “The greatest of a finite set of random variables” Operations Research, vol. 9, pp. 145-152, 1961).
  • Provided that correlation coefficients between z and x and between z and y are R[x, z]=ρ1 and R[y, z]=ρ2, respectively, a correlation coefficient R[t, z] between t and a stochastic variable z with a normal distribution is given by the following equation using normal distributions of the three variables x, y, z: R [ t , z ] = R [ max [ x , y ] , z ] = [ σ 1 · ρ 1 · Φ ( β ) + σ 2 · ρ 2 · Φ ( - β ) ] Var [ t ] . ( 15 )
  • When using the above equations, the following equations regarding probability are required. Provided that f(x1, x2) is a probability density function of two variables x1, x2, the mean Exp[x1+X2] and variance Var[x1+x2] of x1+x2 are calculated by the following equations (16) and (17): Exp [ x 1 + x 2 ] = - - ( x 1 + x 2 ) · f ( x 1 , x 2 ) x 1 x 2 = - - x 1 · f ( x 1 , x 2 ) x 1 x 2 + - - x 2 · f ( x 1 , x 2 ) x 1 x 2 = - x 1 · f x 2 ( x 1 ) x 1 + - x 2 · f x 1 ( x 2 ) x 2 = μ 1 + μ 2 ( 16 ) Var [ x 1 + x 2 ] = - - ( x 1 + x 2 - μ 1 - μ 2 ) 2 · f ( x 1 , x 2 ) x 1 x 2 = - - { ( x 1 - μ 1 ) + ( x 2 - μ 2 ) } 2 · f ( x 1 , x 2 ) x 1 x 2 = - - ( x 1 - μ 1 ) 2 · f ( x 1 , x 2 ) x 1 x 2 + - - ( x 1 - μ 1 ) 2 · f ( x 1 , x 2 ) x 1 x 2 + - - 2 ( x 1 - μ 1 ) ( x 2 - μ 2 ) · f ( x 1 , x 2 ) x 1 x 2 = σ 1 2 + σ 2 2 + 2 · Cov [ x 1 , x 2 ] . ( 17 )
  • The mean Exp[x1+x2] and variance Var[x1+x2] of x1+x2 are thus given by the following equations (18) and (19):
    Exp[x 1 +x 2]= Exp[x 1 ]+Exp[x 2]  (18)
    Var[x 1+x2 ]=Var[x 1]+Var[x 2]+2Cov[x 1 , x 2]  (19)
    where Cov[x1, x2] indicates covariance. Provided that R[x1, x2] is a correlation coefficient, Cov[x1, x2] is defined by the following equation (20):
    Cov[x 1 , x 2]=SQRT[Var[x 1]Var[x 2]]·R[x 1 , x 2]  (20).
  • Provided that f(x1, x2, x3) is a probability density function of the three variables x1, x2, x3, covariance of x1+x2 and x3, Cov[x1+x2, x3], can be calculated by the following equation (21): Cov [ x 1 + x 2 , x 3 ] = - - - ( x 1 + x 2 - μ 1 - μ 2 ) ( x 3 - μ 3 ) · f ( x 1 , x 2 , x 3 ) x 1 x 2 x 3 = - - - ( x 1 - μ 1 ) ( x 3 - μ 3 ) · f ( x 1 , x 2 , x 3 ) x 1 x 2 x 3 + - - - ( x 2 - μ 2 ) ( x 3 - μ 3 ) · f ( x 1 , x 2 , x 3 ) x 1 x 2 x 3 = Cov [ x 1 , x 3 ] + Cov [ x 2 , x 3 ] . ( 21 )
    Thus, Cov[x1+x2, x3] is given by the following equation (22):
    Cov[ x 1 +x 2 , x 3 ]=Cov[ x 1 , x 3 ]+Cov[x 2 , x 3]  (22)
  • The given graph has a plurality of series edges. The procedure of replacing two series edges e′=(u, v), e′=(v, w) with a single edge e*=(u, v) will now be described using the above equations.
  • It is obvious from the way to produce the graph that the delays t(e′) and t(e″ ) are independent of each other, and hence t(e*)=t(e′)+t(e″ ). Therefore, the following equations (23) and (24) are satisfied:
    μ(e*)=μ(e′)+μ(e″)   (23)
    σ2(e*)=σ 2(e′)+σ2(e″)   (24)
  • From the above equations (20) and (22), a correlation coefficient ρ(e*, e) between t(e*) and delay t(e) of another edge e is given by the following equation (25): ρ ( e * , e ) = { σ ( ) · ρ ( , e ) + σ ( ) · ρ ( , e ) } / σ 2 ( ) + σ 2 ( ) . ( 25 )
    This can be confirmed by the following equation (26): ρ ( * , e ) = Cov [ t ( * ) , t ( e ) ] σ ( e * ) · σ ( e ) = Cov [ t ( e ) , t ( e ) ] + Cov [ t ( e ) , t ( e ) ] σ ( e * ) · σ ( e ) = σ ( e ) · σ ( e ) · ρ ( e , e ) + σ ( e ) · σ ( e ) · ρ ( e , e ) σ ( e ) · σ 2 ( e ) + σ 2 ( e ) = { σ ( e ) · ρ ( e , e ) + σ ( e ) · ρ ( e , e ) } / σ 2 ( e ) + σ 2 ( e ) . ( 26 )
    Such replacement of the series edges does not change independency of the delays of the edges on a path. In other words, if there is a path passing through both e and e*, ρ (e*, e)=0.
  • A probability density function f(x1, x2, . . . , xn) of normal distribution with n variables is given by the following equation (27): f ( x 1 , x 2 , , x n ) = σ ij ( 2 π ) n exp [ - 1 2 ( x - μ ) τ ( σ ij ) ( x - μ ) ] ( 27 )
  • where (x−μ) is a vector, (x−μ)τis a transposed vector of (x −μ), and (x −μ)τ=(x 1−μ1, x2−μ2, . . . , xn−μn). σij is a symmetric matrix given by the following equation (28), and σ σ ij = ( σ ij ) - 1 : σ ij = ( σ 1 2 σ 1 σ 2 ρ 12 σ 1 σ n ρ 1 n σ 1 σ 2 ρ 12 σ 2 2 σ 2 σ n ρ 2 n σ 1 σ n ρ 1 n σ 2 σ n ρ 2 n σ n 2 ) . ( 28 )
    ij|is a determinant of σ ij. μ, σ2 and ρ correspond to the mean, variance and correlation coefficient.
  • A probability density function f(x1, x2) of normal distribution with two variables having a correlation coefficient ρ is given by the following equation (29): f ( x 1 , x 2 ) = 1 2 πσ 1 σ 2 1 - ρ 2 exp [ - 1 2 ( 1 - ρ 2 ) { ( x 1 - μ 1 σ 1 ) 2 - 2 ρ ( x 1 - μ 1 σ 1 ) ( x 2 - u 2 σ 2 ) + ( x 2 - μ 2 σ 2 ) 2 } ] . ( 29 )
    For ρ=1, the two variables x1, x2 have the following relation: x 1 - μ 1 σ 1 = x 2 - μ 2 σ 2 . ( 30 )
  • Provided that f(x1, x2, . . . , xn) is a probability density function with n variables, the probability Pro[max[x1, x2, . . . , xn]·D] that all variables are not greater than D is given by the following equation (31): Pro [ max [ x 1 , x 2 , , x n ] D ] = - D - D - D f ( x 1 , x 2 , , x n ) x 1 x 2 x n . ( 31 )
    Such a value D that the probability Pro[max[x1, x2, . . . , xn]·D] is equal to or less than a specified value Y is obtained by solving the following integral equation (32):
    =∫−∞ D −∞ D . . . ∫−∞ D ƒ(x 1 , x 2 , . . . , x n)dx x dx 2 . . . dx n   (=)
    [Calculation of Delay Distribution]
  • Hereinafter, a method for calculating delay distribution of an integrated circuit using the above relations will be described.
  • More specifically, distribution of the maximum delay d(v) to a vertex v of a sink set T (v ∈ T) (i.e., mean Exp[d(v)] and variance Var[d(v)]), and correlation coefficient R[d(v), d(w)] between the delays to vertices v, w of the sink set T (v, w ∈ T) are obtained. Once these values are obtained, the probability that the critical path delay max[d(v)|v ∈ T] is equal to or less than D is obtained from the above equation (31), assuming that every d(v) has a normal distribution with |T| variables. The distribution thereof can be obtained by differentiating the equation (31) by D as given by the following equation (33): g ( D ) = [ - D - D - D f ( x 1 , x 2 , , x n ) x 1 x 2 x n ] D . ( 33 )
  • These values can be calculated numerically. However, such numerical calculation takes a very long time. In contrast, approximating the above equation (33) by normal distribution enables high-speed calculation of the distribution by repeatedly conducting the following procedure (the above equations (9) to (15)).
  • In the following description, d(v) denotes the maximum delay to each vertex v (the longest path length from a source u (u ∈S) to v), and m(v) (=Exp[d(v)]) and s(v) (=Var[d(v)]) denote the mean and variance of d(v), respectively. Moreover, r(v, w) (=R[d(v), d(w)]) denotes the correlation coefficient between the maximum delays d(v) and d(w) to two vertices v and w, and c(v, e) (=R[d(v), t(e)]) denotes the correlation coefficient between the maximum delay d(v) to a vertex v and the delay t(e) of an edge e.
  • FIG. 1 is a flowchart illustrating a delay distribution calculation method according to the first embodiment of the present invention. In the present embodiment, distribution of the maximum delay d(v) from a source to each vertex v (v ∈ V) is calculated on the topological order in the acyclic graph G. Therefore, a vertex set Front that always satisfies the following conditions (A), (B) and (C) is considered:
  • (A) For each vertex v (v ∈=Front), the mean m(v) and variance s(v) of the maximum delay d(v) are known;
  • (B) For any two vertices v, u (v, u ∈ Front), the correlation coefficient r(v, u) between the maximum delays d(v) and d(u) is known; and
  • (C) For each vertex v (v ∈ Front), the correlation coefficient c(v, e) between the maximum delay d(v) and the delay t(e) of an arbitrary edge e (e ∈ E) is known. Note that it is herein assumed that the series edges have been replaced with a single edge by the method described above.
  • First, in step S11, the following information is input: circuit information 11 indicating connection between elements in an integrated circuit; performance distribution information 12 indicating performance distribution of interconnects and elements such as logic gates which are included in the integrated circuit; and correlation information 13 indicating correlation between delay distributions of the interconnects and the elements. An acyclic graph representing the integrated circuit is generated based on the circuit information 11.
  • Then, in step S12, a vertex for delay distribution calculation is selected. Initially, Front=S (source set), and for each vertex u (u ∈ S), m(u)=s(u)=0. Since all the correlation coefficients between distinct vertices in the set Front can be set to zero, the above conditions are satisfied. Note that, if the arrival times of primary inputs vary and have differences, they can be considered in this step. In the subsequent repetition, a single vertex is sequentially selected from the acyclic graph on the topological order.
  • Thereafter, in step S13, correlation between the delay distribution of the vertex selected in step S12 and the vertex that has already been selected is calculated. A new vertex w is then added to the set Front while satisfying the above conditions (A), (B) and (C).
  • Assuming that a vertex in the set Front is vi (i=1, 2, . . . , k, . . . , h), such a vertex w that every incoming edge comes from the set Front is considered. For simplification, the incoming edges to the vertex w are denoted by e i=(vi, w) (i =1, 2, . . . , k). Such a set of vertices vi (vi ∈ Front) that all the terminal vertices u of the outgoing edges (vi, u) are included in FrontU.[w] is denoted by Eliminate.
  • Provided that d′i(w) is the maximum delay of paths from a source to the vertex w through an edge ei=(vi, w),
    d′ i(w)=d(v i)+t(e i)   (34)
    Therefore, based on the above equations (18) to (20), the mean m′i(w) and variance s′i(w) of d′i(w) can be obtained by the following equation (35): m i ( w ) = Exp [ d i ( w ) ] = m ( v i ) + μ ( e i ) s i ( w ) = Var [ d i ( w ) ] = s ( v i ) + σ 2 ( e i ) + 2 s ( v i ) · σ ( e i ) · c ( v i , e i ) . ( 35 )
  • Since the delays of the edges ei are independent of the delays of the edges on any path leading to vi, d(vi) and t(ei) are independent of each other, and c(vi, ei)=0. Therefore, the following relation is obtained: s i ( w ) = Var [ d i ( w ) ] = s ( v i ) + σ 2 ( e i ) . ( 36 )
  • From the above equations (20) and (22), for any vertex u (u ∈ Front) satisfying s(u)≠0 and any edge e (e ∈ E), s i ( w ) s ( u ) · r i ( w , u ) = s ( v i ) s ( u ) · r ( v i , u ) + s ( u ) · σ ( e i ) · c ( u , e i ) ( 37 ) s i ( w ) · σ ( e ) · c i ( w , e ) = s ( v i ) · σ ( e ) · c ( v i , e ) + σ ( e i ) · σ ( e ) · ρ ( e i , e ) . ( 38 )
    Therefore, the following relation is obtained:
    r′ i(w,u)={√s( v ir(v i , u)+σ(e ic( u, e i)}/√s′ i(i w)   (39)
    c′ i(w,e)={√s( v ic(v i , e)+σ(e i)·ρ(e i , e)}/√s′ i(i w)   (40).
    For s(u)=0, r′i(w, u)=0.
  • The maximum delay of paths from a source vO to a vertex w through any of the edges ej=(vj, w) (j=1, 2, . . . , i) is denoted by di(w), that is,
    d i(w)=max[d′ j(w)|1≦j≦i≦]  (41)
  • It is obvious that d1 (w)=d′1(w). Therefore, if the distribution for di-1 (w) has been obtained, that is, if the following values are known,
    m i-1(w)=Exp[d i-1(w)]  (42)
    s i-1(w)=Var[d i-1(w)]  (43)
    r i-1(w,u)=R[d i-1(w), d(u)]  (44)
    c i-1(w,e)=R[d i-1(w), t(e)]  (45)
    then the following equations (46) to (49) below will be obtained:
    m i(w)=Exp[d i(w)]  (46)
    s i(w)=Var[d i(w)]  (47)
    r i(w,u)=R[d i(w), d(u)]  (48)
    c i(w,e)=R[d i(w), t(e)]  (49)
    Therefore, the following equation (50) is used:
    d i(w)=max [d i-1(w), d′ i(w)]  (50).
  • Once the distribution of d(w) is obtained from i=2 to i=k, the vertex w can be added to the set Front. It can be seen that when the vertex w is added to the set Front, the new set Front=(Front−Eliminate)U[w] satisfies the above conditions (A), (B) and (C).
  • The distribution of di(w) is calculated using the above equations (9) to (15), that is, the following equation (51):
    α=SQRT[s i-1(w)+s′ i(w)−2SQRT[s i-1(w)s′ i(w)]·r′(w,w)]. . .   (51).
    r′i(w, w)=R[di-1(w), d′i(w)] can be calculated by the following equation (52): r i ( w , w ) = R [ d i - 1 ( w ) , d i ( w ) ] = Cov [ d i - 1 ( w ) , d ( v i ) + t ( e i ) ] s i - 1 ( w ) s i ( w ) = Cov [ d i - 1 ( w ) , d ( v i ) ] + Cov [ d i - 1 ( w ) , t ( e i ) ] s i - 1 ( w ) s i ( w ) = s i - 1 ( w ) s ( v i ) · r i - 1 ( w , v i ) + s i - 1 ( w ) · σ ( e i ) · c i - 1 ( w , e i ) s i - 1 ( w ) s i ( w ) = s ( v i ) · r i - 1 ( w , v i ) + σ ( e i ) · c i - 1 ( w , e i ) s i ( w ) . ( 52 )
    Accordingly, provided that α = s i - 1 ( w ) + s i ( w ) - 2 s i - 1 ( w ) { s ( v i ) · r i - 1 ( w , v i ) + σ ( e ) · c i - 1 ( w , e ) } ( 53 ) β = ( m i - 1 ( w ) - m i ( w ) ) / α , ( 54 )
    the distribution of di(w) can be calculated from the following equations (55) to (58): m i ( w ) = Exp max d i - 1 ( w ) , d j ( w ) = m i - 1 ( w ) · Φ ( β ) + m i ( w ) · Φ ( - β ) + α · φ ( β ) ( 55 ) s i ( w ) = Var [ max [ d i - 1 ( w ) , d i ( w ) ] ] = { ( m i - 1 ( w ) ) 2 + s i - 1 ( w ) } Φ ( β ) + { ( m i ( w ) ) 2 + s i ( w ) } Φ ( - β ) + { m i - 1 ( w ) + m i ( w ) } · α · φ ( β ) - { m i ( w ) } 2 ( 56 ) r i ( w , u ) = R [ max [ d i - 1 ( w ) , d i ( w ) ] , d ( u ) ] = s i - 1 ( w ) · r i - 1 ( w , u ) · Φ ( β ) + s i ( w ) · r i ( w , u ) · Φ ( - β ) s i ( w ) ( 57 ) c i ( w , e ) = R [ max [ d i - 1 ( w ) , d i ( w ) ] , t ( e ) ] = s i - 1 ( w ) · c i - 1 ( w , e ) · Φ ( β ) + s i ( w ) · c i ( w , e ) · Φ ( - β ) s i ( w ) . ( 58 )
    Accordingly, by repeating the process of adding a vertex w within the circuit to the set Front, all the vertices in the circuit can be added to the set Front, enabling delay distribution of the circuit to be calculated while taking a correlation into account.
  • By thus repeating the process of removing the set Eliminate from the set Front and adding a vertex w to the set Front so that Front=T, the processing is terminated. Whether the-condition for terminating the processing (that is, Front=T) is satisfied or not is determined in step S14.
  • When delay distribution calculation of all the vertices is completed, delay distribution information 14 of the output terminal, that is, the mean and variance of the delay to each vertex in the sink T, is output in step S15, and the processing is then terminated. Otherwise, the flow returns to step S12 so that the processing is continued.
  • Note that, in the present embodiment, the correlation information is represented by the correlation coefficient in the delay distribution. However, the correlation information may alternatively be represented by the correlation degree of a delay value itself. The delay distribution may be calculated using the correlation regarding performance other than the delay.
  • For example, the correlation information may be produced by referring to the layout of an integrated circuit to be designed by using correlation characteristic information. The term “correlation characteristics information″ herein indicates the relation between correlation of performance between interconnects or elements and characteristics in terms of the layout. FIG. 12 shows the process of producing correlation information.
  • Characteristics information of the elements include delay, gate width, gate length, oxide film thickness, ion implantation concentration, source-drain saturation current and threshold voltage of a transistor, and the like. The process variation of these values results from completely random factors, and factors that vary depending on the layout information such as shape, location and orientation. For example, the ion implantation concentration of the transistor diffusion layer depends on the orientation of an implantation apparatus in the manufacturing process. Therefore, the same characteristics are more likely to be obtained when the implantation apparatus has the same orientation. Moreover, the values such as ion implantation concentration and oxide film thickness are likely to vary continuously depending on the location of the elements. For example, two elements are more likely to exhibit similar characteristics when they are located closer. Furthermore, the gate width and the gate length are likely to vary depending on the surrounding layout pattern such as the distance to another gate. Moreover, there is a strong correlation of variation between the delays of distinct paths to the same logic gate and between the interconnect delays in the case where a common interconnect branches out.
  • Examples of the correlation characteristics information are as follows:
  • (1) the distance D between two elements and the correlation coefficient R between delay distributions of the two elements have the relation: R=a·exp(−D/b) (where a, b are a constant);
  • (2) the correlation coefficient is c when two elements are arranged with the same orientation, but is e when they are arranged with different orientations (where c, e are a constant);
  • (3) when an interconnect branches out, and the rate of a common part to the entire interconnect length is w, the correlation between the respective interconnect delays is R=f·w (where f is a constant); and
  • (4) the correlation coefficient between element delays is g when the element delays result from the same element, but is h when they result from distinct elements (where g, h are a constant).
  • Either at least one of the above relations or a combination thereof may be used.
  • The correlation characteristics information may be obtained by measurement. For example, an integrated circuit including a multiplicity of sample elements having different orientations, different surrounding layout patterns, different distances to another element is manufactured for characteristics evaluation, and characteristics of each element are measured in order to calculate the mean and variance of the element characteristics. FIG. 13 shows the process of obtaining correlation characteristics information. Even if the correlation between delay distributions cannot be obtained directly, it is known that a saturation current of a transistor is approximately proportional to the delay, and that the gate length is inversely proportional to the saturation current and the gate width is proportional to the saturation current. Therefore, if the correlation between the layout conditions and the values such as gate length, gate width and saturation current can be obtained, it can be used as correlation between the delays.
  • The correlation characteristics information can thus be obtained prior to the integrated circuit design, according to the process. In the actual integrated circuit design, correlation information between elements can be obtained from the correlation characteristics information, based on the information such as actual layout.
  • For example, correlation coefficient R between delay distributions of two elements arranged with the same. orientation and at a distance D is obtained by R=f(D, c, h). Herein, f(x, y, z) is an arbitrary function, for example, f(x, y, z) =Kyz/x (K is a constant).
  • Second Embodiment
  • The second embodiment of the present invention relates to a method for evaluating a given circuit by removing false paths.
  • A “logical false path” can be characterized by using information on the connection structure of a circuit. In other words, a logical false path can be defined as a path passing through both two vertices x and y in an acyclic graph G=(V, E). A “functional false path” can be specified as a path including a causative path, and a causative path can be defined as a path from a vertex x of X to a vertex y of Y by using a pair of vertex sets (X, Y) (disclosed in H. C. Chen and D. H. Du, “Path sensitization in critical path problem,” IEEE Trans. Computer-Aided Design of ICs and Systems, vol. 12, no. 2, pp. 196-207, 1993).
  • More specifically, in FIG. 2, false paths can be designed as paths passing through both a vertex 41 of X and a vertex 42 of Y in a specified pair of vertex sets (X, Y). Therefore, removing these paths from the graph G 400 would enable improved accuracy of the critical path delay.
  • A method for removing the false paths that can be specified by a pair of vertex sets (X, Y) will now be described. It is herein assumed that there exists no directed path between distinct two vertices x′ and x″ included in X, and no directed path between distinct two vertices included in Y. In a logic circuit, x ∈ X and y ∈ Y correspond to an input and an output of a gate, respectively. Therefore, the above assumption is not a contrived assumption. A set U′ indicates a set of vertices having both a directed path from a vertex x of X and a directed path to a vertex y of Y, and a set U indicates the set U′ having X and Y removed therefrom (U=U′−X−Y). G[U]=(U, E[U]) indicates a vertex section graph 43 of the graph G induced by the set U, where E[U]=[(v, w) ∈ E|v ∈ U, w ∈ U].
  • In FIG. 3, Out is a set 52 of terminal vertices of the edges going out from the set G[U] other than the vertex 42 of Y. and In is a set 51 of initial vertices of the edges coming into the set G[U] other than the vertex 41 of X. In other words, Out and In are defined as follows:
    Out={v∈V|(u,v)∈E, u∈U, v∉U, v∉Y}
    In={v∈V|(v,u)∈E, u∈U, v∉U, v∉X}.
  • It is obvious that Out and In satisfy the following conditions:
  • i. Out and In have no common vertex, and there exists no path from a vertex v of Out to a vertex w of In. The reason for this is as follows: assuming that there is a directed path from a vertex v to a vertex w, both a directed path from a vertex of X and a directed path to a vertex of Y exist for such a vertex v or w, which is inconsistent with the assumption of the set U;
  • ii. there exists no path from a vertex v of Out to a vertex y of Y; and
  • iii. there exists no path from a vertex x of X to a vertex v of In. Assuming that there exists such a path, the vertex v must be included in U, which is inconsistent with the assumption of the set U.
  • FIG. 4 is a flowchart illustrating a circuit evaluation method of the present invention. In FIG. 4, circuit information 21 and false path information 22 are first input in step S21. The circuit information 21 indicates the connection, performance and the like of a circuit to be evaluated. The false path information 22 represents each false path by a set of two vertices on the graph representing the integrated circuit.
  • In step S22, an equivalent circuit including no false path is then produced from the circuit information 21. If there are a plurality of false paths, step S22 is conducted repeatedly. In step S33, the circuit is evaluated in terms of the delay, power consumption and the like by using information 23 on the equivalent circuit including no false path.
  • FIG. 5 is a flowchart illustrating the process in step S22. An equivalent circuit is produced by modifying the acyclic graph G=(V, E) for the pair of vertex sets (X, Y).
  • First, as shown in FIG. 3, a partial circuit G[U] 43 is extracted in step S22a. As shown in FIG. 6, in step S22b, a copy of the partial circuit G[U] 43 is made, and a graph G″ 62 corresponding to a second partial circuit is generated. The original partial circuit G[U] 43 is represented by a graph G′61 corresponding to a first partial circuit. Then, in step S22c, all the edges from a vertex in the graph G′61 to y 42 are removed, and edges EG1 from a corresponding vertex in the graph G″ 62 to y 42 are generated instead. A copy EG3 of each edge EG2 going out from a vertex of In 51 into a vertex in the graph G′61 is generated between the vertex of In 51 and a corresponding vertex in the graph G″ 62. Note that each edge EG4 going out from a vertex in the graph G′61 into a vertex of Out 52 is left intact.
  • If a new sink is created in the graph G′61 or a new source is created in the graph g″ 62, the process of removing such vertices and edges connecting thereto is repeated until no sink or source exists in the graphs G′61 and G″ 62. The acyclic graph thus generated is denoted by G<(X, Y)>.
  • This graph <(X, Y)> satisfies the following conditions:
  • (i) There exists no path in G<(X, Y)> that passes through both a vertex x of X and a vertex y of Y;
  • (ii) For each path in the original graph G that does not pass through both a vertex of X and a vertex of Y, there exists a corresponding path in G<(X, Y)>; and
  • (iii) For each path in G<(X, Y)>, there exists a corresponding path (that passes through the same vertex and edge) in the original graph G.
  • Accordingly, obtaining variation in the critical path delay in G<(X, Y)> corresponds to obtaining variation in the maximum delay in a path that does not pass through both a vertex of X and a vertex of Y in the original graph G.
  • FIG. 7 shows another example of the graph modifying process for producing an equivalent circuit satisfying the above conditions. In step S22c, all the edges from a vertex in the graph G′61 corresponding to a first partial circuit to a vertex 42 of Y are removed, and edges EG5 from a corresponding vertex in the graph G″ 62 corresponding to a second partial circuit to a vertex 42 of Y are generated instead. After adding G″ 62 to G′61, a copy EG7 of each edge EG6 going out from a vertex in G′61 into a vertex of Out 52 is generated between a corresponding vertex in G″ 62 to the vertex in Out 52. Moreover, all the edges going out from a vertex of In 51 into a vertex in G′61 are removed, and edges EG8 from the respective vertex of In 51 to a corresponding vertex of G″ 62 are generated instead.
  • In the example of FIG. 6, the graph G′61 corresponding to a first partial circuit is connected to a first vertex 41, In 51 and Out 52, but is not connected to a second vertex 42. On the other hand, the graph G″ 62 corresponding to a second partial circuit is connected to the second vertex 42 and In 51, but is not connected to the first vertex 41 and Out 52. As a result, there exists no path from the first vertex 41 toward the second vertex 42.
  • In the example of FIG. 7, the graph G′61 corresponding to a first partial circuit is connected to the first vertex 41 and Out 52, but is not connected to the second vertex 42 and In 51. On the other hand, the graph G″ 62 corresponding to a second partial circuit is connected to the second vertex 42, In 51 and Out 52, but is not connected to the first vertex 41. As a result, there exists no path from the first vertex 41 toward the second vertex 42.
  • Hereinafter, a method for producing an equivalent circuit according to the present embodiment will now be described generally.
  • A set of all the false paths to be removed is represented by a set of pairs of vertex sets, F=[(Xi, Yi)|i =1, 2, . . . , f]. In order to remove all the false paths from G, the above modifying process is repeated for each pair (X, Y) (∈F). When creating G<X, Y>by modifying G, the vertices of U are copied. Therefore, each pair (X′, Y′) other than (X, Y) ((X′, Y′) ∉ F−[(X, Y)]) is corrected as follows by using U:
  • I. For X′∩ U ≠f:
  • When a copy of a vertex of x′∩ U remains in G<X, Y> without creating a new sink or source, the copied vertex is added to X′; and
  • II. For Y′∩ U ≠f:
  • When a copy of a vertex of Y′ ∩ U remains in G<X, Y> without creating a new sink or source, the copied vertex is added to Y′.
  • A non-updated pair is denoted by (X′, Y′) and an updated pair is denoted by (X″, Y″). It is obvious that there exists no directed path connecting vertices of X″ and no directed path connecting vertices of Y″ in G<X, Y>. In G, a set of paths passing through both a vertex of X and a vertex of Y is denoted by P(X, Y), and a set of paths passing through both a vertex of X′ and a vertex of Y′ is denoted by P(X′, Y′). In G<X, Y>, a set of paths passing through both a vertex of X″ and a vertex of Y″ is denoted by P′(X″, Y″). Every path included in P′(X″, Y″) corresponds to a path in P(X′, Y′). In other words, every path corresponding to a path in P(X′, Y′) and existing in G<X, Y> is included in P′(X″, Y″). Therefore, no path in G other than those in P(X′, Y′) is included in P′(X″, Y″).
  • Accordingly, provided that the graph obtained by modifying G<(X, Y)> for (X′, Y′) is G″, all the paths in P′(X″, Y″) have been removed from G″. This means that all the paths in P(X′, Y′) are removed from G. In other words, the following conditions are satisfied:
  • (i) There exists no path corresponding to P(X, Y) and no path corresponding to P(X′, Y′) in G;
  • (ii) All the paths included in G<X, Y> other than P′(X″, Y″) exist in G″. Accordingly, all the paths in G other than in P(X, Y) and P(X′, Y′) exist in G″; and
  • (iii) Every path in G″ has a corresponding path in G<X, Y>, and hence in G.
  • From the above, provided that the graph from which all the false paths specified by F have been removed by repeating the modification process of the graph and the update process of the pairs of vertex sets described above is G*=(V*, E*), respective paths on G*=(V*, E*) and the original graph G=(V, E) have the following relations:
  • (i) For each pair (X, Y) ∈ F, there exists no path in G* that passes through both a vertex x of X and a vertex y of Y;
  • (ii) All the paths in G other than the false paths specified by F exist in G*; and
  • (iii) Every path in G* has a corresponding path in G.
  • This process can be represented as follows:
  • For F=[(Xi, Yi)|i=1, 2, . . . , f], G_(1)=G<X_(1), Y_(1)>, Gi=Gi-1<Xi i-1, Yi i-1>(i=2, . . . , f), where Gi-1<Xi i-1, Yi i-1>(i=2, . . . , f) is a graph resulting from modifying the graph G i-1 for (Xi i-1, Yi i-1), and (Xi i-1, Yi i-1) (i=2, . . . , f) is a pair resulting from updating (Xi i-2, Yi i-2) by using Ui-1 defined by (Xi-1 i-2, yi-1 i-2)
  • Ui-1 is obtained by removing a vertex of X i-1 1-2 and a vertex of Yi-1 i-2 from a set of vertices on G i-1 =G_(i-2)<Xi-1 i-2, Yi-1 i-2>that have both a directed path from a vertex of X i-1 i-2 and a directed path to a vertex of Yi-1 i-2.
  • Starting with G_(0)=G, X_(1) (0)=X_(1), Y_(1) ·(0)=Y_(1), the process of producing Gi=Gi-1<Xi i-1, Yi i-1>is repeated for each (X i, Yi) (i=1, 2, . . . , f), whereby G f is obtained. Provided that a set of all the paths on G specified by F is P(F)=UiP(Xi, Yi), there exists no path on Gf that corresponds to P(F), and each path on G other than P(F) has a corresponding path on Gf.
  • Third Embodiment
  • The third embodiment of the present invention relates to a method for extracting false paths from a circuit to be designed. The extracted false paths can be removed by using the method of the second embodiment.
  • As described above in the second embodiment, a “logical false path” can be characterized by using information on the connection structure of a circuit. In other words, a logical false path can be defined as a path passing through both of two vertices x, y in an acyclic graph G=(V, E).
  • Like a signal value “0” in the AND gate, a signal- value that determines the output of a logic gate when applied to one input thereof is called a “control signal” . Like a signal value “1” in the AND gate, a signal value that does not determine the output of a logic gate even when applied to one input thereof is called a “non-control signal” . There are a control signal and a non-control signal for the AND, OR, NAND and NOR gates, and these signals have a negative relation. In contrast, in an inverter, both “0” and “1” are control signals, and in the XOR gate, both “0” and “1” are non-control signals. In other words, in the AND, OR, NAND and NOR gates and the inverter, the output is determined when a control signal applied to one input thereof.
  • The process of propagating a control signal.will be defined for each of the gates. For example, in FIG. 11, when z=“1”, the output of the inverter G4 is “0”, and the output c of the AND gate G 5 is “0”. Accordingly, z=1 leads to c=0 by the propagation process. As a result, z=0 when c=1. Note that this relation can be obtained by an inverse propagation process.
  • In order that a non-control signal path is activated in the AND, OR, NAND and NOR gate, all other input signals of the gate must be the non-control signal. In contrast, in order that a control signal path is activated in the AND, OR, NAND and NOR gate, either the non-control signal is transmitted to each input other than the input v corresponding to the path, or the control signal is transmitted to each input other than the input v after it arrives at the input v. This necessitates the use of the delay amount to determine whether the control signal path is activated or not. In contrast, whether the non-control signal path is activated or not can be determined regardless of the time factor, that is, can be determined only from the connection and the gate type.
  • In the-graph G=(V, E), the condition for an edge to be activated, that is, the condition that the non-control signal passes through all other edges coming into w, is given for each edge e=(v, w) within the logic gate through which the non-control signal is transmitted. This is herein referred to as “activating condition of a non-control signal edge e”. The condition that the non-control signal s is transmitted to the other edges e′=(u, w) coming into w is denoted by Net(u)=s, where Net(u) is the net (signal) incident to u of G.
  • If the signal s of Net(u) has fanout other than u, which is transmitted to another logic gate L as a control signal, the output value of the logic gate L is determined by the propagation process. The logic value listed in the activating condition of a non-control signal edge is propagated by the propagation process. For example, in FIG. 11, the activating condition of (v1, w1) in the gate G1 as a first gate is z=1, that is, Net(u)=1, which can be propagated to the input a=1 of the gate G4 and the input c =0 of the gate G6. As described before, z=0 when c=1. Therefore, it can be seen that the activating condition of an edge (bl, yl) in the AND gate G6 as a second gate, that is, c =1, will not be satisfied simultaneously with z=1. In other words, the edges (v1, w1) and (b1, y1) cannot be activated simultaneously. Therefore, each path passing through both edges (v1, w1) and (b1, y1) can be determined as a false path. Such a false path can be specified by a pair of vertices (v1, b1).
  • FIG. 8 is a flowchart illustrating a false path extraction method according to the third embodiment of the present invention. As shown in FIG. 8, circuit information 31 is first input in step S31. Then, a requisite condition of a signal for activating a non-control signal in each gate is determined in step S32. Enc=(unc, wnc) denotes an edge in the gate whose input corresponds to a non-control signal ncs, and RE(enc)=[(vnc, wnc) ∈ E] denotes a set of edges having the activating condition of Net(u)=ncs. Net(u) =ncs can determine the value of each net by the propagation process.
  • Thereafter, in step S33, a signal change of the gates that are not simultaneously activated is extracted based on the requisite condition determined in step S32. It is now assumed that the value of an input c of a gate G is a control signal cs of G, and G has an input b in addition to the input C. Provided that an edge in the gate G whose input c corresponds to the control signal ncs is enc′=(cnc, ync), each edge (bnc, ync) in RE(enc′)=[(bnc, ync) ∈ E] has the activating condition of Net(c)=ncs. Therefore, the edges (vnc, wnc) (∈ RE(enc)) and (bnc, ync) (∈ RE(enc′)) will not be activated simultaneously. Provided that suc(v) is a set of vertices in the gate G that are accessible from a vertex v through a directed path, a pair of vertices (vnc, bnc) specifies a false path.
  • Provided that m is the number of edges in a graph, all such pairs of vertices for a single edge enc can be found with time complexity of O(m). Therefore, all the pairs of vertices can be found with time complexity of O(m2).
  • As has been described above, according to the present invention, delay distribution of an integrated circuit is calculated while taking into account the correlation of performance between interconnects or elements, allowing for improved delay estimation accuracy. This prevents excessive margins in integrated circuit design, enabling reduction in area and power consumption.
  • Moreover, removing the false paths in evaluation of an integrated circuit allows for reduced time complexity and improved delay estimation accuracy.

Claims (3)

1-15. (canceled)
16. A method for extracting a false path in an integrated circuit to be designed, wherein the false path is extracted using an activating condition of a non-control signal edge within each logic gate included in the integrated circuit.
17. The method according to claim 16, comprising the steps of:
propagating a logic value listed in the activating condition of a non-control signal edge within a first gate by a propagation process;
conducting repeatedly the propagation step while the value to be propagated is a control signal; and
detecting a path from the first gate to a second gate as the false path when the propagated value is inconsistent with the activating condition of a non-control signal edge within the second gate.
US11/543,224 2000-11-22 2006-10-05 Delay distribution calculation method, circuit evaluation method and false path extraction method Abandoned US20070033554A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/543,224 US20070033554A1 (en) 2000-11-22 2006-10-05 Delay distribution calculation method, circuit evaluation method and false path extraction method

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
JP2000-355417 2000-11-22
JP2000355417 2000-11-22
JP2000360629 2000-11-28
JP2000-360629 2000-11-28
JP2001001075 2001-01-09
JP2001-001075 2001-01-09
US09/988,602 US6684375B2 (en) 2000-11-22 2001-11-20 Delay distribution calculation method, circuit evaluation method and false path extraction method
US10/739,309 US7131082B2 (en) 2000-11-22 2003-12-19 Delay distribution calculation method, circuit evaluation method and false path extraction method
US11/543,224 US20070033554A1 (en) 2000-11-22 2006-10-05 Delay distribution calculation method, circuit evaluation method and false path extraction method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/739,309 Division US7131082B2 (en) 2000-11-22 2003-12-19 Delay distribution calculation method, circuit evaluation method and false path extraction method

Publications (1)

Publication Number Publication Date
US20070033554A1 true US20070033554A1 (en) 2007-02-08

Family

ID=27345243

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/988,602 Expired - Fee Related US6684375B2 (en) 2000-11-22 2001-11-20 Delay distribution calculation method, circuit evaluation method and false path extraction method
US10/739,309 Expired - Fee Related US7131082B2 (en) 2000-11-22 2003-12-19 Delay distribution calculation method, circuit evaluation method and false path extraction method
US11/543,224 Abandoned US20070033554A1 (en) 2000-11-22 2006-10-05 Delay distribution calculation method, circuit evaluation method and false path extraction method

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/988,602 Expired - Fee Related US6684375B2 (en) 2000-11-22 2001-11-20 Delay distribution calculation method, circuit evaluation method and false path extraction method
US10/739,309 Expired - Fee Related US7131082B2 (en) 2000-11-22 2003-12-19 Delay distribution calculation method, circuit evaluation method and false path extraction method

Country Status (1)

Country Link
US (3) US6684375B2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060265680A1 (en) * 2005-05-20 2006-11-23 Cadence Design Systems, Inc. Method and system for chip design using physically appropriate component models and extraction
US20070074138A1 (en) * 2005-09-26 2007-03-29 Fujitsu Limited Delay analysis device, delay analysis method, and computer product
US20070204248A1 (en) * 2006-02-28 2007-08-30 Fujitsu Limited Delay analyzing method, delay analyzing apparatus, and computer product
US20100095179A1 (en) * 2007-04-23 2010-04-15 Kyushu Institute Of Technology Test pattern generation method for avoiding false testing in two-pattern testing for semiconductor integrated circuit
US20120072876A1 (en) * 2010-09-20 2012-03-22 Synopsys, Inc. Method and apparatus for reducing x-pessimism in gate-level simulation and verification
CN107784185A (en) * 2017-11-17 2018-03-09 青岛海信电器股份有限公司 The extracting method in pseudo- path, device and terminal device in a kind of gate level netlist

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4462745B2 (en) * 2000-10-13 2010-05-12 株式会社ルネサステクノロジ Delay time calculation method and recording medium
US6952812B2 (en) * 2001-02-13 2005-10-04 Freescale Semiconductor, Inc. Design analysis tool for path extraction and false path identification and method thereof
US6934200B2 (en) * 2001-03-12 2005-08-23 Indian Institute Of Science Yield and speed enhancement of semiconductor integrated circuits using post fabrication transistor mismatch compensation circuitry
US20030144825A1 (en) * 2002-01-28 2003-07-31 Korobkov Alexander I Prediction method and apparatus for delay and skew analysis
US7062733B1 (en) * 2002-03-15 2006-06-13 Synthesys Research, Inc. Method and apparatus for delay line calibration
US20040154010A1 (en) * 2003-01-31 2004-08-05 Pedro Marcuello Control-quasi-independent-points guided speculative multithreading
JP4282437B2 (en) * 2003-09-02 2009-06-24 Necエレクトロニクス株式会社 Integrated circuit delay time calculation method, timing analysis system, and delay time calculation program
US7111260B2 (en) * 2003-09-18 2006-09-19 International Business Machines Corporation System and method for incremental statistical timing analysis of digital circuits
JP4128131B2 (en) * 2003-11-19 2008-07-30 富士通株式会社 False path detection program
US7484194B2 (en) * 2005-07-18 2009-01-27 Synopsys, Inc. Automation method and system for assessing timing based on Gaussian slack
US7350171B2 (en) 2005-11-17 2008-03-25 Lizheng Zhang Efficient statistical timing analysis of circuits
CN100378737C (en) * 2005-11-29 2008-04-02 知亿科技股份有限公司 Circuit design assisting method
US8069016B2 (en) * 2005-12-08 2011-11-29 3M Innovative Properties Company Virtual designer
JP4774294B2 (en) * 2005-12-26 2011-09-14 富士通株式会社 Integrated circuit layout apparatus, method and program
JP4992249B2 (en) * 2006-02-27 2012-08-08 富士通セミコンダクター株式会社 Timing analysis method and apparatus, program, and storage medium
JP4773903B2 (en) * 2006-07-05 2011-09-14 富士通株式会社 A method to evaluate pessimistic errors in statistical timing analysis
JP2008112383A (en) * 2006-10-31 2008-05-15 Fujitsu Ltd Semiconductor integrated circuit design method and design program
CN101187958B (en) * 2006-11-20 2012-05-30 国际商业机器公司 Method and system for generating integrated electronic circuit layout pattern
US7814448B2 (en) * 2007-04-09 2010-10-12 International Business Machines Corporation Representing and propagating a variational voltage waveform in statistical static timing analysis of digital circuits
WO2010092825A1 (en) * 2009-02-13 2010-08-19 パナソニック株式会社 Circuit analysis method
US8601420B1 (en) * 2009-12-04 2013-12-03 Cadence Design Systems, Inc. Equivalent waveform model for static timing analysis of integrated circuit designs
US10169500B2 (en) * 2011-08-08 2019-01-01 International Business Machines Corporation Critical path delay prediction
US8906710B2 (en) 2011-12-23 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Monitor test key of epi profile
US8904322B2 (en) * 2013-03-26 2014-12-02 International Business Machines Corporation Structure for stacked CMOS circuits
US9122823B2 (en) 2013-12-20 2015-09-01 International Business Machines Corporation Stacked multiple-input delay gates
CN106897472A (en) * 2015-12-21 2017-06-27 北京宇航系统工程研究所 A kind of internal force extracting method for not changing interface coupling stiffness

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5365463A (en) * 1990-12-21 1994-11-15 International Business Machines Corporation Method for evaluating the timing of digital machines with statistical variability in their delays
US5448497A (en) * 1992-09-08 1995-09-05 Nec Research Institute, Inc. Exploiting multi-cycle false paths in the performance optimization of sequential circuits
US5452239A (en) * 1993-01-29 1995-09-19 Quickturn Design Systems, Inc. Method of removing gated clocks from the clock nets of a netlist for timing sensitive implementation of the netlist in a hardware emulation system
US5508937A (en) * 1993-04-16 1996-04-16 International Business Machines Corporation Incremental timing analysis
US5636372A (en) * 1994-09-30 1997-06-03 International Business Machines Corporation Network timing analysis method which eliminates timing variations between signals traversing a common circuit path
US5638290A (en) * 1995-04-06 1997-06-10 Vlsi Technology, Inc. Method for eliminating a false critical path in a logic circuit
US5659484A (en) * 1993-03-29 1997-08-19 Xilinx, Inc. Frequency driven layout and method for field programmable gate arrays
US5768130A (en) * 1995-05-15 1998-06-16 Lsi Logic Corporation Method of calculating macrocell power and delay values
US5847966A (en) * 1995-03-15 1998-12-08 Kabushiki Kaisha Toshiba Power estimation method for an integrated circuit using probability calculations
US20010020289A1 (en) * 1997-11-05 2001-09-06 Ivan Pavisic Modifying timing graph to avoid given set of paths
US6304836B1 (en) * 1996-10-28 2001-10-16 Advanced Micro Devices Worst case design parameter extraction for logic technologies
US6389381B1 (en) * 1997-06-13 2002-05-14 Fujitsu Limited Method and apparatus for calculating delay times in semiconductor circuit
US6457159B1 (en) * 1998-12-29 2002-09-24 Cadence Design Systems, Inc. Functional timing analysis for characterization of virtual component blocks
US6499129B1 (en) * 1998-07-22 2002-12-24 Circuit Semantics, Inc. Method of estimating performance of integrated circuit designs
US6557150B1 (en) * 1997-08-20 2003-04-29 Hitachi, Ltd. Method of extracting timing characteristics of transistor circuits, storage medium storing timing characteristic library, LSI designing method, and gate extraction method
US6714902B1 (en) * 2000-03-02 2004-03-30 Cadence Design Systems, Inc. Method and apparatus for critical and false path verification

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04211872A (en) 1990-03-19 1992-08-03 Nec Corp Timing inspection method for logic circuit
JPH07254009A (en) 1994-03-15 1995-10-03 Toshiba Corp Charging/discharging route searching method
JPH09198419A (en) 1996-01-22 1997-07-31 Toshiba Corp Method ad device for designing semiconductor device
JP3599531B2 (en) 1997-07-17 2004-12-08 株式会社日立製作所 Clock skew reduction method for semiconductor integrated circuit

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5365463A (en) * 1990-12-21 1994-11-15 International Business Machines Corporation Method for evaluating the timing of digital machines with statistical variability in their delays
US5448497A (en) * 1992-09-08 1995-09-05 Nec Research Institute, Inc. Exploiting multi-cycle false paths in the performance optimization of sequential circuits
US5452239A (en) * 1993-01-29 1995-09-19 Quickturn Design Systems, Inc. Method of removing gated clocks from the clock nets of a netlist for timing sensitive implementation of the netlist in a hardware emulation system
US5659484A (en) * 1993-03-29 1997-08-19 Xilinx, Inc. Frequency driven layout and method for field programmable gate arrays
US5508937A (en) * 1993-04-16 1996-04-16 International Business Machines Corporation Incremental timing analysis
US5636372A (en) * 1994-09-30 1997-06-03 International Business Machines Corporation Network timing analysis method which eliminates timing variations between signals traversing a common circuit path
US5847966A (en) * 1995-03-15 1998-12-08 Kabushiki Kaisha Toshiba Power estimation method for an integrated circuit using probability calculations
US5638290A (en) * 1995-04-06 1997-06-10 Vlsi Technology, Inc. Method for eliminating a false critical path in a logic circuit
US5768130A (en) * 1995-05-15 1998-06-16 Lsi Logic Corporation Method of calculating macrocell power and delay values
US6304836B1 (en) * 1996-10-28 2001-10-16 Advanced Micro Devices Worst case design parameter extraction for logic technologies
US6389381B1 (en) * 1997-06-13 2002-05-14 Fujitsu Limited Method and apparatus for calculating delay times in semiconductor circuit
US6557150B1 (en) * 1997-08-20 2003-04-29 Hitachi, Ltd. Method of extracting timing characteristics of transistor circuits, storage medium storing timing characteristic library, LSI designing method, and gate extraction method
US20010020289A1 (en) * 1997-11-05 2001-09-06 Ivan Pavisic Modifying timing graph to avoid given set of paths
US6499129B1 (en) * 1998-07-22 2002-12-24 Circuit Semantics, Inc. Method of estimating performance of integrated circuit designs
US6457159B1 (en) * 1998-12-29 2002-09-24 Cadence Design Systems, Inc. Functional timing analysis for characterization of virtual component blocks
US6714902B1 (en) * 2000-03-02 2004-03-30 Cadence Design Systems, Inc. Method and apparatus for critical and false path verification

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060265680A1 (en) * 2005-05-20 2006-11-23 Cadence Design Systems, Inc. Method and system for chip design using physically appropriate component models and extraction
US7533359B2 (en) * 2005-05-20 2009-05-12 Cadence Design Systems, Inc. Method and system for chip design using physically appropriate component models and extraction
US20070074138A1 (en) * 2005-09-26 2007-03-29 Fujitsu Limited Delay analysis device, delay analysis method, and computer product
US7320118B2 (en) * 2005-09-26 2008-01-15 Fujitsu Limited Delay analysis device, delay analysis method, and computer product
US20070204248A1 (en) * 2006-02-28 2007-08-30 Fujitsu Limited Delay analyzing method, delay analyzing apparatus, and computer product
US7516432B2 (en) * 2006-02-28 2009-04-07 Fujitsu Limited Circuit delay analyzing method, circuit delay analyzing apparatus, and computer product
US20100095179A1 (en) * 2007-04-23 2010-04-15 Kyushu Institute Of Technology Test pattern generation method for avoiding false testing in two-pattern testing for semiconductor integrated circuit
US8001437B2 (en) 2007-04-23 2011-08-16 Kyushu Institute Of Technology Test pattern generation method for avoiding false testing in two-pattern testing for semiconductor integrated circuit
US20120072876A1 (en) * 2010-09-20 2012-03-22 Synopsys, Inc. Method and apparatus for reducing x-pessimism in gate-level simulation and verification
US8650513B2 (en) * 2010-09-20 2014-02-11 Synopsys, Inc. Reducing x-pessimism in gate-level simulation and verification
CN107784185A (en) * 2017-11-17 2018-03-09 青岛海信电器股份有限公司 The extracting method in pseudo- path, device and terminal device in a kind of gate level netlist

Also Published As

Publication number Publication date
US7131082B2 (en) 2006-10-31
US6684375B2 (en) 2004-01-27
US20020104065A1 (en) 2002-08-01
US20040132224A1 (en) 2004-07-08

Similar Documents

Publication Publication Date Title
US6684375B2 (en) Delay distribution calculation method, circuit evaluation method and false path extraction method
US8631369B1 (en) Methods, systems, and apparatus for timing and signal integrity analysis of integrated circuits with semiconductor process variations
US5796624A (en) Method and apparatus for designing circuits for wave pipelining
US7239997B2 (en) Apparatus for statistical LSI delay simulation
US7039882B2 (en) Technology dependent transformations for Silicon-On-Insulator in digital design synthesis
US6427226B1 (en) Selectively reducing transistor channel length in a semiconductor device
US7716618B2 (en) Method and system for designing semiconductor circuit devices to reduce static power consumption
US20040230924A1 (en) Method for tuning a digital design for synthesized random logic circuit macros in a continuous design space with optional insertion of multiple threshold voltage devices
US20060107244A1 (en) Method for designing semiconductor intgrated circuit and system for designing the same
Agarwal et al. Statistical clock skew analysis considering intradie-process variations
US8316339B2 (en) Zone-based leakage power optimization
KR19980064044A (en) Hot carrier degradation estimation method
KR20090077692A (en) Semiconductor-device manufacturing method, semiconductor-device manufacturing program and semiconductor-device manufacturing system
JP2002279012A (en) Method for calculating delay distribution, method for evaluating circuit and method for extracting false path
US6199183B1 (en) Method of forming a scan path network
US7168014B2 (en) Propagating an error through a network
KR100482894B1 (en) How to Optimize Device Sizes in Semiconductor Devices
US20100306724A1 (en) Method of incremental statistical static timing analysis based on timing yield
Antreich et al. Circuit optimization driven by worst-case distances
US6223333B1 (en) Pattern matching method, timing analysis method and timing analysis device
US20080141201A1 (en) Black box timing modeling method and computer system for latch-based subsystem
Antoniadis et al. EVT-based worst case delay estimation under process variation
US7328423B2 (en) Method for evaluating logic functions by logic circuits having optimized number of and/or switches
JP2005285144A (en) False path extraction method
JP2005327308A (en) Circuit performance evaluation method

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION