US20070132056A1 - Isolation structures for semiconductor integrated circuit substrates and methods of forming the same - Google Patents

Isolation structures for semiconductor integrated circuit substrates and methods of forming the same Download PDF

Info

Publication number
US20070132056A1
US20070132056A1 US11/298,075 US29807505A US2007132056A1 US 20070132056 A1 US20070132056 A1 US 20070132056A1 US 29807505 A US29807505 A US 29807505A US 2007132056 A1 US2007132056 A1 US 2007132056A1
Authority
US
United States
Prior art keywords
dielectric material
trench
layer
substrate
field oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/298,075
Inventor
Richard Williams
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Analogic Technologies Inc
Original Assignee
Advanced Analogic Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US11/298,075 priority Critical patent/US20070132056A1/en
Application filed by Advanced Analogic Technologies Inc filed Critical Advanced Analogic Technologies Inc
Assigned to ADVANCED ANALOGIC TECHNOLOGIES, INC. reassignment ADVANCED ANALOGIC TECHNOLOGIES, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WILLIAMS, RICHARD K.
Priority to JP2008544483A priority patent/JP5438973B2/en
Priority to KR1020087014965A priority patent/KR20080098481A/en
Priority to KR1020117022767A priority patent/KR101323497B1/en
Priority to KR1020117014787A priority patent/KR20110081909A/en
Priority to EP06844906A priority patent/EP1958249A1/en
Priority to PCT/US2006/046579 priority patent/WO2007070311A1/en
Priority to KR1020117014788A priority patent/KR20110079861A/en
Priority to CN2006800525978A priority patent/CN101366112B/en
Priority to TW103103967A priority patent/TWI544573B/en
Priority to TW095146069A priority patent/TWI460818B/en
Publication of US20070132056A1 publication Critical patent/US20070132056A1/en
Priority to US12/150,727 priority patent/US7915137B2/en
Priority to US12/150,704 priority patent/US7923821B2/en
Priority to US12/150,732 priority patent/US7994605B2/en
Priority to US12/150,609 priority patent/US7955947B2/en
Priority to JP2013076644A priority patent/JP2013168662A/en
Priority to JP2014224436A priority patent/JP6026486B2/en
Priority to JP2016075672A priority patent/JP6263569B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers

Definitions

  • This invention relates to semiconductor chip fabrication and in particular to methods of fabricating structures to isolate electrically the active or passive devices formed on a semiconductor chip.
  • isolation structures early in the process because they can also act as barriers or stops to the lateral diffusion of dopants, thereby allowing a more closely packed device population on the surface of the chip.
  • a dielectric-filled trench can function as a diffusion stop as well as an electrical isolation structure.
  • FIGS. 1A-1C This problem is illustrated in FIGS. 1A-1C .
  • a trench 101 has been etched in a semiconductor substrate 100 .
  • trench 101 has been filled with a dielectric material 102 and the top surface has been planarized (e.g., by chemical-mechanical polishing) to form an isolation structure.
  • FIG. 1C shows the isolation structure after further processing, with part of the dielectric material 102 removed or eroded so as to form a recess or gap 103 on the top surface of the structure.
  • Dielectric materials that are resistant to etching in normal semiconductor processes e.g., silicon nitride
  • a second problem stems from the fact that chips are generally divided into two general areas: broad or wide “field” areas and more densely-packed device areas, sometimes referred to as “active” areas. It is preferable to form relatively narrow, deep trenches in the active areas to maintain a tight packing density and to form relatively wide trenches in the field areas to space out the devices over larger distances. This creates a problem in filling the trenches.
  • the narrow trenches may be filled while the wide trenches are difficult to fill.
  • using numerous narrow trenches to cover large distances in the field areas can complicate the topography of the chip.
  • an isolation structure is formed by filling a trench in a semiconductor substrate with a “dielectric fill.”
  • the dielectric fill includes a first dielectric material and a second dielectric material.
  • the first dielectric material is located in a lower portion of the trench; the second dielectric material is located in an upper portion of the trench, the lower portion typically being larger in the vertical dimension than the upper portion.
  • the surface of the second dielectric material is substantially coplanar with a surface of the substrate.
  • the first and second dielectric materials are dissimilar in the sense that the second dielectric material is not etched by a chemical which etches the first dielectric material. Thus in subsequent processing the second dielectric material forms a protective cap over the first dielectric material.
  • the first dielectric material is a relatively soft, low-stress material and the second dielectric material is a relatively hard, etch-resistant material. Cracking problems can be avoided by limiting the thickness of the second dielectric layer to a value that provides protection during later etching processes but does not create stress problems.
  • the trench may be filled with a “graded” dielectric, wherein the proportion of the second dielectric material in the dielectric fill increases gradually as one moves upward towards the mouth of the trench.
  • the sidewalls of the trench may be lined with an oxide layer to prevent dopants from the dielectric fill from migrating into the semiconductor substrate.
  • the first dielectric material is a silicon oxide and silicate glass, either doped or undoped.
  • the second dielectric can be silicon nitride, a polyimide or any dielectric material containing little or no silicon oxide.
  • the substrate may also include the lower portion of a field oxide region, typically formed by a local oxidation of silicon (LOCOS) process.
  • LOC local oxidation of silicon
  • the surface of the field oxide region is also substantially coplanar with the surface of the substrate.
  • a protective cap may be formed over the field oxide.
  • the substrate contains two isolation structures, the first formed in a relatively shallow, wide trench, the second formed in a relatively narrow, deep trench. Both trenches are filled with a dielectric fill and the surface of the dielectric fill is substantially coplanar with the surface of the substrate.
  • a protective cap of the kind described above may be formed at the mouth of each trench.
  • one or more field oxide regions are formed in the same substrate as one or more trench isolation structures.
  • Field doping regions of predetermined conductivity type and doping concentration may be formed under the field oxide regions.
  • protective dielectric caps may be formed where the trenches and field oxide regions meet the plane of the surface of the substrate.
  • the surface of the entire structure is substantially coplanar. The surface mab be planarized by using a chemical etchback, a plasma-enhanced or reactive ion etch (RIE), chemical-mechanical polishing (CMP) or some combination thereof.
  • RIE reactive ion etch
  • CMP chemical-mechanical polishing
  • the invention also includes methods of fabricating isolation structures.
  • One such method includes forming a trench in the semiconductor substrate; depositing a first dielectric material in the trench; removing a portion of the first dielectric material such that a surface of the first dielectric material is located at a first level below a second level of a top surface of the substrate, thereby forming a recess; depositing a second dielectric material in the recess; and removing a portion of the second dielectric material such that a surface of the second dielectric material is substantially coplanar with the surface of the substrate, thereby forming a protective cap in the trench.
  • Another method includes thermally forming a field oxide region at a surface of the semiconductor substrate; forming a trench in the substrate; depositing a first dielectric material in the trench; removing a portion of the first dielectric material such that a surface of the first dielectric material is located at a first level below a second level of the surface of the substrate, thereby forming a recess; depositing a second dielectric material in the recess; and removing portions of the field oxide region and the second dielectric material such that a surface of the field oxide region and a surface of the second dielectric material are substantially coplanar with the surface of the substrate, thereby forming a protective cap in the trench.
  • the methods of this invention are highly flexible and can be used to form isolation regions necessary meet the varying demands of different regions and devices in a semiconductor substrate.
  • the topography of the substrate is maintained extremely flat, or at least sufficiently flat as not to interfere with or complicate the formation of fine line widths and submicron features or the interconnection thereof during subsequent processing.
  • Protective caps can be used to protect the dielectric materials from erosion during subsequent processing.
  • FIGS. 1A-1C illustrate the problem that occurs when the dielectric in a trench which serves as an isolation structure is eroded during later processing.
  • FIGS. 2A-2F illustrate a process for forming an isolation structure that includes a protective cap at the mouth of a dielectric-filled trench.
  • FIGS. 3A-3D illustrate a process for forming a modified version of the isolation structure of FIG. 2F where an oxide layer is formed on the walls of the trench adjacent the protective cap.
  • FIG. 4 illustrates how the oxide layer shown in FIG. 3D may be eroded in subsequent processing.
  • FIG. 5 is a flow chart, in “card” form, of the processes shown in FIGS. 2A-2F and 3 A- 3 D.
  • FIGS. 6A-6C illustrate a process for forming isolation structures that include a broad or wide field oxide region and a relatively narrow dielectric-filled trench.
  • FIGS. 7A-7H illustrate a processing for forming isolation structures which include a wide, shallow trench and a narrow, deep trench.
  • FIGS. 8A-8J illustrate another process for forming isolation structures that include a broad or wide field oxide region and a relatively narrow dielectric-filled trench, with a protective cap formed at the top of each structure.
  • FIGS. 9A-9E illustrate a process for forming isolation structures that include a pair of field oxide regions and a dielectric-filled trench, with field doping regions beneath the field oxide regions.
  • FIGS. 2A-2F illustrate a process for fabricating a trench isolation structure that avoids the formation of a gap or recess at the top of the trench, as shown in FIG. 1C .
  • an oxide or “hard mask” layer 121 is formed on the top surface of a semiconductor substrate 120 , and a photoresist layer 122 is deposited on top of hard mask layer 121 .
  • the term “hard mask” is used herein to refer to a thermally grown or deposited dielectric layer used as a mask during the etching of a trench in semiconductor substrate 120 .
  • the “hard mask” is distinguished from the organic photoresist layer 122 , for example, which is mechanically softer and therefore subject to erosion during the trench etch process.
  • An opening is formed in photoresist layer 122 by a normal photolithographic process, and an opening 123 is etched in hard mask layer 121 through the opening in photoresist layer 122 .
  • substrate 120 is etched through the opening 123 to form a trench 124 . It is generally preferably to remove photoresist layer 132 prior to the etching of the trench, since photoresist layer 132 may interfere with the trench etching process, change shape during the trench etching process, and possibly introduce undesirable organic contaminants into the trench.
  • a reactive ion etch (RIE) can be used to achieve an anisotropic etch, producing a trench 124 having vertical walls.
  • a relatively thin oxide layer 125 is grown thermally on the walls and floor of trench 124 .
  • a sacrificial oxide layer can be formed to remove crystal defects caused by the RIE process, the sacrificial oxide layer can be removed, and then a second oxide layer can be grown.
  • the thickness of oxide layer 125 could be from 100 to 1000 ⁇ , typically about 300-400 ⁇ . If trench 124 is later filled with a doped dielectric material, oxide layer 125 will prevent the dopant from entering the semiconductor material surrounding trench 124 .
  • a relatively thick layer 126 of a glass such as borophosphosilicate glass (BPSG) is spun onto the surface of substrate 120 , completely filling trench 124 .
  • the BPSG could be doped to reduce its viscosity, or it could be undoped.
  • the BPSG could be deposited by chemical vapor deposition (CVD).
  • CVD chemical vapor deposition
  • oxide layer 125 acts as a barrier to prevent the dopant from entering and doping substrate 120 .
  • BGSG layer 126 is sufficiently thick (e.g., 0.5 to 1.0 ⁇ m thick) that its top surface is relatively planar, with only a small dent over the location of trench 124 . If desired, a high temperature reflow can be used to further planarize the surface of BPSG layer 126 .
  • BPSG layer 126 and sidewall oxide layer 125 are etched back until their top surfaces are below the surface of substrate 120 , forming a recess 130 .
  • the surface of BPSG layer 126 may be from 0.1 to 0.5 ⁇ m (typically about 0.2 to 0.3 ⁇ m) below the surface of substrate 120 .
  • a layer 131 of another dielectric is deposited, filling recess 130 and overflowing the surface of substrate 120 .
  • Layer 131 is then planarized by CMP or etchback to form a protective cap 132 , which completely covers and protects oxide layer 125 and BPSG layer 126 .
  • the top surface of cap 132 is preferably coplanar with the surface of substrate 120 , although it could vary by 0.1 ⁇ m in height across the wafer.
  • FIG. 2F shows the structure after cap 132 has been formed.
  • Layer 131 and cap 132 should be formed of a material that is not significantly etched by the cleaning and etching steps that are to take place later in the process.
  • layer 131 may be formed of silicon nitride.
  • the material of which layer 131 is formed does not etch at all, or etches substantially slower than BPSG layer 126 or oxide layer 125 , in the subsequent processing steps.
  • a protective cap according to this invention can be formed at any time during the process to protect the trench-fill material from subsequent erosion of the kind shown in FIG. 1C .
  • silicon nitride that can provide a protective shield against further etching typically do not deposit very uniformly and thus it is difficult to get them to fill a trench. Moreover, silicon nitride tends to crack when deposited thickly. These problems are overcome by filling the trench with a softer, less brittle material such as BPSG and then covering the material with a relatively thin protective cap of a harder, more brittle material such as silicon nitride.
  • Table 1 shows the relative removal rates of materials that can be used to fill the trench for several etchants or removal methods.
  • FIGS. 3A-3D There are numerous variations of the process illustrated in FIGS. 2A-2F .
  • FIGS. 3A-3D One such variation is shown in FIGS. 3A-3D .
  • FIG. 3A is similar to FIG. 2D and shows the structure after BPSG layer 126 and oxide layer 125 have been etched back until their top surfaces are below the surface of substrate 120 .
  • a thin oxide layer 140 is then thermally grown on the surface of substrate 120 and, as shown in FIG. 3C , nitride layer 131 is then deposited.
  • oxide layer 140 separates nitride layer 131 from semiconductor substrate 120 .
  • an oxynitride layer may be deposited using chemical vapor deposition (CVD).
  • CVD chemical vapor deposition
  • nitride layer 131 When nitride layer 131 is planarized or etched back, as shown in FIG. 3D , the nitride cap that remains in the trench is not in contact with the sidewalls of the trench. While this cap may not provide as effective a seal as the embodiment show in FIG. 2F , the presence of oxide (or oxynitride) layer 140 on the walls of the trench tends to reduce the stress that is attributable to the different thermal expansion coefficients of nitride and silicon, respectively. Oxide (or oxynitride) layer 140 thus provides stress relief.
  • gap 150 is nonetheless much smaller than the recess 103 , shown in FIG. 1C , and much easier to fill with a subsequent layer of, for example, BPSG. It is preferable, however, not to remove all of oxide layer 140 .
  • FIG. 5 is a flow chart summarizing the processes described above, each step being represented by a “card” (the clipped cards denoting optional steps).
  • the trench is formed by depositing a hard mask layer (e.g., oxide or nitride), depositing a photoresist layer, patterning the photoresist layer to create a trench mask, etching the hard mask layer through an opening in the trench mask, optionally //the photoresist layer, and etching the trench through an opening in the hard mask layer.
  • a hard mask layer e.g., oxide or nitride
  • a sacrificial oxide layer can be formed on the walls of the trench and removed, a lining oxide layer is grown, the trench is filled with a dielectric (e.g., BPSG), and optionally the dielectric can be planarized by etching or CMP.
  • a dielectric e.g., BPSG
  • the dielectric fill is etched back into the trench, optionally an oxynitride or oxide layer is grown or deposited on the walls of the trench, and a nitride layer is deposited and etched back until it is substantially coplanar with the top surface of the substrate.
  • a non-planar structure 200 is illustrated in FIG. 6A .
  • a substrate 205 has a top surface 202 .
  • a trench 201 has been etched in substrate 205 and a field oxide region 203 has been thermally grown in the substrate such that field oxide region extends upward beyond the surface 202 as well as downward into the substrate.
  • a polysilicon layer 204 has been deposited on top of field oxide region 203 .
  • an etchback can be used to planarize the surface of the dielectric with surface 202 . Otherwise, if CMP is used to planarize the dielectric, it is clear that polysilicon layer 204 as well as a portion of field oxide region 203 will be removed.
  • FIG. 6B shows trench 201 lined with an oxide layer 206 and filled with BPSG 207 , both of which have been etched back into the trench. The entire structure is covered with a nitride layer 208 , which also fills the upper portion of the trench.
  • FIG. 6C the top surface has been planarized by CMP, leaving the bottom portion 209 of field oxide region 203 and a protective nitride cap 210 over the BPSG 207 and oxide layer 206 .
  • the top surface is totally flat. Since having a nonplanar top surface greatly complicates further processing, the flat structure shown in FIG. 6C is preferable to the structure shown in FIG. 6A . Furthermore, since field oxide region 203 is grown by thermal means, the remaining region 209 can be very wide, whereas the trench can be very narrow. To summarize, the structure shown in FIG. 6C includes a “capped” trench that is resistant to etching because of cap 210 and an “uncapped” field oxide region 209 .
  • FIGS. 7A-7H illustrate a process by which a wide isolation trench and a narrow isolation trench can be formed using a minimal number of steps.
  • a hard mask layer 252 has been deposited on a substrate 251 , and a photoresist layer 253 has been deposited on top of hard mask layer 252 .
  • Photoresist layer 253 is etched to form a wide opening and hard mask layer 252 is etched through the wide opening in photoresist layer 253 to form a wide opening 254 which exposes the surface of substrate 251 .
  • substrate 251 is etched by RIE to form a wide trench 260 .
  • Photoresist layer 253 is removed and a new photoresist layer 257 is deposited. If trench 260 is not too deep, photoresist layer 257 will cover the step between the bottom of trench 260 and the top surface of substrate 251 .
  • a relatively narrow opening is etched in photoresist layer 257 , and hard mask layer 252 is etched through the opening in photoresist layer 257 to form a narrow opening 256 which exposes the surface of substrate 251 .
  • layer 257 may represent a deposited hard mask dielectric layer patterned and etched by a photoresist layer (not shown).
  • substrate 251 is etched by RIE to form a narrow trench 261 .
  • Photoresist (or hard mask) layer 257 and hard mask layer 252 are then removed, or patterned and etched.
  • a sacrificial oxide layer (not shown) can be grown in trenches 260 and 261 and removed to repair any crystal damage resulting from the RIE processes.
  • a thin oxide layer 262 is grown as a barrier against the diffusion of dopants into substrate 251 , and a layer 263 of BPSG is deposited over the entire surface of the structure.
  • layer 263 could include any doped or undoped CVD-deposited or spin-on silicon oxide or silicate glass or any other dielectric “fill” material, provided that the dielectric fill material exhibits sufficiently low stress so as to avoid cracking during subsequent processing steps, during assembly, and during temperature variations encountered during device operation.
  • process sequence could be revised such that the narrower trench is formed before the wider trench.
  • the entire top surface of the structure is planarized by CMP or by a short chemical etchback followed by CMP.
  • oxide layer 262 and BPSG layer 263 are etched back (e.g., by an acid or dry etch) into trenches 260 and 261 to form depressions 270 and 271 , as shown in FIG. 7F .
  • a dielectric dissimilar to silicon dioxide, silicate glass, or BPSG e.g., nitride or polyimide
  • FIG. 7G A dielectric dissimilar to silicon dioxide, silicate glass, or BPSG (e.g., nitride or polyimide) is deposited over the top surface of the structure, as shown in FIG. 7G , and the top surface is again planarized to form protective caps 280 in the mouths of trenches 260 and 261 , shown in FIG. 7H .
  • the material used to form caps 280 may comprise a brittle or high stress material, provided that the material is not eroded by the normal etches encountered during subsequent wafer processing in IC manufacturing and provided that caps 280 are made sufficiently thin to avoid cracking.
  • FIGS. 8A-8J illustrate a process for forming a capped isolation trench and capped field oxide region.
  • a pad oxide layer 302 is grown on silicon substrate 301 , and as in a typical local oxidation of silicon (LOCOS) sequence, a nitride layer 303 is deposited on pad oxide layer 302 .
  • Pad oxide layer can be 300 to 1000 ⁇ thick, for example.
  • Nitride layer 303 is etched through a mask layer (not shown) to form a wide opening 304 which exposes pad oxide layer 302 .
  • the structure is heated (for example, to 900-1100° C. for 1 to 4 hours) to form a thick field oxide region 305 in opening 304 .
  • nitride layer 303 is lifted up by the expanding oxide at the edge of opening 304 , forming the familiar “bird's beak” shape.
  • the remaining portion of nitride layer 303 is etched ( FIG. 8C ), and the top surface is planarized by a CMP process, yielding the result shown in FIG. 8D , with a smooth transition between the remaining portion 306 of field oxide region 305 and pad oxide layer 302 .
  • a photoresist layer 308 is deposited and patterned to form a narrow opening 309 .
  • Oxide layer 307 is etched through opening 309 and, as shown in FIG. 8F , substrate 301 is etched by an RIE process to form a narrow trench 310 , with oxide layer 307 acting as a hard mask. The remains of oxide layer 307 may be removed in a short cleaning step.
  • a thin oxide layer 311 is grown on the walls of trench 310 and a layer 312 of BPSG or any other dielectric filler is deposited.
  • the top surface of substrate 301 is planarized by etching or CMP.
  • oxide layer 311 and BPSG layer 312 in trench 310 and the remaining portion 306 of field oxide region 305 are etched back until the top surfaces of these elements are below the top surface of substrate 301 .
  • a layer 315 of a dissimilar dielectric such as nitride is deposited over the structure ( FIG. 8I ), and the structure is again subjected to a CMP process to planarize the top surface and create protective caps 316 over trench 310 and field oxide 306 ( FIG. 8J ).
  • FIGS. 9A-9E illustrate a process that produces a structure having field doping regions under the field oxide isolation regions but not under the trench isolation structures.
  • a pad oxide layer 351 has been grown on silicon substrate 350 , and a nitride layer 352 and photoresist layer 353 have been deposited in that order on top of pad oxide layer 351 .
  • Photoresist layer 353 is patterned to form two openings 354 A and 354 B, and nitride layer 352 is etched through openings 354 A and 354 B to expose pad oxide layer 351 .
  • Phosphorus (P+) is implanted through openings 354 A and 354 B to form N-type regions 356 A.
  • the dose of the phosphorus implant is typically in the range of 5 ⁇ 10 12 to 3 ⁇ 10 13 cm ⁇ 2 and the implant energy is typically from about 80 to 120 keV.
  • a layer of polyimide may be substituted for nitride layer 352 and may be used to form the hard mask for etching trench 374 .
  • photoresist layer 353 is removed, and a new photoresist layer 355 is deposited and patterned to form an opening that includes the location of former opening 354 B in photoresist layer 353 .
  • Boron (B+) is implanted through the opening in photoresist layer 355 to form a P-type region 356 B. Since the dose of the boron implant is typically an order of magnitude greater than the phosphorus implant (e.g., 8 ⁇ 10 13 to 2 ⁇ 10 14 cm ⁇ 2 ) the boron counterdopes the phosphorus region under opening 354 B to form P-type region 356 B.
  • the energy of the boron implant is typically 60 to 120 keV.
  • Field oxide regions 370 A and 370 B could be from 2000 ⁇ to 2 ⁇ m in thickness (typically about 0.8 ⁇ m). This thermal process also activates the phosphorus and boron dopants and forms an N-type field doping region 358 A under field oxide region 370 A and a P-type field doping region 358 B under field oxide region 370 B.
  • nitride layer 352 are removed ( FIG. 9D ), and optionally a sacrificial oxidation may be preformed.
  • a trench 374 is etched and oxidized to form an oxide layer 371 , followed by a dielectric fill with a material such as BPSG 372 in the manner described previously.
  • the top surface of the structure is planarized by CMP or etchback, and oxide layer 371 , BPSG 372 and the remains of field oxide regions 370 A and 370 B are etched back in the manner described above.
  • a layer of nitride (or another dielectric dissimilar to the material used to fill trench 374 ) is deposited on the top surface, and the surface is then planarized to form protective caps 373 .
  • This process yields a relatively narrow trench with no field doping which might be used to isolate low-voltage devices, for example, and wide field oxide regions with field doping which might be used to isolate high-voltage CMOS devices, for example.
  • the process gives the designer the ability to form isolation regions of different widths and different field dopings in the same semiconductor substrate, with a flat top surface to simply any further processing.
  • the isolation regions can be formed with protective caps, if desired.
  • the material in the trench is protected by a graded dielectric fill in lieu of a discrete trench cap.
  • the trench is at least partially filled with a mixture of a relatively soft, low stress dielectric and a relatively hard, etch resistant dielectric.
  • the proportion of the relatively hard, etch resistant dielectric in the mixture increases as one approaches the mouth of the trench.
  • a mixture of silicon dioxide and silicon nitride may be deposited in the trench, with the percentage of silicon nitride in the mixture being increased near the mouth of the trench.

Abstract

Isolation regions for semiconductor substrates include dielectric-filled trenches and field oxide regions. Protective caps of dielectric materials dissimilar from the dielectric materials in the main portions of the trenches and field oxide regions may be used to protect the structures from erosion during later process steps. The top surfaces of the isolation structures are coplanar with the surface of the substrate. Field doping regions may be formed beneath the field oxide regions. To meet the demands of different devices, the isolation structures may have varying widths and depths.

Description

    FIELD OF THE INVENTION
  • This invention relates to semiconductor chip fabrication and in particular to methods of fabricating structures to isolate electrically the active or passive devices formed on a semiconductor chip.
  • BACKGROUND OF THE INVENTION
  • In the fabrication of semiconductor integrated circuit (IC) chips, it is frequently necessary to electrically isolate devices that are formed on the surface of the chip. There are various ways of doing this. A way is by using the well-known LOCOS (Local Oxidation Of Silicon) process, wherein the surface of the chip is masked with a relatively hard material such as silicon nitride and a thick oxide layer is grown thermally in an opening in the mask. Another way is to etch a trench in the silicon and then fill the trench with a dielectric material such as silicon oxide.
  • It is desirable to form these isolation structures early in the process because they can also act as barriers or stops to the lateral diffusion of dopants, thereby allowing a more closely packed device population on the surface of the chip. In short, a dielectric-filled trench can function as a diffusion stop as well as an electrical isolation structure.
  • The problem with forming a dielectric-filled trench early in the process it that subsequent process steps, which frequently include etching and cleaning, can etch or erode the dielectric material in the trench. This can impair the value of the trench as an isolation structure and can create depressions in the top surface of the chip, rendering further processing more difficult.
  • This problem is illustrated in FIGS. 1A-1C. In FIG. 1A, a trench 101 has been etched in a semiconductor substrate 100. In FIG. 1B, trench 101 has been filled with a dielectric material 102 and the top surface has been planarized (e.g., by chemical-mechanical polishing) to form an isolation structure. FIG. 1C shows the isolation structure after further processing, with part of the dielectric material 102 removed or eroded so as to form a recess or gap 103 on the top surface of the structure. Dielectric materials that are resistant to etching in normal semiconductor processes (e.g., silicon nitride) tend to be hard, brittle, high-stress materials. When these materials are deposited in a trench they tend to crack.
  • A second problem stems from the fact that chips are generally divided into two general areas: broad or wide “field” areas and more densely-packed device areas, sometimes referred to as “active” areas. It is preferable to form relatively narrow, deep trenches in the active areas to maintain a tight packing density and to form relatively wide trenches in the field areas to space out the devices over larger distances. This creates a problem in filling the trenches. The narrow trenches may be filled while the wide trenches are difficult to fill. Alternatively, using numerous narrow trenches to cover large distances in the field areas can complicate the topography of the chip.
  • Accordingly, it would be desirable to develop a flexible, adaptable technique of forming dielectric-filled isolation structures that avoid the erosion of the dielectric fill material during subsequent processing. It would also be desirable to provide for the formation of relatively wide and narrow structures in the field and active regions, respectively, of the chip.
  • SUMMARY OF THE INVENTION
  • According to this invention, an isolation structure is formed by filling a trench in a semiconductor substrate with a “dielectric fill.” The dielectric fill includes a first dielectric material and a second dielectric material. The first dielectric material is located in a lower portion of the trench; the second dielectric material is located in an upper portion of the trench, the lower portion typically being larger in the vertical dimension than the upper portion. The surface of the second dielectric material is substantially coplanar with a surface of the substrate. The first and second dielectric materials are dissimilar in the sense that the second dielectric material is not etched by a chemical which etches the first dielectric material. Thus in subsequent processing the second dielectric material forms a protective cap over the first dielectric material. Typically, the first dielectric material is a relatively soft, low-stress material and the second dielectric material is a relatively hard, etch-resistant material. Cracking problems can be avoided by limiting the thickness of the second dielectric layer to a value that provides protection during later etching processes but does not create stress problems.
  • Alternatively, instead of forming a discrete cap, the trench may be filled with a “graded” dielectric, wherein the proportion of the second dielectric material in the dielectric fill increases gradually as one moves upward towards the mouth of the trench.
  • The sidewalls of the trench may be lined with an oxide layer to prevent dopants from the dielectric fill from migrating into the semiconductor substrate.
  • In one group of embodiments the first dielectric material is a silicon oxide and silicate glass, either doped or undoped. The second dielectric can be silicon nitride, a polyimide or any dielectric material containing little or no silicon oxide.
  • The substrate may also include the lower portion of a field oxide region, typically formed by a local oxidation of silicon (LOCOS) process. The surface of the field oxide region is also substantially coplanar with the surface of the substrate. Alternatively, a protective cap may be formed over the field oxide.
  • In another group of embodiments, the substrate contains two isolation structures, the first formed in a relatively shallow, wide trench, the second formed in a relatively narrow, deep trench. Both trenches are filled with a dielectric fill and the surface of the dielectric fill is substantially coplanar with the surface of the substrate. Alternatively, a protective cap of the kind described above may be formed at the mouth of each trench.
  • In yet another set of embodiments, one or more field oxide regions are formed in the same substrate as one or more trench isolation structures. Field doping regions of predetermined conductivity type and doping concentration may be formed under the field oxide regions. Optionally, protective dielectric caps may be formed where the trenches and field oxide regions meet the plane of the surface of the substrate. The surface of the entire structure is substantially coplanar. The surface mab be planarized by using a chemical etchback, a plasma-enhanced or reactive ion etch (RIE), chemical-mechanical polishing (CMP) or some combination thereof.
  • The invention also includes methods of fabricating isolation structures. One such method includes forming a trench in the semiconductor substrate; depositing a first dielectric material in the trench; removing a portion of the first dielectric material such that a surface of the first dielectric material is located at a first level below a second level of a top surface of the substrate, thereby forming a recess; depositing a second dielectric material in the recess; and removing a portion of the second dielectric material such that a surface of the second dielectric material is substantially coplanar with the surface of the substrate, thereby forming a protective cap in the trench.
  • Another method includes thermally forming a field oxide region at a surface of the semiconductor substrate; forming a trench in the substrate; depositing a first dielectric material in the trench; removing a portion of the first dielectric material such that a surface of the first dielectric material is located at a first level below a second level of the surface of the substrate, thereby forming a recess; depositing a second dielectric material in the recess; and removing portions of the field oxide region and the second dielectric material such that a surface of the field oxide region and a surface of the second dielectric material are substantially coplanar with the surface of the substrate, thereby forming a protective cap in the trench.
  • The methods of this invention are highly flexible and can be used to form isolation regions necessary meet the varying demands of different regions and devices in a semiconductor substrate. The topography of the substrate is maintained extremely flat, or at least sufficiently flat as not to interfere with or complicate the formation of fine line widths and submicron features or the interconnection thereof during subsequent processing. Protective caps can be used to protect the dielectric materials from erosion during subsequent processing.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-1C illustrate the problem that occurs when the dielectric in a trench which serves as an isolation structure is eroded during later processing.
  • FIGS. 2A-2F illustrate a process for forming an isolation structure that includes a protective cap at the mouth of a dielectric-filled trench.
  • FIGS. 3A-3D illustrate a process for forming a modified version of the isolation structure of FIG. 2F where an oxide layer is formed on the walls of the trench adjacent the protective cap.
  • FIG. 4 illustrates how the oxide layer shown in FIG. 3D may be eroded in subsequent processing.
  • FIG. 5 is a flow chart, in “card” form, of the processes shown in FIGS. 2A-2F and 3A-3D.
  • FIGS. 6A-6C illustrate a process for forming isolation structures that include a broad or wide field oxide region and a relatively narrow dielectric-filled trench.
  • FIGS. 7A-7H illustrate a processing for forming isolation structures which include a wide, shallow trench and a narrow, deep trench.
  • FIGS. 8A-8J illustrate another process for forming isolation structures that include a broad or wide field oxide region and a relatively narrow dielectric-filled trench, with a protective cap formed at the top of each structure.
  • FIGS. 9A-9E illustrate a process for forming isolation structures that include a pair of field oxide regions and a dielectric-filled trench, with field doping regions beneath the field oxide regions.
  • DESCRIPTION OF THE INVENTION
  • FIGS. 2A-2F illustrate a process for fabricating a trench isolation structure that avoids the formation of a gap or recess at the top of the trench, as shown in FIG. 1C. As shown in FIG. 2A, an oxide or “hard mask” layer 121 is formed on the top surface of a semiconductor substrate 120, and a photoresist layer 122 is deposited on top of hard mask layer 121. The term “hard mask” is used herein to refer to a thermally grown or deposited dielectric layer used as a mask during the etching of a trench in semiconductor substrate 120. The “hard mask” is distinguished from the organic photoresist layer 122, for example, which is mechanically softer and therefore subject to erosion during the trench etch process. An opening is formed in photoresist layer 122 by a normal photolithographic process, and an opening 123 is etched in hard mask layer 121 through the opening in photoresist layer 122.
  • As shown in FIG. 2B, substrate 120 is etched through the opening 123 to form a trench 124. It is generally preferably to remove photoresist layer 132 prior to the etching of the trench, since photoresist layer 132 may interfere with the trench etching process, change shape during the trench etching process, and possibly introduce undesirable organic contaminants into the trench. A reactive ion etch (RIE) can be used to achieve an anisotropic etch, producing a trench 124 having vertical walls. A relatively thin oxide layer 125 is grown thermally on the walls and floor of trench 124. If desired, a sacrificial oxide layer can be formed to remove crystal defects caused by the RIE process, the sacrificial oxide layer can be removed, and then a second oxide layer can be grown. The thickness of oxide layer 125 could be from 100 to 1000 Å, typically about 300-400 Å. If trench 124 is later filled with a doped dielectric material, oxide layer 125 will prevent the dopant from entering the semiconductor material surrounding trench 124.
  • As shown in FIG. 2C, a relatively thick layer 126 of a glass such as borophosphosilicate glass (BPSG) is spun onto the surface of substrate 120, completely filling trench 124. The BPSG could be doped to reduce its viscosity, or it could be undoped. Alternatively, the BPSG could be deposited by chemical vapor deposition (CVD). As described above, if the BPSG layer 126 is doped, oxide layer 125 acts as a barrier to prevent the dopant from entering and doping substrate 120. BGSG layer 126 is sufficiently thick (e.g., 0.5 to 1.0 μm thick) that its top surface is relatively planar, with only a small dent over the location of trench 124. If desired, a high temperature reflow can be used to further planarize the surface of BPSG layer 126.
  • As shown in FIG. 2D, BPSG layer 126 and sidewall oxide layer 125 are etched back until their top surfaces are below the surface of substrate 120, forming a recess 130. Following the etchback, the surface of BPSG layer 126 may be from 0.1 to 0.5 μm (typically about 0.2 to 0.3 μm) below the surface of substrate 120. Then, as shown in FIG. 2E, a layer 131 of another dielectric is deposited, filling recess 130 and overflowing the surface of substrate 120. Layer 131 is then planarized by CMP or etchback to form a protective cap 132, which completely covers and protects oxide layer 125 and BPSG layer 126. The top surface of cap 132 is preferably coplanar with the surface of substrate 120, although it could vary by 0.1 μm in height across the wafer. FIG. 2F shows the structure after cap 132 has been formed.
  • Layer 131 and cap 132 should be formed of a material that is not significantly etched by the cleaning and etching steps that are to take place later in the process. In this embodiment, for example, layer 131 may be formed of silicon nitride. In general, the material of which layer 131 is formed does not etch at all, or etches substantially slower than BPSG layer 126 or oxide layer 125, in the subsequent processing steps. A protective cap according to this invention can be formed at any time during the process to protect the trench-fill material from subsequent erosion of the kind shown in FIG. 1C.
  • It should be noted that in general materials such as silicon nitride that can provide a protective shield against further etching typically do not deposit very uniformly and thus it is difficult to get them to fill a trench. Moreover, silicon nitride tends to crack when deposited thickly. These problems are overcome by filling the trench with a softer, less brittle material such as BPSG and then covering the material with a relatively thin protective cap of a harder, more brittle material such as silicon nitride.
  • Table 1 shows the relative removal rates of materials that can be used to fill the trench for several etchants or removal methods.
    TABLE 1
    Etchant or Removal Method
    Selective Selective
    Dielectric plasma oxide “nitride” plasma
    Fill Materials 100:1 HF 10:1 HF etch etch CMP
    Thermal SiO2 30 Å/min 175 Å/min Ox: 500 Å/min Nit: 1200 Å/min
    Nit: <20 Å/min Ox: 420 Å/min
    Spin-on glass
    (SOG)
    BPSG 1240 Å/min 7362 Å/min 8200 Å/min 1800 Å/min
    Polyimide
    5 Å/min 8 Å/min
  • There are numerous variations of the process illustrated in FIGS. 2A-2F. One such variation is shown in FIGS. 3A-3D. FIG. 3A is similar to FIG. 2D and shows the structure after BPSG layer 126 and oxide layer 125 have been etched back until their top surfaces are below the surface of substrate 120. As shown in FIG. 3B, a thin oxide layer 140 is then thermally grown on the surface of substrate 120 and, as shown in FIG. 3C, nitride layer 131 is then deposited. In this embodiment oxide layer 140 separates nitride layer 131 from semiconductor substrate 120. Alternatively, an oxynitride layer may be deposited using chemical vapor deposition (CVD). When nitride layer 131 is planarized or etched back, as shown in FIG. 3D, the nitride cap that remains in the trench is not in contact with the sidewalls of the trench. While this cap may not provide as effective a seal as the embodiment show in FIG. 2F, the presence of oxide (or oxynitride) layer 140 on the walls of the trench tends to reduce the stress that is attributable to the different thermal expansion coefficients of nitride and silicon, respectively. Oxide (or oxynitride) layer 140 thus provides stress relief.
  • Moreover, even if oxide layer 140 is over-etched to leave a small gap 150, as shown in FIG. 4, gap 150 is nonetheless much smaller than the recess 103, shown in FIG. 1C, and much easier to fill with a subsequent layer of, for example, BPSG. It is preferable, however, not to remove all of oxide layer 140.
  • FIG. 5 is a flow chart summarizing the processes described above, each step being represented by a “card” (the clipped cards denoting optional steps). In the first sequence the trench is formed by depositing a hard mask layer (e.g., oxide or nitride), depositing a photoresist layer, patterning the photoresist layer to create a trench mask, etching the hard mask layer through an opening in the trench mask, optionally //the photoresist layer, and etching the trench through an opening in the hard mask layer.
  • In the next sequence, optionally a sacrificial oxide layer can be formed on the walls of the trench and removed, a lining oxide layer is grown, the trench is filled with a dielectric (e.g., BPSG), and optionally the dielectric can be planarized by etching or CMP.
  • Finally, the dielectric fill is etched back into the trench, optionally an oxynitride or oxide layer is grown or deposited on the walls of the trench, and a nitride layer is deposited and etched back until it is substantially coplanar with the top surface of the substrate.
  • The examples above describe a structure wherein the surface of the substrate is essentially planar. A non-planar structure 200 is illustrated in FIG. 6A. A substrate 205 has a top surface 202. A trench 201 has been etched in substrate 205 and a field oxide region 203 has been thermally grown in the substrate such that field oxide region extends upward beyond the surface 202 as well as downward into the substrate. A polysilicon layer 204 has been deposited on top of field oxide region 203. As is apparent, there is a considerable height difference between the bottom of trench 201 and the top of polysilicon layer 204. If trench 201 is filled with a dielectric, an etchback can be used to planarize the surface of the dielectric with surface 202. Otherwise, if CMP is used to planarize the dielectric, it is clear that polysilicon layer 204 as well as a portion of field oxide region 203 will be removed.
  • One solution to this problem is to omit the polysilicon 204 (or to postpone the formation of polysilicon 204 until later in the process flow) and to grow the field oxide region 203 thick enough that the portion below the surface 202 is sufficient to provide the necessary electrical characteristics. FIG. 6B shows trench 201 lined with an oxide layer 206 and filled with BPSG 207, both of which have been etched back into the trench. The entire structure is covered with a nitride layer 208, which also fills the upper portion of the trench. In FIG. 6C, the top surface has been planarized by CMP, leaving the bottom portion 209 of field oxide region 203 and a protective nitride cap 210 over the BPSG 207 and oxide layer 206. The top surface is totally flat. Since having a nonplanar top surface greatly complicates further processing, the flat structure shown in FIG. 6C is preferable to the structure shown in FIG. 6A. Furthermore, since field oxide region 203 is grown by thermal means, the remaining region 209 can be very wide, whereas the trench can be very narrow. To summarize, the structure shown in FIG. 6C includes a “capped” trench that is resistant to etching because of cap 210 and an “uncapped” field oxide region 209.
  • As an alternative, FIGS. 7A-7H illustrate a process by which a wide isolation trench and a narrow isolation trench can be formed using a minimal number of steps.
  • In FIG. 7A, a hard mask layer 252 has been deposited on a substrate 251, and a photoresist layer 253 has been deposited on top of hard mask layer 252. Photoresist layer 253 is etched to form a wide opening and hard mask layer 252 is etched through the wide opening in photoresist layer 253 to form a wide opening 254 which exposes the surface of substrate 251.
  • As shown in FIG. 7B, substrate 251 is etched by RIE to form a wide trench 260. Photoresist layer 253 is removed and a new photoresist layer 257 is deposited. If trench 260 is not too deep, photoresist layer 257 will cover the step between the bottom of trench 260 and the top surface of substrate 251. A relatively narrow opening is etched in photoresist layer 257, and hard mask layer 252 is etched through the opening in photoresist layer 257 to form a narrow opening 256 which exposes the surface of substrate 251. Alternatively, layer 257 may represent a deposited hard mask dielectric layer patterned and etched by a photoresist layer (not shown).
  • As shown in FIG. 7C, substrate 251 is etched by RIE to form a narrow trench 261. Photoresist (or hard mask) layer 257 and hard mask layer 252 are then removed, or patterned and etched.
  • Optionally, a sacrificial oxide layer (not shown) can be grown in trenches 260 and 261 and removed to repair any crystal damage resulting from the RIE processes. As shown in FIG. 7D, a thin oxide layer 262 is grown as a barrier against the diffusion of dopants into substrate 251, and a layer 263 of BPSG is deposited over the entire surface of the structure. Alternatively, layer 263 could include any doped or undoped CVD-deposited or spin-on silicon oxide or silicate glass or any other dielectric “fill” material, provided that the dielectric fill material exhibits sufficiently low stress so as to avoid cracking during subsequent processing steps, during assembly, and during temperature variations encountered during device operation.
  • Of course, the process sequence could be revised such that the narrower trench is formed before the wider trench.
  • Next, as shown in FIG. 7E, the entire top surface of the structure is planarized by CMP or by a short chemical etchback followed by CMP.
  • Optionally, oxide layer 262 and BPSG layer 263 are etched back (e.g., by an acid or dry etch) into trenches 260 and 261 to form depressions 270 and 271, as shown in FIG. 7F. A dielectric dissimilar to silicon dioxide, silicate glass, or BPSG (e.g., nitride or polyimide) is deposited over the top surface of the structure, as shown in FIG. 7G, and the top surface is again planarized to form protective caps 280 in the mouths of trenches 260 and 261, shown in FIG. 7H. Unlike the dielectric fill material 263, the material used to form caps 280 may comprise a brittle or high stress material, provided that the material is not eroded by the normal etches encountered during subsequent wafer processing in IC manufacturing and provided that caps 280 are made sufficiently thin to avoid cracking.
  • FIGS. 8A-8J illustrate a process for forming a capped isolation trench and capped field oxide region. As shown in FIG. 8A, a pad oxide layer 302 is grown on silicon substrate 301, and as in a typical local oxidation of silicon (LOCOS) sequence, a nitride layer 303 is deposited on pad oxide layer 302. Pad oxide layer can be 300 to 1000 Å thick, for example. Nitride layer 303 is etched through a mask layer (not shown) to form a wide opening 304 which exposes pad oxide layer 302. As shown in FIG. 8B, the structure is heated (for example, to 900-1100° C. for 1 to 4 hours) to form a thick field oxide region 305 in opening 304. As is normal in a LOCOS process, nitride layer 303 is lifted up by the expanding oxide at the edge of opening 304, forming the familiar “bird's beak” shape. Next, the remaining portion of nitride layer 303 is etched (FIG. 8C), and the top surface is planarized by a CMP process, yielding the result shown in FIG. 8D, with a smooth transition between the remaining portion 306 of field oxide region 305 and pad oxide layer 302.
  • Next, as shown in FIG. 8E, a photoresist layer 308 is deposited and patterned to form a narrow opening 309. Oxide layer 307 is etched through opening 309 and, as shown in FIG. 8F, substrate 301 is etched by an RIE process to form a narrow trench 310, with oxide layer 307 acting as a hard mask. The remains of oxide layer 307 may be removed in a short cleaning step.
  • As shown in FIG. 8G, a thin oxide layer 311 is grown on the walls of trench 310 and a layer 312 of BPSG or any other dielectric filler is deposited. The top surface of substrate 301 is planarized by etching or CMP.
  • As shown in FIG. 8H, oxide layer 311 and BPSG layer 312 in trench 310 and the remaining portion 306 of field oxide region 305 are etched back until the top surfaces of these elements are below the top surface of substrate 301. A layer 315 of a dissimilar dielectric such as nitride is deposited over the structure (FIG. 8I), and the structure is again subjected to a CMP process to planarize the top surface and create protective caps 316 over trench 310 and field oxide 306 (FIG. 8J).
  • FIGS. 9A-9E illustrate a process that produces a structure having field doping regions under the field oxide isolation regions but not under the trench isolation structures.
  • In FIG. 9A, a pad oxide layer 351 has been grown on silicon substrate 350, and a nitride layer 352 and photoresist layer 353 have been deposited in that order on top of pad oxide layer 351. Photoresist layer 353 is patterned to form two openings 354A and 354B, and nitride layer 352 is etched through openings 354A and 354B to expose pad oxide layer 351. Phosphorus (P+) is implanted through openings 354A and 354B to form N-type regions 356A. The dose of the phosphorus implant is typically in the range of 5×1012 to 3×1013 cm−2 and the implant energy is typically from about 80 to 120 keV. Alternatively, a layer of polyimide may be substituted for nitride layer 352 and may be used to form the hard mask for etching trench 374.
  • As shown in FIG. 9B, photoresist layer 353 is removed, and a new photoresist layer 355 is deposited and patterned to form an opening that includes the location of former opening 354B in photoresist layer 353. Boron (B+) is implanted through the opening in photoresist layer 355 to form a P-type region 356B. Since the dose of the boron implant is typically an order of magnitude greater than the phosphorus implant (e.g., 8×1013 to 2×1014 cm−2) the boron counterdopes the phosphorus region under opening 354B to form P-type region 356B. The energy of the boron implant is typically 60 to 120 keV.
  • Next, as shown in FIG. 9C, the structure is heated to form thick field oxide regions 370A and 370B in the locations of openings 354A and 354B. Field oxide regions 370A and 370B could be from 2000 Å to 2 μm in thickness (typically about 0.8 μm). This thermal process also activates the phosphorus and boron dopants and forms an N-type field doping region 358A under field oxide region 370A and a P-type field doping region 358B under field oxide region 370B.
  • The remains of nitride layer 352 are removed (FIG. 9D), and optionally a sacrificial oxidation may be preformed. Next, as shown in FIG. 9E, a trench 374 is etched and oxidized to form an oxide layer 371, followed by a dielectric fill with a material such as BPSG 372 in the manner described previously. The top surface of the structure is planarized by CMP or etchback, and oxide layer 371, BPSG 372 and the remains of field oxide regions 370A and 370B are etched back in the manner described above. A layer of nitride (or another dielectric dissimilar to the material used to fill trench 374) is deposited on the top surface, and the surface is then planarized to form protective caps 373.
  • This process yields a relatively narrow trench with no field doping which might be used to isolate low-voltage devices, for example, and wide field oxide regions with field doping which might be used to isolate high-voltage CMOS devices, for example. The process gives the designer the ability to form isolation regions of different widths and different field dopings in the same semiconductor substrate, with a flat top surface to simply any further processing. Moreover, the isolation regions can be formed with protective caps, if desired.
  • In some embodiments, the material in the trench is protected by a graded dielectric fill in lieu of a discrete trench cap. In such embodiments, the trench is at least partially filled with a mixture of a relatively soft, low stress dielectric and a relatively hard, etch resistant dielectric. The proportion of the relatively hard, etch resistant dielectric in the mixture increases as one approaches the mouth of the trench. For example, a mixture of silicon dioxide and silicon nitride may be deposited in the trench, with the percentage of silicon nitride in the mixture being increased near the mouth of the trench.
  • While specific embodiments of this invention have been described, it should be understood that these embodiments are illustrative only, and not limiting. Many additional or alternative embodiments in accordance with the broad principles of this invention will be apparent to those of skill in the art.

Claims (46)

1. A method of forming an isolation structure in a semiconductor substrate comprising:
forming a trench in the semiconductor substrate;
depositing a first dielectric material in the trench;
removing a portion of the first dielectric material such that a surface of the first dielectric material is located at a first level below a second level of a surface of the substrate, thereby forming a recess;
depositing a second dielectric material in the recess; and
removing a portion of the second dielectric material such that a surface of the second dielectric material is substantially coplanar with the surface of the substrate, thereby forming a protective cap in the trench.
2. The method of claim 1 wherein the second dielectric material is relatively more resistant to removal by normal semiconductor etch processes as compared with the first dielectric material.
3. The method of claim 2 wherein the second dielectric material comprises one or more materials selected from the group consisting of silicon nitride and polyimide.
4. The method of claim 2 wherein the first dielectric comprises one or more materials from the group consisting of the doped and undoped silicon oxides and silicate glasses.
5. The method of claim 4 wherein the first dielectric material comprises borophosphosilicate glass.
6. The method of claim 1 wherein removing a portion of the second dielectric material comprises chemical-mechanical polishing.
7. The method of claim 1 wherein removing a portion of the second dielectric material comprises etching.
8. The method of claim 1 comprising forming an oxide layer on a wall of the trench after removing a portion of the first dielectric material and before depositing a second dielectric material.
9. The method of claim 1 comprising forming an oxide layer on a wall of the trench before depositing a first dielectric material in the trench.
10. The method of claim 9 wherein the first dielectric material is doped.
11. The method of claim 1 wherein a distance from a bottom of the trench to the bottom of the protective cap is significantly greater than a thickness of the protective cap.
12. The method of claim 1 further comprising:
thermally forming a field oxide region at a surface of the semiconductor substrate, the field oxide region extending both above and below the surface of the substrate; and
removing a portion of the field oxide region such that a surface of the field oxide region is substantially coplanar with the surface of the substrate.
13. The method of claim 12 wherein removing a portion of the field oxide region comprises chemical-mechanical polishing.
14. The method of claim 13 wherein removing a portion of the second dielectric material and removing a portion of the field oxide region are performed in the course of a single chemical-mechanical process.
15. The method of claim 12 comprising:
removing a portion of the field oxide region such that the surface of the field oxide region is at a third level below the second level, thereby forming a second recess over a remaining portion of the field oxide region;
depositing the second dielectric material in the second recess; and
removing a portion of the second dielectric material such that a surface of the second dielectric material in the second recess is substantially coplanar with the surface of the substrate, thereby forming a second protective cap over the remaining portion of the field oxide region.
16. A method of forming an isolation structure in a semiconductor substrate comprising:
depositing a first mask layer on the substrate;
depositing a second mask layer on the first mask layer;
patterning the second mask layer to form a first opening having a first width;
etching the first mask layer through the first opening to form a second opening having a width substantially equal to the first width;
etching the substrate through the second opening to form a first trench having a width substantially equal to the first width;
removing the second mask layer;
depositing a third mask layer over a remaining portion of the first mask layer;
patterning the third mask layer to form a third opening having a second width, the second width being unequal to the first width;
etching the first mask layer through the third opening to form a fourth opening having a width substantially equal to the second width;
etching the substrate through the fourth opening to form a second trench having a width substantially equal to the second width;
depositing a layer of a first dielectric material so as to fill the first and second trenches;
removing a portion of the first dielectric material such that surfaces of the first dielectric material in the first and second trenches, respectively, are located at a first level, the first level being no higher than a plane substantially coplanar with a surface of the substrate.
17. The method of claim 16 wherein the first level is substantially coplanar with the surface of the substrate.
18. The method of claim 16 wherein removing a portion of the first dielectric material comprises removing a portion of the first dielectric material such that surfaces of the first dielectric material in the first and second trenches, respectively, are located below a plane defined by the surface of the substrate, thereby forming a first recess in the first trench and a second recess in the second trench.
19. The method of claim 18 comprising;
depositing a second dielectric material in the first and second recesses; and
removing a portion of the second dielectric material such that surfaces of the second dielectric material in the first and second trenches are substantially coplanar with the surface of the substrate, thereby forming a first protective cap in the first trench and a second protective cap in the second trench.
20. The method of claim 19 wherein the second dielectric material is relatively more resistant to removal by normal semiconductor processes as compared with the first dielectric material.
21. The method of claim 20 wherein the second dielectric material comprises one or more materials selected from the group consisting of silicon nitride and polyimide.
22. The method of claim 20 wherein the first dielectric comprises one or more materials from the group consisting of the doped and undoped silicon oxides and silicate glasses.
23. The method of claim 22 wherein the first dielectric material comprises borophosphosilicate glass.
24. A method of forming an isolation structure in a semiconductor substrate comprising:
depositing a first mask layer on the substrate;
depositing a second mask layer on the first mask layer;
patterning the second mask layer to form a first opening in the second mask layer;
etching the first mask layer through the first opening to form a second opening in the first mask layer;
implanting a first dopant of a first conductivity type through the second opening to form a first region of the first conductivity type under the second opening;
removing the second mask layer;
heating the substrate so as to form a first field oxide region in the second opening of the first mask layer;
forming a trench in the substrate;
depositing a first dielectric material in the trench;
removing a portion of the first dielectric material such that a surface of the first dielectric material is located at a first level below a second level of a surface of the substrate, thereby forming a first recess over a remaining portion of the first dielectric material;
removing a portion of the first field oxide region such that a surface of the first field oxide region is located at a third level below the second level, thereby forming a second recess over a remaining portion of the first field oxide region;
depositing a second dielectric material in the recesses; and
removing portions of the second dielectric material such that surfaces of the second dielectric material in the recesses are substantially coplanar with a surface of the substrate.
25. The method of claim 24 wherein the second dielectric material is relatively more resistant to removal by normal semiconductor etch processes as compared with the first dielectric material.
26. The method of claim 25 wherein the second dielectric material comprises one or more materials selected from the group consisting of silicon nitride and polyimide.
27. The method of claim 26 wherein the first dielectric comprises one or more materials from the group consisting of the doped and undoped silicon oxides and silicate glasses.
28. The method of claim 27 wherein the first dielectric material comprises borophosphosilicate glass.
29. The method of claim 1 wherein removing portions of the second dielectric material comprises chemical-mechanical polishing.
30. The method of claim 1 wherein removing portions of the second dielectric material comprises etching.
31. An isolation structure formed in a trench in a semiconductor substrate comprising:
a first dielectric material located in a lower portion of the trench;
a second dielectric material located in an upper portion of the trench, the lower portion being larger than the upper portion;
a surface of the second dielectric material being substantially coplanar with a surface of the substrate.
32. The isolation structure of claim 31 wherein the second dielectric layer is relatively more resistant to removal by normal semiconductor etch processes as compared with the first dielectric material.
33. The isolation structure of claim 31 wherein the second dielectric material comprises one or more materials selected from the group consisting of silicon nitride and polyimide.
34. The isolation structure of claim 31 wherein the first dielectric comprises one or more materials from the group consisting of the doped and undoped silicon oxides and silicate glasses.
35. The isolation structure of claim 34 wherein the first dielectric material comprises borophosphosilicate glass.
36. The isolation structure of claim 31 comprising a first oxide layer along a side wall of the trench, the first oxide layer separating the first dielectric layer from the substrate.
37. The isolation structure of claim 36 comprising a second oxide layer along a side wall of the trench, the second oxide layer separating the second dielectric layer from the substrate.
38. A semiconductor substrate comprising:
a first isolation structure comprising a first trench formed in the semiconductor substrate, the first trench being filled with a first dielectric material, a surface of the first dielectric material being substantially coplanar with a surface of the substrate; and
a second isolation structure comprising a second trench formed in the semiconductor substrate, the second trench being filled with a second dielectric material, a surface of the second dielectric material being substantially coplanar with the surface of the substrate,
wherein the first trench is deeper than the second trench and the second trench is wider than the first trench.
39. The semiconductor substrate of claim 38 wherein an oxide layer is formed on the walls of each of the first and second trenches.
40. The semiconductor substrate of claim 38 wherein the first dielectric material comprises a first layer of a third dielectric material and a second layer of a fourth dielectric material, the second layer being disposed above the first layer in the first trench, a surface of the second layer being substantially coplanar with the surface of the substrate; and wherein the second dielectric material comprises a third layer of the third dielectric material and a fourth layer of the fourth dielectric material, the fourth layer being disposed above the third layer in the second trench, a surface of the fourth layer being substantially coplanar with the surface of the substrate.
41. The semiconductor substrate of claim 40 wherein the fourth dielectric material is relatively more resistant to removal by normal semiconductor etch processes as compared with the third dielectric material.
42. The semiconductor substrate of claim 41 wherein a first oxide layer lines a wall of the first trench, the first oxide layer separating the first layer from the semiconductor substrate.
43. The semiconductor substrate of claim 41 wherein a second oxide layer lines a wall of the second trench, the second oxide layer separating the third layer from the semiconductor substrate.
44. A semiconductor substrate comprising:
a first isolation structure comprising a trench formed in the semiconductor substrate, the trench being filled with a first dielectric material, a surface of the first dielectric material being substantially coplanar with a surface of the substrate; and
a second isolation structure comprising a field oxide region, a surface of the field oxide region being substantially coplanar with the surface of the substrate,
wherein the trench is deeper than the field oxide region and the field oxide region is wider than the trench.
45. A semiconductor substrate comprising:
a first isolation structure comprising a trench, the trench comprising a first layer of a first dielectric material and a second layer of a second dielectric material, the second layer being disposed above the first layer in the trench, a surface of the second layer being substantially coplanar with a surface of the substrate, wherein the second dielectric material is relatively more resistant to removal by normal semiconductor etch processes as compared with the first dielectric material; and
a second isolation structure comprising a field oxide region, a surface of the first oxide region being recessed with respect to the surface of the substrate, and a third layer of a second dielectric material overlying the field oxide region, a surface of the third layer being substantially coplanar with the surface of the substrate, wherein the second dielectric material is relatively more resistant to removal by normal semiconductor etch processes as compared with the field oxide region.
46. An isolation structure formed in a trench in a semiconductor substrate comprising a mixture of a first dielectric material and a second dielectric material, the proportion of the second dielectric material in the mixture increasing with decreasing depth in the trench, wherein the second dielectric material is relatively more resistant to removal by normal semiconductor etch processes as compared with the first dielectric material.
US11/298,075 2005-12-09 2005-12-09 Isolation structures for semiconductor integrated circuit substrates and methods of forming the same Abandoned US20070132056A1 (en)

Priority Applications (18)

Application Number Priority Date Filing Date Title
US11/298,075 US20070132056A1 (en) 2005-12-09 2005-12-09 Isolation structures for semiconductor integrated circuit substrates and methods of forming the same
JP2008544483A JP5438973B2 (en) 2005-12-09 2006-12-07 Insulating structure of semiconductor integrated circuit substrate and manufacturing method thereof
KR1020087014965A KR20080098481A (en) 2005-12-09 2006-12-07 Isolation structures for semiconductor integrated circuit substrates and methods of forming the same
KR1020117022767A KR101323497B1 (en) 2005-12-09 2006-12-07 Isolation structures for semiconductor integrated circuit substrates and methods of forming the same
KR1020117014787A KR20110081909A (en) 2005-12-09 2006-12-07 Isolation structures for semiconductor integrated circuit substrates and methods of forming the same
EP06844906A EP1958249A1 (en) 2005-12-09 2006-12-07 Isolation structures for semiconductor integrated circuit substrates and methods of forming the same
PCT/US2006/046579 WO2007070311A1 (en) 2005-12-09 2006-12-07 Isolation structures for semiconductor integrated circuit substrates and methods of forming the same
KR1020117014788A KR20110079861A (en) 2005-12-09 2006-12-07 Isolation structures for semiconductor integrated circuit substrates and methods of forming the same
CN2006800525978A CN101366112B (en) 2005-12-09 2006-12-07 Isolation structures for semiconductor integrated circuit substrates and methods of forming the same
TW103103967A TWI544573B (en) 2005-12-09 2006-12-08 Isolation structures for semiconductor integrated circuit substrates and methods of forming the same
TW095146069A TWI460818B (en) 2005-12-09 2006-12-08 Isolation structures for semiconductor integrated circuit substrates and methods of forming the same
US12/150,727 US7915137B2 (en) 2005-12-09 2008-04-30 Method of forming isolation structure for semiconductor integrated circuit substrate
US12/150,704 US7923821B2 (en) 2005-12-09 2008-04-30 Semiconductor integrated circuit substrate containing isolation structures
US12/150,732 US7994605B2 (en) 2005-12-09 2008-04-30 Isolation structure for semiconductor integrated circuit substrate
US12/150,609 US7955947B2 (en) 2005-12-09 2008-04-30 Method of forming isolation structure for semiconductor integrated circuit substrate
JP2013076644A JP2013168662A (en) 2005-12-09 2013-04-02 Insulation structures for semiconductor integrated circuit substrates and methods of forming the same
JP2014224436A JP6026486B2 (en) 2005-12-09 2014-11-04 Manufacturing method of insulating structure of semiconductor integrated circuit board
JP2016075672A JP6263569B2 (en) 2005-12-09 2016-04-05 Insulating structure and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/298,075 US20070132056A1 (en) 2005-12-09 2005-12-09 Isolation structures for semiconductor integrated circuit substrates and methods of forming the same

Related Child Applications (4)

Application Number Title Priority Date Filing Date
US12/150,727 Division US7915137B2 (en) 2005-12-09 2008-04-30 Method of forming isolation structure for semiconductor integrated circuit substrate
US12/150,732 Division US7994605B2 (en) 2005-12-09 2008-04-30 Isolation structure for semiconductor integrated circuit substrate
US12/150,609 Division US7955947B2 (en) 2005-12-09 2008-04-30 Method of forming isolation structure for semiconductor integrated circuit substrate
US12/150,704 Division US7923821B2 (en) 2005-12-09 2008-04-30 Semiconductor integrated circuit substrate containing isolation structures

Publications (1)

Publication Number Publication Date
US20070132056A1 true US20070132056A1 (en) 2007-06-14

Family

ID=38138450

Family Applications (5)

Application Number Title Priority Date Filing Date
US11/298,075 Abandoned US20070132056A1 (en) 2005-12-09 2005-12-09 Isolation structures for semiconductor integrated circuit substrates and methods of forming the same
US12/150,732 Expired - Fee Related US7994605B2 (en) 2005-12-09 2008-04-30 Isolation structure for semiconductor integrated circuit substrate
US12/150,727 Expired - Fee Related US7915137B2 (en) 2005-12-09 2008-04-30 Method of forming isolation structure for semiconductor integrated circuit substrate
US12/150,704 Active 2026-02-09 US7923821B2 (en) 2005-12-09 2008-04-30 Semiconductor integrated circuit substrate containing isolation structures
US12/150,609 Expired - Fee Related US7955947B2 (en) 2005-12-09 2008-04-30 Method of forming isolation structure for semiconductor integrated circuit substrate

Family Applications After (4)

Application Number Title Priority Date Filing Date
US12/150,732 Expired - Fee Related US7994605B2 (en) 2005-12-09 2008-04-30 Isolation structure for semiconductor integrated circuit substrate
US12/150,727 Expired - Fee Related US7915137B2 (en) 2005-12-09 2008-04-30 Method of forming isolation structure for semiconductor integrated circuit substrate
US12/150,704 Active 2026-02-09 US7923821B2 (en) 2005-12-09 2008-04-30 Semiconductor integrated circuit substrate containing isolation structures
US12/150,609 Expired - Fee Related US7955947B2 (en) 2005-12-09 2008-04-30 Method of forming isolation structure for semiconductor integrated circuit substrate

Country Status (7)

Country Link
US (5) US20070132056A1 (en)
EP (1) EP1958249A1 (en)
JP (4) JP5438973B2 (en)
KR (4) KR20110081909A (en)
CN (1) CN101366112B (en)
TW (2) TWI544573B (en)
WO (1) WO2007070311A1 (en)

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070278612A1 (en) * 2006-05-31 2007-12-06 Advanced Analogic Technologies, Inc. Isolation structures for integrated circuits and modular methods of forming the same
US20080029825A1 (en) * 2006-08-04 2008-02-07 Kentaro Saito Semiconductor device and method of manufacturing the same
US20080116482A1 (en) * 2006-11-21 2008-05-22 Chartered Semiconductor Manufacturing Ltd. Method to form selective strained si using lateral epitaxy
US20080191277A1 (en) * 2002-08-14 2008-08-14 Advanced Analogic Technologies, Inc. Isolated transistor
US20080197445A1 (en) * 2002-08-14 2008-08-21 Advanced Analogic Technologies, Inc. Isolation and termination structures for semiconductor die
US20080197446A1 (en) * 2002-08-14 2008-08-21 Advanced Analogic Technologies, Inc. Isolated diode
US20080197408A1 (en) * 2002-08-14 2008-08-21 Advanced Analogic Technologies, Inc. Isolated quasi-vertical DMOS transistor
US20080203520A1 (en) * 2005-12-09 2008-08-28 Advanced Analogic Technologies, Inc. Isolation structure for semiconductor integrated circuit substrate
US20080210980A1 (en) * 2002-08-14 2008-09-04 Advanced Analogic Technologies, Inc. Isolated CMOS transistors
US20080213972A1 (en) * 2002-08-14 2008-09-04 Advanced Analogic Technologies, Inc. Processes for forming isolation structures for integrated circuit devices
US20080217729A1 (en) * 2002-08-14 2008-09-11 Advanced Analogic Technologies, Inc. Isolation structures for integrated circuit devices
US20080217699A1 (en) * 2002-08-14 2008-09-11 Advanced Analogic Technologies, Inc. Isolated Bipolar Transistor
US20080217702A1 (en) * 2006-08-04 2008-09-11 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating isolation region
US20080230812A1 (en) * 2002-08-14 2008-09-25 Advanced Analogic Technologies, Inc. Isolated junction field-effect transistor
US20080237782A1 (en) * 2007-03-28 2008-10-02 Advanced Analogic Technologies, Inc. Isolated rectifier diode
US20080237704A1 (en) * 2007-03-28 2008-10-02 Advanced Analogic Technologies, Inc. Isolated trench MOSFET
US20090024968A1 (en) * 2007-07-17 2009-01-22 Nec Electronics Corporation Method of designing semiconductor integrated circuit and mask data generation program
US20090024973A1 (en) * 2007-07-17 2009-01-22 Nec Electronics Corporation Method and program for designing semiconductor integrated circuit
US20110201171A1 (en) * 2002-08-14 2011-08-18 Advanced Analogic Technologies, Inc. Processes For Forming Isolation Structures For Integrated Circuit Devices
US8285353B2 (en) 2006-10-11 2012-10-09 Korea Advanced Institute Of Science And Technology System for analyzing tissue perfusion using concentration of indocyanine green in blood
US20120326267A1 (en) * 2011-06-27 2012-12-27 Samsung Electronics Co., Ltd. Composite isolation layer structures for semiconductor devices and methods of manufacturing the same
US20130307078A1 (en) * 2011-06-22 2013-11-21 International Business Machines Corporation Silicon on insulator complementary metal oxide semiconductor with an isolation formed at low temperature
US8653592B2 (en) 2008-01-23 2014-02-18 Macronix International Co., Ltd. Isolation structure, non-volatile memory having the same, and method of fabricating the same
US20140054699A1 (en) * 2012-08-21 2014-02-27 Stmicroelectronics, Inc. Electronic device including shallow trench isolation (sti) regions with bottom oxide liner and upper nitride liner and related methods
US8673738B2 (en) 2012-06-25 2014-03-18 International Business Machines Corporation Shallow trench isolation structures
US20140094017A1 (en) * 2012-10-01 2014-04-03 United Microelectronics Corp. Manufacturing method for a shallow trench isolation
US20140213034A1 (en) * 2013-01-29 2014-07-31 United Microelectronics Corp. Method for forming isolation structure
US8907405B2 (en) 2011-04-18 2014-12-09 International Business Machines Corporation Semiconductor structures with dual trench regions and methods of manufacturing the semiconductor structures
US20160020275A1 (en) * 2014-07-18 2016-01-21 Globalfoundries Inc. Shallow trench isolation structure with sigma cavity
US10043764B2 (en) * 2013-01-18 2018-08-07 Globalfoundries Inc. Through silicon via device having low stress, thin film gaps and methods for forming the same
US20180342497A1 (en) * 2016-12-23 2018-11-29 Magnachip Semiconductor, Ltd. Integrated semiconductor device having isolation structure for reducing noise
CN109216256A (en) * 2017-07-03 2019-01-15 无锡华润上华科技有限公司 Groove isolation construction and its manufacturing method

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8736016B2 (en) * 2007-06-07 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained isolation regions
US8722479B2 (en) 2011-05-25 2014-05-13 Globalfoundries Inc. Method of protecting STI structures from erosion during processing operations
US20150069608A1 (en) * 2013-09-11 2015-03-12 International Business Machines Corporation Through-silicon via structure and method for improving beol dielectric performance
CN105280545A (en) * 2014-07-24 2016-01-27 联华电子股份有限公司 Shallow trench isolation structure of semiconductor device and manufacturing method thereof
US9412641B1 (en) 2015-02-23 2016-08-09 International Business Machines Corporation FinFET having controlled dielectric region height
KR20210157673A (en) 2020-06-22 2021-12-29 삼성전자주식회사 Variable resistance memory device
KR20220094440A (en) 2020-12-29 2022-07-06 주식회사 제이디케이바이오 light cultivating device for adherent diatoms

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5472904A (en) * 1994-03-02 1995-12-05 Micron Technology, Inc. Thermal trench isolation
US6429149B1 (en) * 2000-02-23 2002-08-06 International Business Machines Corporation Low temperature LPCVD PSG/BPSG process
US20030013272A1 (en) * 2001-07-03 2003-01-16 Hong Soo-Jin Trench device isolation structure and a method of forming the same
US6737330B2 (en) * 1999-03-18 2004-05-18 Hyundai Electronics Industries Co., Ltd. Isolation structure and fabricating method therefor
US20040183129A1 (en) * 2003-03-05 2004-09-23 Williams Richard K. Poly-sealed silicide trench gate
US20050095872A1 (en) * 2003-10-31 2005-05-05 International Business Machines Corporation Hdp process for high aspect ratio gap filling
US20050167778A1 (en) * 2004-02-03 2005-08-04 Shin-Hye Kim Shallow trench isolation structure with converted liner layer
US20060110892A1 (en) * 2004-11-22 2006-05-25 Freescale Semiconductor, Inc. Semiconductor process for forming stress absorbent shallow trench isolation structures
US7354812B2 (en) * 2004-09-01 2008-04-08 Micron Technology, Inc. Multiple-depth STI trenches in integrated circuit fabrication

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60189237A (en) * 1984-03-08 1985-09-26 Matsushita Electric Ind Co Ltd Production of semiconductor device
JPS63188952A (en) * 1987-01-31 1988-08-04 Toshiba Corp Manufacture of semiconductor device
JPH081926B2 (en) * 1989-03-10 1996-01-10 日本電気株式会社 Method for manufacturing isolation groove
JP2723598B2 (en) * 1989-03-20 1998-03-09 日本電気株式会社 Method for manufacturing semiconductor device
JPH033346A (en) * 1989-05-31 1991-01-09 Sharp Corp Manufacture of semiconductor device
JPH07111288A (en) * 1993-10-12 1995-04-25 Matsushita Electric Ind Co Ltd Forming method for element separation
JP3365114B2 (en) * 1994-09-29 2003-01-08 ソニー株式会社 Method of forming field oxide film in semiconductor device, and method of forming field oxide film and trench isolation region
KR0157875B1 (en) * 1994-11-03 1999-02-01 문정환 Manufacture of semiconductor device
JP2762973B2 (en) * 1995-11-30 1998-06-11 日本電気株式会社 Method for manufacturing semiconductor device
KR100226488B1 (en) * 1996-12-26 1999-10-15 김영환 Isolation structure of semiconductor device and manufacturing method thereof
JP3058112B2 (en) * 1997-02-27 2000-07-04 日本電気株式会社 Semiconductor device and manufacturing method thereof
KR100244272B1 (en) * 1997-04-17 2000-03-02 김영환 Method for forming isolation hayer in semiconductor device
JP3063705B2 (en) * 1997-10-14 2000-07-12 日本電気株式会社 Method for manufacturing semiconductor device
JPH11163118A (en) * 1997-11-21 1999-06-18 Toshiba Corp Manufacture of semiconductor device
US6869858B2 (en) * 1999-01-25 2005-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation planarized by wet etchback and chemical mechanical polishing
KR100290852B1 (en) * 1999-04-29 2001-05-15 구자홍 method for etching
KR20020004729A (en) * 2000-07-07 2002-01-16 윤종용 Trench isolation method and structure of that
US6406976B1 (en) * 2000-09-18 2002-06-18 Motorola, Inc. Semiconductor device and process for forming the same
US6406975B1 (en) * 2000-11-27 2002-06-18 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap shallow trench isolation (STI) structure
JP2003023065A (en) * 2001-07-09 2003-01-24 Mitsubishi Electric Corp Element separation structure for semiconductor device and manufacturing method therefor
JP2004055669A (en) * 2002-07-17 2004-02-19 Fuji Film Microdevices Co Ltd Solid-state imaging element and method manufacturing the same
KR100460042B1 (en) * 2002-12-28 2004-12-04 주식회사 하이닉스반도체 Method for forming the isolation layer of semiconductor device
KR20040059445A (en) * 2002-12-30 2004-07-05 주식회사 하이닉스반도체 Method for forming trench type isolation layer in semiconductor device
US7422961B2 (en) * 2003-03-14 2008-09-09 Advanced Micro Devices, Inc. Method of forming isolation regions for integrated circuits
US6869860B2 (en) * 2003-06-03 2005-03-22 International Business Machines Corporation Filling high aspect ratio isolation structures with polysilazane based material
KR20050014221A (en) * 2003-07-30 2005-02-07 주식회사 하이닉스반도체 A method for manufacturing a field oxide of a semiconductor device
JP2006120953A (en) * 2004-10-22 2006-05-11 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacturing method
JP2006156471A (en) * 2004-11-25 2006-06-15 Toshiba Corp Semiconductor device and its manufacturing method
US7344942B2 (en) 2005-01-26 2008-03-18 Micron Technology, Inc. Isolation regions for semiconductor devices and their formation
US7323379B2 (en) * 2005-02-03 2008-01-29 Mosys, Inc. Fabrication process for increased capacitance in an embedded DRAM memory
US20070132056A1 (en) * 2005-12-09 2007-06-14 Advanced Analogic Technologies, Inc. Isolation structures for semiconductor integrated circuit substrates and methods of forming the same

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5472904A (en) * 1994-03-02 1995-12-05 Micron Technology, Inc. Thermal trench isolation
US6737330B2 (en) * 1999-03-18 2004-05-18 Hyundai Electronics Industries Co., Ltd. Isolation structure and fabricating method therefor
US6429149B1 (en) * 2000-02-23 2002-08-06 International Business Machines Corporation Low temperature LPCVD PSG/BPSG process
US20030013272A1 (en) * 2001-07-03 2003-01-16 Hong Soo-Jin Trench device isolation structure and a method of forming the same
US20040183129A1 (en) * 2003-03-05 2004-09-23 Williams Richard K. Poly-sealed silicide trench gate
US20050095872A1 (en) * 2003-10-31 2005-05-05 International Business Machines Corporation Hdp process for high aspect ratio gap filling
US20050167778A1 (en) * 2004-02-03 2005-08-04 Shin-Hye Kim Shallow trench isolation structure with converted liner layer
US7354812B2 (en) * 2004-09-01 2008-04-08 Micron Technology, Inc. Multiple-depth STI trenches in integrated circuit fabrication
US20060110892A1 (en) * 2004-11-22 2006-05-25 Freescale Semiconductor, Inc. Semiconductor process for forming stress absorbent shallow trench isolation structures

Cited By (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8664715B2 (en) 2002-08-14 2014-03-04 Advanced Analogic Technologies Incorporated Isolated transistor
US7939420B2 (en) 2002-08-14 2011-05-10 Advanced Analogic Technologies, Inc. Processes for forming isolation structures for integrated circuit devices
US20080042232A1 (en) * 2002-08-14 2008-02-21 Advanced Analogic Technologies, Inc. Isolation structures for integrated circuits and modular methods of forming the same
US7834421B2 (en) 2002-08-14 2010-11-16 Advanced Analogic Technologies, Inc. Isolated diode
US8659116B2 (en) 2002-08-14 2014-02-25 Advanced Analogic Technologies Incorporated Isolated transistor
US7902630B2 (en) 2002-08-14 2011-03-08 Advanced Analogic Technologies, Inc. Isolated bipolar transistor
US20080191277A1 (en) * 2002-08-14 2008-08-14 Advanced Analogic Technologies, Inc. Isolated transistor
US20080197445A1 (en) * 2002-08-14 2008-08-21 Advanced Analogic Technologies, Inc. Isolation and termination structures for semiconductor die
US7812403B2 (en) 2002-08-14 2010-10-12 Advanced Analogic Technologies, Inc. Isolation structures for integrated circuit devices
US20080197408A1 (en) * 2002-08-14 2008-08-21 Advanced Analogic Technologies, Inc. Isolated quasi-vertical DMOS transistor
US20100133611A1 (en) * 2002-08-14 2010-06-03 Advanced Analogic Technologies, Inc. Isolated transistor
US7667268B2 (en) 2002-08-14 2010-02-23 Advanced Analogic Technologies, Inc. Isolated transistor
US20080210980A1 (en) * 2002-08-14 2008-09-04 Advanced Analogic Technologies, Inc. Isolated CMOS transistors
US20080213972A1 (en) * 2002-08-14 2008-09-04 Advanced Analogic Technologies, Inc. Processes for forming isolation structures for integrated circuit devices
US20080217729A1 (en) * 2002-08-14 2008-09-11 Advanced Analogic Technologies, Inc. Isolation structures for integrated circuit devices
US20080217699A1 (en) * 2002-08-14 2008-09-11 Advanced Analogic Technologies, Inc. Isolated Bipolar Transistor
US20090236683A1 (en) * 2002-08-14 2009-09-24 Advanced Analogic Technologies, Inc. Isolation structures for integrated circuits
US20080230812A1 (en) * 2002-08-14 2008-09-25 Advanced Analogic Technologies, Inc. Isolated junction field-effect transistor
US20080197446A1 (en) * 2002-08-14 2008-08-21 Advanced Analogic Technologies, Inc. Isolated diode
US7741661B2 (en) 2002-08-14 2010-06-22 Advanced Analogic Technologies, Inc. Isolation and termination structures for semiconductor die
US7956391B2 (en) 2002-08-14 2011-06-07 Advanced Analogic Technologies, Inc. Isolated junction field-effect transistor
US8097522B2 (en) 2002-08-14 2012-01-17 Advanced Analogic Technologies, Inc. Modular methods of forming isolation structures for integrated circuits
US8513087B2 (en) 2002-08-14 2013-08-20 Advanced Analogic Technologies, Incorporated Processes for forming isolation structures for integrated circuit devices
US8089129B2 (en) 2002-08-14 2012-01-03 Advanced Analogic Technologies, Inc. Isolated CMOS transistors
US20110201171A1 (en) * 2002-08-14 2011-08-18 Advanced Analogic Technologies, Inc. Processes For Forming Isolation Structures For Integrated Circuit Devices
US9257504B2 (en) 2002-09-29 2016-02-09 Advanced Analogic Technologies Incorporated Isolation structures for semiconductor devices
US9905640B2 (en) 2002-09-29 2018-02-27 Skyworks Solutions (Hong Kong) Limited Isolation structures for semiconductor devices including trenches containing conductive material
US20080048287A1 (en) * 2002-09-29 2008-02-28 Advanced Analogic Technologies, Inc. Isolation structures for integrated circuits and modular methods of forming the same
US10074716B2 (en) 2002-09-29 2018-09-11 Skyworks Solutions (Hong Kong) Limited Saucer-shaped isolation structures for semiconductor devices
US8728904B2 (en) 2002-09-29 2014-05-20 Advanced Analogic Technologies (Hong Kong) Limited Method of forming isolation structure in semiconductor substrate
US20080203543A1 (en) * 2005-12-09 2008-08-28 Advanced Analogic Technologies, Inc. Semiconductor integrated circuit substrate containing isolation structures
US20100055864A1 (en) * 2005-12-09 2010-03-04 Advanced Analogic Technologies, Inc. Method of forming isolation structure for semiconductor integrated circuit substrate
US20080203520A1 (en) * 2005-12-09 2008-08-28 Advanced Analogic Technologies, Inc. Isolation structure for semiconductor integrated circuit substrate
US7915137B2 (en) 2005-12-09 2011-03-29 Advanced Analogic Technologies, Inc. Method of forming isolation structure for semiconductor integrated circuit substrate
US7923821B2 (en) 2005-12-09 2011-04-12 Advanced Analogic Technologies, Inc. Semiconductor integrated circuit substrate containing isolation structures
US20080254592A1 (en) * 2005-12-09 2008-10-16 Advanced Analogic Technologies, Inc. Method of forming isolation structure for semiconductor integrated circuit substrate
US7994605B2 (en) 2005-12-09 2011-08-09 Advanced Analogic Technologies, Inc. Isolation structure for semiconductor integrated circuit substrate
US7955947B2 (en) 2005-12-09 2011-06-07 Advanced Analogic Technologies, Inc. Method of forming isolation structure for semiconductor integrated circuit substrate
US7898060B2 (en) 2006-05-31 2011-03-01 Advanced Analogic Technologies, Inc. Isolation structures for integrated circuits
US20080290449A1 (en) * 2006-05-31 2008-11-27 Advanced Analogic Technologies, Inc. Isolation structures for integrated circuits
US7825488B2 (en) 2006-05-31 2010-11-02 Advanced Analogic Technologies, Inc. Isolation structures for integrated circuits and modular methods of forming the same
US20080290451A1 (en) * 2006-05-31 2008-11-27 Advanced Analogic Technologies, Inc. Isolation structures for integrated circuits
US20080044978A1 (en) * 2006-05-31 2008-02-21 Advanced Analogic Technologies, Inc. Isolation structures for integrated circuits and modular methods of forming the same
US7800198B2 (en) 2006-05-31 2010-09-21 Advanced Analogic Technologies, Inc. Isolation structures for integrated circuits
US20070278612A1 (en) * 2006-05-31 2007-12-06 Advanced Analogic Technologies, Inc. Isolation structures for integrated circuits and modular methods of forming the same
US8071462B2 (en) 2006-05-31 2011-12-06 Advanced Analogic Technologies, Inc. Isolation structures for integrated circuits and modular methods of forming the same
US20080290450A1 (en) * 2006-05-31 2008-11-27 Advanced Analogic Technologies, Inc. Isolation structures for integrated circuits
US7701033B2 (en) 2006-05-31 2010-04-20 Advanced Analogic Technologies, Inc. Isolation structures for integrated circuits
US20080217702A1 (en) * 2006-08-04 2008-09-11 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating isolation region
US20080029825A1 (en) * 2006-08-04 2008-02-07 Kentaro Saito Semiconductor device and method of manufacturing the same
US7705417B2 (en) * 2006-08-04 2010-04-27 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating isolation region
US8285353B2 (en) 2006-10-11 2012-10-09 Korea Advanced Institute Of Science And Technology System for analyzing tissue perfusion using concentration of indocyanine green in blood
US7572712B2 (en) * 2006-11-21 2009-08-11 Chartered Semiconductor Manufacturing, Ltd. Method to form selective strained Si using lateral epitaxy
US20080116482A1 (en) * 2006-11-21 2008-05-22 Chartered Semiconductor Manufacturing Ltd. Method to form selective strained si using lateral epitaxy
US20080237783A1 (en) * 2007-03-28 2008-10-02 Advanced Analogic Technologies, Inc. Isolated bipolar transistor
US7737526B2 (en) 2007-03-28 2010-06-15 Advanced Analogic Technologies, Inc. Isolated trench MOSFET in epi-less semiconductor sustrate
US7795681B2 (en) 2007-03-28 2010-09-14 Advanced Analogic Technologies, Inc. Isolated lateral MOSFET in epi-less substrate
US8030731B2 (en) 2007-03-28 2011-10-04 Advanced Analogic Technologies, Inc. Isolated rectifier diode
US20080237704A1 (en) * 2007-03-28 2008-10-02 Advanced Analogic Technologies, Inc. Isolated trench MOSFET
US8138570B2 (en) 2007-03-28 2012-03-20 Advanced Analogic Technologies, Inc. Isolated junction field-effect transistor
US8258575B2 (en) 2007-03-28 2012-09-04 Advanced Analogic Technologies, Inc. Isolated drain-centric lateral MOSFET
US20080237782A1 (en) * 2007-03-28 2008-10-02 Advanced Analogic Technologies, Inc. Isolated rectifier diode
US7868414B2 (en) 2007-03-28 2011-01-11 Advanced Analogic Technologies, Inc. Isolated bipolar transistor
US20080237706A1 (en) * 2007-03-28 2008-10-02 Advanced Analogic Technologies, Inc. Lateral MOSFET
US20110012196A1 (en) * 2007-03-28 2011-01-20 Advanced Analogic Technologies, Inc. Isolated drain-centric lateral MOSFET
US8069427B2 (en) 2007-07-17 2011-11-29 Renesas Electronics Corporation Method and program for designing semiconductor integrated circuit using peripheral parameter
US20090024973A1 (en) * 2007-07-17 2009-01-22 Nec Electronics Corporation Method and program for designing semiconductor integrated circuit
US20090024968A1 (en) * 2007-07-17 2009-01-22 Nec Electronics Corporation Method of designing semiconductor integrated circuit and mask data generation program
US8056020B2 (en) * 2007-07-17 2011-11-08 Renesas Electronics Corporation Method of designing semiconductor integrated circuit and mask data generation program
US8653592B2 (en) 2008-01-23 2014-02-18 Macronix International Co., Ltd. Isolation structure, non-volatile memory having the same, and method of fabricating the same
US8907405B2 (en) 2011-04-18 2014-12-09 International Business Machines Corporation Semiconductor structures with dual trench regions and methods of manufacturing the semiconductor structures
US20130307078A1 (en) * 2011-06-22 2013-11-21 International Business Machines Corporation Silicon on insulator complementary metal oxide semiconductor with an isolation formed at low temperature
US20120326267A1 (en) * 2011-06-27 2012-12-27 Samsung Electronics Co., Ltd. Composite isolation layer structures for semiconductor devices and methods of manufacturing the same
US9190313B2 (en) 2012-06-25 2015-11-17 Globalfoundries U.S. 2 Llc Shallow trench isolation structures
US9059243B2 (en) 2012-06-25 2015-06-16 International Business Machines Corporation Shallow trench isolation structures
US8673738B2 (en) 2012-06-25 2014-03-18 International Business Machines Corporation Shallow trench isolation structures
US9548356B2 (en) 2012-06-25 2017-01-17 Globalfoundries Inc. Shallow trench isolation structures
US20140054699A1 (en) * 2012-08-21 2014-02-27 Stmicroelectronics, Inc. Electronic device including shallow trench isolation (sti) regions with bottom oxide liner and upper nitride liner and related methods
US9768055B2 (en) * 2012-08-21 2017-09-19 Stmicroelectronics, Inc. Isolation regions for SOI devices
US20140094017A1 (en) * 2012-10-01 2014-04-03 United Microelectronics Corp. Manufacturing method for a shallow trench isolation
US9012300B2 (en) * 2012-10-01 2015-04-21 United Microelectronics Corp. Manufacturing method for a shallow trench isolation
US10043764B2 (en) * 2013-01-18 2018-08-07 Globalfoundries Inc. Through silicon via device having low stress, thin film gaps and methods for forming the same
US20140213034A1 (en) * 2013-01-29 2014-07-31 United Microelectronics Corp. Method for forming isolation structure
US20160020275A1 (en) * 2014-07-18 2016-01-21 Globalfoundries Inc. Shallow trench isolation structure with sigma cavity
US9548357B2 (en) * 2014-07-18 2017-01-17 Globalfoundries Inc. Shallow trench isolation structure with sigma cavity
US20180342497A1 (en) * 2016-12-23 2018-11-29 Magnachip Semiconductor, Ltd. Integrated semiconductor device having isolation structure for reducing noise
US10685953B2 (en) * 2016-12-23 2020-06-16 Magnachip Semiconductor, Ltd. Integrated semiconductor device having isolation structure for reducing noise
CN109216256A (en) * 2017-07-03 2019-01-15 无锡华润上华科技有限公司 Groove isolation construction and its manufacturing method
US11315824B2 (en) 2017-07-03 2022-04-26 Csmc Technologies Fab2 Co., Ltd. Trench isolation structure and manufacturing method therefor

Also Published As

Publication number Publication date
US20080254592A1 (en) 2008-10-16
CN101366112A (en) 2009-02-11
EP1958249A1 (en) 2008-08-20
JP6263569B2 (en) 2018-01-17
CN101366112B (en) 2011-05-04
JP2015062239A (en) 2015-04-02
KR20110081909A (en) 2011-07-14
KR20110079861A (en) 2011-07-08
US7994605B2 (en) 2011-08-09
TW200733297A (en) 2007-09-01
TWI544573B (en) 2016-08-01
JP2013168662A (en) 2013-08-29
US7955947B2 (en) 2011-06-07
TW201419444A (en) 2014-05-16
TWI460818B (en) 2014-11-11
WO2007070311A1 (en) 2007-06-21
KR20080098481A (en) 2008-11-10
US20080203543A1 (en) 2008-08-28
US7923821B2 (en) 2011-04-12
US20080203520A1 (en) 2008-08-28
JP5438973B2 (en) 2014-03-12
US20100055864A1 (en) 2010-03-04
KR101323497B1 (en) 2013-10-31
JP2009518867A (en) 2009-05-07
JP2016164998A (en) 2016-09-08
JP6026486B2 (en) 2016-11-16
KR20110111549A (en) 2011-10-11
US7915137B2 (en) 2011-03-29

Similar Documents

Publication Publication Date Title
US7955947B2 (en) Method of forming isolation structure for semiconductor integrated circuit substrate
US8173517B2 (en) Method for forming a self-aligned isolation structure utilizing sidewall spacers as an etch mask and remaining as a portion of the isolation structure
US5384280A (en) Method of manufacturing a semiconductor device isolated by a trench
KR100510232B1 (en) How to reduce non-uniformity of refill layer thickness in semiconductor devices
US6391729B1 (en) Shallow trench isolation formation to eliminate poly stringer with controlled step height and corner rounding
US6232646B1 (en) Shallow trench isolation filled with thermal oxide
US6794269B1 (en) Method for and structure formed from fabricating a relatively deep isolation structure
US6893940B2 (en) Method of manufacturing semiconductor device
JP3719854B2 (en) Manufacturing method of semiconductor device
US6444539B1 (en) Method for producing a shallow trench isolation filled with thermal oxide
KR20010038607A (en) A method of field isolation for semiconductor devices
KR100587084B1 (en) method for fabricating semiconductor device
KR100664391B1 (en) Method for preventing void of shallow trench isolation
KR100571422B1 (en) A semiconductor device with shallow trench isolation, and a manufacturing method thereof
KR100587597B1 (en) Method for forming isolation layer of semiconductor device
KR20010037322A (en) A method of forming a trench isolation in a semiconductor device
KR20030077303A (en) Method for forming isolation layer in semiconductor device
KR20060074997A (en) Semiconductor device and method for fabricating the same
KR20060020378A (en) Method of forming isolating layer for semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: ADVANCED ANALOGIC TECHNOLOGIES, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:WILLIAMS, RICHARD K.;REEL/FRAME:018010/0876

Effective date: 20060619

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION