US20070138577A1 - Transistor Structures - Google Patents

Transistor Structures Download PDF

Info

Publication number
US20070138577A1
US20070138577A1 US11/677,923 US67792307A US2007138577A1 US 20070138577 A1 US20070138577 A1 US 20070138577A1 US 67792307 A US67792307 A US 67792307A US 2007138577 A1 US2007138577 A1 US 2007138577A1
Authority
US
United States
Prior art keywords
layer
nitrogen
silicon
gate oxide
conductive layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/677,923
Inventor
Gurtej Sandhu
John Moore
Neal Rueger
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US11/677,923 priority Critical patent/US20070138577A1/en
Publication of US20070138577A1 publication Critical patent/US20070138577A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Definitions

  • the invention pertains to methods of incorporating nitrogen into silicon-oxide-containing layers, and in particular application pertains to methods of forming transistors.
  • the invention also pertains to transistor structures.
  • nitrogen can be desirable to incorporate nitrogen into silicon-oxide-containing layers during formation of semiconductor devices.
  • it can be desirable to incorporate nitrogen into gate oxides (which typically are silicon dioxide) to reduce dopant penetration through the oxides.
  • gate oxides which typically are silicon dioxide
  • Methods have been developed wherein nitrogen is incorporated into a gate oxide during deposition of the gate oxide by including nitrogen species amongst the deposited materials. It can, however, be difficult to control nitrogen location within silicon-oxide-containing layers formed by such techniques. Accordingly, it would be desirable to develop alternative techniques for incorporating nitrogen into silicon-oxide-containing layers.
  • the invention encompasses a method of incorporating nitrogen into a silicon-oxide-containing layer.
  • the silicon-oxide-containing layer is exposed to a nitrogen-containing plasma to introduce nitrogen into the layer.
  • the nitrogen is subsequently thermally annealed within the layer to bond at least some of the nitrogen to silicon within the layer.
  • the invention encompasses a method of forming a transistor.
  • a gate oxide layer is formed over a semiconductive substrate.
  • the gate oxide layer comprises silicon dioxide.
  • the gate oxide layer is exposed to a nitrogen-containing plasma to introduce nitrogen into the layer, and the layer is maintained at less than or equal to 400° C. during the exposing.
  • the nitrogen within the layer is thermally annealed to bond at least a majority of the nitrogen to silicon.
  • At least one conductive layer is formed over the gate oxide layer. Source/drain regions are formed within the semiconductive substrate, and are gatedly connected to one another by the at least one conductive layer.
  • the invention encompasses transistor structures.
  • FIG. 1 is a diagrammatic, cross-sectional view of a semiconductor wafer fragment at an initial processing step of a method of the present invention.
  • FIG. 2 is a view of the FIG. 1 wafer fragment shown at a processing step subsequent to that of FIG. 1 .
  • FIG. 3 is a view of the FIG. 1 wafer fragment shown at a processing step subsequent to that of FIG. 2 .
  • FIG. 4 is a view of the FIG. 1 wafer fragment shown at a processing step subsequent to that of FIG. 3 .
  • FIG. 5 is a view of the FIG. 1 wafer fragment shown at a processing step subsequent to that of FIG. 4 .
  • FIG. 6 is a view of the FIG. 1 wafer fragment shown at a processing step subsequent to that of FIG. 5 .
  • a semiconductor wafer fragment 10 comprises a substrate 12 having a silicon-oxide-containing layer 14 formed thereover.
  • Substrate 12 can comprise, for example, monocrystalline silicon lightly-doped with a background p-type dopant.
  • the terms “semiconductive substrate” and “semiconductor substrate” are defined to mean any construction comprising semiconductive material, including, but not limited to, bulk semiconductive materials such as a semiconductive wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials).
  • substrate refers to any supporting structure, including, but not limited to, the semiconductive substrates described above.
  • Silicon-oxide-containing layer 14 can comprise, for example, any material comprising silicon oxide, including, for example, silicon dioxide, borophosphosilicate glass (BPSG), etc.
  • layer 14 comprises silicon dioxide, and is ultimately utilized as a gate oxide layer in a transistor structure.
  • layer 14 can have a thickness of from about 5 ⁇ to about 60 ⁇ .
  • Oxide layer 14 has a lower surface 15 on substrate 12 and an upper surface 17 above substrate 12 and opposing surface 15 , Referring next to FIG. 2 , oxide-containing layer 14 has nitrogen (shown in FIG. 2 as “N”) implanted therein.
  • the nitrogen within layer 14 is shown by stippling, and a dashed line 16 is shown to indicate a lowermost boundary of the implanted nitrogen.
  • a predominant portion of the implanted nitrogen is preferably within an upper half of oxide layer 14 , and more preferably within an upper third of oxide layer 14 .
  • an entirety of the implanted nitrogen is in an upper half of oxide layer 14 , and the entirety of the implanted nitrogen can be in an upper third of oxide layer 14 , the upper fourth of layer 14 , or the upper fifth of layer 14 , for example.
  • An exemplary method of providing nitrogen within oxide layer 14 is to expose layer 14 to activated nitrogen from a nitrogen-containing plasma and thereby introduce nitrogen into layer 14 , with the term “activated” indicating that the nitrogen species is different than the form of nitrogen fed to the plasma.
  • An activated nitrogen species can comprise, for example, a nitrogen ion or a nitrogen atom in an energy state higher than its ground state.
  • Introduction of nitrogen into layer 14 forms a nitrogen-enriched upper region 18 of layer 14 and a non-nitrogen-enriched region 20 beneath region 18 .
  • the nitrogen-containing plasma can be formed from, for example, N 2 , NH 3 and/or N 2 O.
  • the plasma can be predominantly composed of nitrogen-containing species, consist essentially of nitrogen-containing species, or consist entirely of nitrogen-containing species.
  • layer 14 is maintained at a temperature of less than or equal to 400° C. during the exposure to the nitrogen-containing plasma. Such can alleviate diffusion of nitrogen into a lower half of oxide layer 14 .
  • Particular exemplary temperatures can be from 50° C. to 400° C., with a suitable temperature being about 65° C.
  • the nitrogen-containing plasma can be maintained with a power of from about 500 watts to about 5,000 watts during exposure of layer 14 to the plasma, and in particular embodiments can be maintained with a power of from about 500 watts to about 3,000 watts during the exposing.
  • a pressure within a reaction chamber comprising the plasma and oxide layer 14 can be less than about 3 Torr, and can be, for example, from about 5 mTorr to about 10 mTorr.
  • the time of exposure of layer 14 to the nitrogen-containing plasma is preferably for a time of less than or equal to about 1 minute, and in particular embodiments can be for a time of from about 3 seconds to about 1 minute.
  • An exemplary process utilizes an exposure time of from about 10 seconds to about 15 seconds.
  • layer 14 is exposed to an annealing temperature which causes at least some of the nitrogen within region 18 to bond to silicon proximate the nitrogen and accordingly form Si—N bonds which retain the nitrogen within layer 14 .
  • the annealing can comprise thermal processing at a temperature of less than 1,100° C. for a time of at least 3 seconds, and can comprise, for example, a temperature of 700° C. for a time of about 30 seconds, or 1,050° C. for a time of about 5 seconds.
  • the annealing can comprise rapid thermal processing (RTP) utilizing a ramp rate of at least 50° C./second to a temperature of less than 1,000° C., with such temperature being maintained for at least about 30 seconds.
  • Suitable processing can include a temperature of about 900° C. for a time of about 60 seconds.
  • a predominant portion of the nitrogen within layer 14 is bonded to silicon of the layer during the annealing, and more preferably, all of the nitrogen within layer 14 is bonded to silicon during the annealing.
  • the bonded nitrogen is precluded from migrating downwardly into layer 14 , and accordingly is locked into region 18 .
  • the nitrogen does not migrate below an upper half of oxide region 14 during the annealing, and accordingly, the nitrogen preferably remains within an upper half of layer 14 after the annealing.
  • the nitrogen does not migrate below an upper third of layer 14 during the annealing, and accordingly is retained in an upper third of layer 14 after the annealing.
  • an entirety of the nitrogen can be in upper fourth of layer 14 after the annealing, or in an upper fifth of layer 14 after the annealing.
  • there is no measurable nitrogen below the top 50% of layer 14 and in exemplary embodiments there is no measurable nitrogen below the top 10 ⁇ of layer 14 .
  • a reason for which it is desired to keep nitrogen in an upper half, or more preferably an upper third, of layer 14 is to alleviate any possibility that nitrogen will migrate through layer 14 and to an upper surface of substrate 12 . If nitrogen should reach the upper surface of substrate 12 , such can effectively alter a dopant concentration within the effected region of substrate 12 , and change electrical characteristics of devices ultimately formed over substrate 12 . For instance, if oxide layer 14 is ultimately utilized as a gate oxide, then the region of substrate 12 beneath oxide layer 14 will be a channel region of a transistor gate. If nitrogen migrates through layer 14 and into the channel region, such can affect a threshold voltage of a transistor device, and destroy the device for its intended purpose.
  • Stack 30 comprises materials which are ultimately to be patterned into a transistor gate, and accordingly comprises at least one conductive layer.
  • stack 30 comprises two conductive layers, and specifically comprises conductive layers 32 and 34 .
  • Stack 30 further comprises an insulative layer 36 formed over conductive layers 32 and 34 .
  • Conductive layer 32 can comprise, for example, conductively-doped silicon such as, for example, conductively-doped polysilicon, and can be doped with either n-type or p-type conductivity-enhancing dopant.
  • Conductive layer 34 can comprise, for example, a metal silicide, such as, for example, tungsten silicide or titanium silicide.
  • Insulative layer 36 can comprise, for example, silicon nitride.
  • conductive layer 32 comprises conductively-doped silicon
  • the nitrogen within layer 14 can block migration of dopants from polysilicon 32 into substrate 12 .
  • Such can alleviate problems which would otherwise occur if dopant were to migrate through oxide layer 14 and into the substrate 12 .
  • Problems which can occur through dopant migration from conductively doped layer 32 into substrate 12 are similar to the problems discussed above which can occur if nitrogen migrates from region 18 of oxide layer 14 into substrate 12 , and correspond to problems associated with undesired doping of a channel region formed in substrate 12 .
  • Such problems can be particularly severe if p-type doped polysilicon is utilized as a conductive material in forming a PMOS device.
  • oxide layer 14 and stack 30 are patterned into a transistor gate structure 40 .
  • Such patterning can be accomplished by, for example, photolithographic processing wherein a masking layer (such as photoresist) is formed over stack 30 and a pattern is transferred from the patterned masking layer to stack 30 and oxide 14 . The masking layer (not shown) can then be removed after transfer of the pattern to lead to resulting structure 40 .
  • a masking layer such as photoresist
  • the masking layer (not shown) can then be removed after transfer of the pattern to lead to resulting structure 40 .
  • oxide layer 14 is shown patterned together with stack 30 , the invention encompasses other embodiments wherein only stack 30 is patterned.
  • Lightly doped diffusion (Ldd) regions 42 are shown formed adjacent structure 40 , and can be formed by, for example, implanting a conductivity-enhancing dopant into substrate 12 after forming patterned gate structure 40 .
  • Regions 42 can comprise one or both of either n-type conductivity-enhancing dopant or p-type conductivity-enhancing dopant, depending on the type of transistor device which is ultimately to be formed, (i.e., depending on whether the device is to be a PMOS transistor or an NMOS transistor).
  • sidewalls 44 are shown formed adjacent gate structure 40 .
  • Sidewalls 44 typically comprise an insulative material, and can comprise, for example, silicon dioxide or silicon nitride.
  • Sidewalls 44 can be formed by, for example, forming a layer of material over substrate 12 and structure 40 , and subsequently anisotropically etching the layer of material to leave sidewall spacers 44 along sidewalls of structure 40 .
  • Source/drain regions 46 are shown formed within substrate 12 and adjacent lightly doped diffusion regions 42 .
  • Source/drain regions 46 can be formed by, for example, implanting conductivity-enhancing dopant into substrate 12 after formation of sidewall spacers 44 .
  • Source/drain regions 46 are preferably heavily-doped (i.e., doped to a concentration of greater than 1 ⁇ 10 19 atoms/cm 3 ) with conductivity-enhancing dopant.
  • the conductivity-enhancing dopant can be either n-type or p-type depending on the type of transistor device which is ultimately to be formed.
  • Gate structure 40 together with regions 42 and 46 , defines a field effect transistor.
  • a channel region 48 of such transistor is defined to be beneath oxide layer 14 .
  • Structure 40 can be utilized to control channel region 48 so as to gatedly connect a source/drain region on one side of gate 40 with a source/drain region on other side of gate 40 .
  • FIGS. 4-6 are not drawn to scale, and specifically that layer 14 is shown much larger in proportion to layers 32 , 34 and 36 than would typically occur in actual structures. Layer 14 is shown in such proportion to permit the portions 18 and 20 of layer 14 to be clearly illustrated in the drawings.

Abstract

The invention encompasses a method of incorporating nitrogen into a silicon-oxide-containing layer. The silicon-oxide-containing layer is exposed to a nitrogen-containing plasma to introduce nitrogen into the layer. The nitrogen is subsequently thermally annealed within the layer to bond at least some of the nitrogen to silicon within the layer. The invention also encompasses a method of forming a transistor. A gate oxide layer is formed over a semiconductive substrate. The gate oxide layer comprises silicon dioxide. The gate oxide layer is exposed to a nitrogen-containing plasma to introduce nitrogen into the layer, and the layer is maintained at less than or equal to 400° C. during the exposing. Subsequently, the nitrogen within the layer is thermally annealed to bond at least a majority of the nitrogen to silicon. At least one conductive layer is formed over the gate oxide layer. Source/drain regions are formed within the semiconductive substrate, and are gatedly connected to one another by the at least one conductive layer. The invention also encompasses transistor structures.

Description

    TECHNICAL FIELD
  • The invention pertains to methods of incorporating nitrogen into silicon-oxide-containing layers, and in particular application pertains to methods of forming transistors. The invention also pertains to transistor structures.
  • BACKGROUND OF THE INVENTION
  • It can be desirable to incorporate nitrogen into silicon-oxide-containing layers during formation of semiconductor devices. For instance, it can be desirable to incorporate nitrogen into gate oxides (which typically are silicon dioxide) to reduce dopant penetration through the oxides. Methods have been developed wherein nitrogen is incorporated into a gate oxide during deposition of the gate oxide by including nitrogen species amongst the deposited materials. It can, however, be difficult to control nitrogen location within silicon-oxide-containing layers formed by such techniques. Accordingly, it would be desirable to develop alternative techniques for incorporating nitrogen into silicon-oxide-containing layers.
  • SUMMARY OF THE INVENTION
  • In one aspect, the invention encompasses a method of incorporating nitrogen into a silicon-oxide-containing layer. The silicon-oxide-containing layer is exposed to a nitrogen-containing plasma to introduce nitrogen into the layer. The nitrogen is subsequently thermally annealed within the layer to bond at least some of the nitrogen to silicon within the layer.
  • In another aspect, the invention encompasses a method of forming a transistor. A gate oxide layer is formed over a semiconductive substrate. The gate oxide layer comprises silicon dioxide. The gate oxide layer is exposed to a nitrogen-containing plasma to introduce nitrogen into the layer, and the layer is maintained at less than or equal to 400° C. during the exposing. Subsequently, the nitrogen within the layer is thermally annealed to bond at least a majority of the nitrogen to silicon. At least one conductive layer is formed over the gate oxide layer. Source/drain regions are formed within the semiconductive substrate, and are gatedly connected to one another by the at least one conductive layer.
  • In yet another aspect, the invention encompasses transistor structures.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Preferred embodiments of the invention are described below with reference to the following accompanying drawings.
  • FIG. 1 is a diagrammatic, cross-sectional view of a semiconductor wafer fragment at an initial processing step of a method of the present invention.
  • FIG. 2 is a view of the FIG. 1 wafer fragment shown at a processing step subsequent to that of FIG. 1.
  • FIG. 3 is a view of the FIG. 1 wafer fragment shown at a processing step subsequent to that of FIG. 2.
  • FIG. 4 is a view of the FIG. 1 wafer fragment shown at a processing step subsequent to that of FIG. 3.
  • FIG. 5 is a view of the FIG. 1 wafer fragment shown at a processing step subsequent to that of FIG. 4.
  • FIG. 6 is a view of the FIG. 1 wafer fragment shown at a processing step subsequent to that of FIG. 5.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • This disclosure of the invention is submitted in furtherance of the constitutional purposes of the U.S. Patent Laws “to promote the progress of science and useful arts” (Article 1, Section 8).
  • A method of the present invention is described with reference to FIGS. 1-6. Referring initially to FIG. 1, a semiconductor wafer fragment 10 comprises a substrate 12 having a silicon-oxide-containing layer 14 formed thereover. Substrate 12 can comprise, for example, monocrystalline silicon lightly-doped with a background p-type dopant. To aid in interpretation of the claims that follow, the terms “semiconductive substrate” and “semiconductor substrate” are defined to mean any construction comprising semiconductive material, including, but not limited to, bulk semiconductive materials such as a semiconductive wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). The term “substrate” refers to any supporting structure, including, but not limited to, the semiconductive substrates described above.
  • Silicon-oxide-containing layer 14 can comprise, for example, any material comprising silicon oxide, including, for example, silicon dioxide, borophosphosilicate glass (BPSG), etc. In a particular embodiment of the present invention, layer 14 comprises silicon dioxide, and is ultimately utilized as a gate oxide layer in a transistor structure. In such embodiment, layer 14 can have a thickness of from about 5 Å to about 60 Å. Oxide layer 14 has a lower surface 15 on substrate 12 and an upper surface 17 above substrate 12 and opposing surface 15, Referring next to FIG. 2, oxide-containing layer 14 has nitrogen (shown in FIG. 2 as “N”) implanted therein. The nitrogen within layer 14 is shown by stippling, and a dashed line 16 is shown to indicate a lowermost boundary of the implanted nitrogen. A predominant portion of the implanted nitrogen is preferably within an upper half of oxide layer 14, and more preferably within an upper third of oxide layer 14. In particular embodiments, an entirety of the implanted nitrogen is in an upper half of oxide layer 14, and the entirety of the implanted nitrogen can be in an upper third of oxide layer 14, the upper fourth of layer 14, or the upper fifth of layer 14, for example.
  • An exemplary method of providing nitrogen within oxide layer 14 is to expose layer 14 to activated nitrogen from a nitrogen-containing plasma and thereby introduce nitrogen into layer 14, with the term “activated” indicating that the nitrogen species is different than the form of nitrogen fed to the plasma. An activated nitrogen species can comprise, for example, a nitrogen ion or a nitrogen atom in an energy state higher than its ground state. Introduction of nitrogen into layer 14 forms a nitrogen-enriched upper region 18 of layer 14 and a non-nitrogen-enriched region 20 beneath region 18.
  • The nitrogen-containing plasma can be formed from, for example, N2, NH3 and/or N2O. The plasma can be predominantly composed of nitrogen-containing species, consist essentially of nitrogen-containing species, or consist entirely of nitrogen-containing species. In exemplary embodiments, layer 14 is maintained at a temperature of less than or equal to 400° C. during the exposure to the nitrogen-containing plasma. Such can alleviate diffusion of nitrogen into a lower half of oxide layer 14. Particular exemplary temperatures can be from 50° C. to 400° C., with a suitable temperature being about 65° C. The nitrogen-containing plasma can be maintained with a power of from about 500 watts to about 5,000 watts during exposure of layer 14 to the plasma, and in particular embodiments can be maintained with a power of from about 500 watts to about 3,000 watts during the exposing. A pressure within a reaction chamber comprising the plasma and oxide layer 14 can be less than about 3 Torr, and can be, for example, from about 5 mTorr to about 10 mTorr. The time of exposure of layer 14 to the nitrogen-containing plasma is preferably for a time of less than or equal to about 1 minute, and in particular embodiments can be for a time of from about 3 seconds to about 1 minute. An exemplary process utilizes an exposure time of from about 10 seconds to about 15 seconds.
  • Referring to FIG. 3, layer 14 is exposed to an annealing temperature which causes at least some of the nitrogen within region 18 to bond to silicon proximate the nitrogen and accordingly form Si—N bonds which retain the nitrogen within layer 14. The annealing can comprise thermal processing at a temperature of less than 1,100° C. for a time of at least 3 seconds, and can comprise, for example, a temperature of 700° C. for a time of about 30 seconds, or 1,050° C. for a time of about 5 seconds. Alternatively, the annealing can comprise rapid thermal processing (RTP) utilizing a ramp rate of at least 50° C./second to a temperature of less than 1,000° C., with such temperature being maintained for at least about 30 seconds. Suitable processing can include a temperature of about 900° C. for a time of about 60 seconds.
  • Preferably, a predominant portion of the nitrogen within layer 14 is bonded to silicon of the layer during the annealing, and more preferably, all of the nitrogen within layer 14 is bonded to silicon during the annealing. The bonded nitrogen is precluded from migrating downwardly into layer 14, and accordingly is locked into region 18. In exemplary embodiments, the nitrogen does not migrate below an upper half of oxide region 14 during the annealing, and accordingly, the nitrogen preferably remains within an upper half of layer 14 after the annealing. In other exemplary embodiments, the nitrogen does not migrate below an upper third of layer 14 during the annealing, and accordingly is retained in an upper third of layer 14 after the annealing. Additionally, an entirety of the nitrogen can be in upper fourth of layer 14 after the annealing, or in an upper fifth of layer 14 after the annealing. In particular embodiments of the invention, there is no measurable nitrogen below the top 50% of layer 14, and in exemplary embodiments there is no measurable nitrogen below the top 10 Å of layer 14.
  • A reason for which it is desired to keep nitrogen in an upper half, or more preferably an upper third, of layer 14 is to alleviate any possibility that nitrogen will migrate through layer 14 and to an upper surface of substrate 12. If nitrogen should reach the upper surface of substrate 12, such can effectively alter a dopant concentration within the effected region of substrate 12, and change electrical characteristics of devices ultimately formed over substrate 12. For instance, if oxide layer 14 is ultimately utilized as a gate oxide, then the region of substrate 12 beneath oxide layer 14 will be a channel region of a transistor gate. If nitrogen migrates through layer 14 and into the channel region, such can affect a threshold voltage of a transistor device, and destroy the device for its intended purpose.
  • Referring to FIG. 4, a stack 30 is formed over layer 14. Stack 30 comprises materials which are ultimately to be patterned into a transistor gate, and accordingly comprises at least one conductive layer. In the shown embodiment, stack 30 comprises two conductive layers, and specifically comprises conductive layers 32 and 34. Stack 30 further comprises an insulative layer 36 formed over conductive layers 32 and 34. Conductive layer 32 can comprise, for example, conductively-doped silicon such as, for example, conductively-doped polysilicon, and can be doped with either n-type or p-type conductivity-enhancing dopant. Conductive layer 34 can comprise, for example, a metal silicide, such as, for example, tungsten silicide or titanium silicide. Insulative layer 36 can comprise, for example, silicon nitride.
  • If conductive layer 32 comprises conductively-doped silicon, the nitrogen within layer 14 can block migration of dopants from polysilicon 32 into substrate 12. Such can alleviate problems which would otherwise occur if dopant were to migrate through oxide layer 14 and into the substrate 12. Problems which can occur through dopant migration from conductively doped layer 32 into substrate 12 are similar to the problems discussed above which can occur if nitrogen migrates from region 18 of oxide layer 14 into substrate 12, and correspond to problems associated with undesired doping of a channel region formed in substrate 12. Such problems can be particularly severe if p-type doped polysilicon is utilized as a conductive material in forming a PMOS device.
  • Referring to FIG. 5, oxide layer 14 and stack 30 are patterned into a transistor gate structure 40. Such patterning can be accomplished by, for example, photolithographic processing wherein a masking layer (such as photoresist) is formed over stack 30 and a pattern is transferred from the patterned masking layer to stack 30 and oxide 14. The masking layer (not shown) can then be removed after transfer of the pattern to lead to resulting structure 40. It is noted that although oxide layer 14 is shown patterned together with stack 30, the invention encompasses other embodiments wherein only stack 30 is patterned.
  • Lightly doped diffusion (Ldd) regions 42 are shown formed adjacent structure 40, and can be formed by, for example, implanting a conductivity-enhancing dopant into substrate 12 after forming patterned gate structure 40. Regions 42 can comprise one or both of either n-type conductivity-enhancing dopant or p-type conductivity-enhancing dopant, depending on the type of transistor device which is ultimately to be formed, (i.e., depending on whether the device is to be a PMOS transistor or an NMOS transistor).
  • Referring to FIG. 6, sidewalls 44 are shown formed adjacent gate structure 40. Sidewalls 44 typically comprise an insulative material, and can comprise, for example, silicon dioxide or silicon nitride. Sidewalls 44 can be formed by, for example, forming a layer of material over substrate 12 and structure 40, and subsequently anisotropically etching the layer of material to leave sidewall spacers 44 along sidewalls of structure 40.
  • Source/drain regions 46 are shown formed within substrate 12 and adjacent lightly doped diffusion regions 42. Source/drain regions 46 can be formed by, for example, implanting conductivity-enhancing dopant into substrate 12 after formation of sidewall spacers 44. Source/drain regions 46 are preferably heavily-doped (i.e., doped to a concentration of greater than 1×1019 atoms/cm3) with conductivity-enhancing dopant. The conductivity-enhancing dopant can be either n-type or p-type depending on the type of transistor device which is ultimately to be formed.
  • Gate structure 40, together with regions 42 and 46, defines a field effect transistor. A channel region 48 of such transistor is defined to be beneath oxide layer 14. Structure 40 can be utilized to control channel region 48 so as to gatedly connect a source/drain region on one side of gate 40 with a source/drain region on other side of gate 40.
  • It is noted that the structures of FIGS. 4-6 are not drawn to scale, and specifically that layer 14 is shown much larger in proportion to layers 32, 34 and 36 than would typically occur in actual structures. Layer 14 is shown in such proportion to permit the portions 18 and 20 of layer 14 to be clearly illustrated in the drawings.
  • In compliance with the statute, the invention has been described in language more or less specific as to structural and methodical features. It is to be understood, however, that the invention is not limited to the specific features shown and described, since the means herein disclosed comprise preferred forms of putting the invention into effect. The invention is, therefore, claimed in any of its forms or modifications within the proper scope of the appended claims appropriately interpreted in accordance with the doctrine of equivalents.

Claims (6)

1-47. (canceled)
48. A transistor structure comprising:
a gate oxide region disposed directly on a semiconductive substrate and having an upper surface, the gate oxide region having a thickness of 5 Å, an upper half of the gate oxide region being nitrogen-enriched relative to a lower half; and
a conductive layer in physical contact with the upper surface of the gate oxide region.
49. The transistor structure of claim 48 wherein the gate oxide region comprises silicon dioxide.
50. The transistor structure of claim 48 wherein the gate oxide region comprises borophosphosilicate glass.
51. The transistor structure of claim 48 wherein the conductive layer is a first conductive layer, and further comprising a second conductive layer over the first conductive layer.
52. The transistor structure of claim 51 wherein the first conductive layer comprises conductively doped silicon and wherein the second conductive layer comprises a metal silicide.
US11/677,923 2000-08-07 2007-02-22 Transistor Structures Abandoned US20070138577A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/677,923 US20070138577A1 (en) 2000-08-07 2007-02-22 Transistor Structures

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/633,556 US6660657B1 (en) 2000-08-07 2000-08-07 Methods of incorporating nitrogen into silicon-oxide-containing layers
US10/050,348 US7459757B2 (en) 2000-08-07 2002-01-15 Transistor structures
US11/677,923 US20070138577A1 (en) 2000-08-07 2007-02-22 Transistor Structures

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/050,348 Division US7459757B2 (en) 2000-08-07 2002-01-15 Transistor structures

Publications (1)

Publication Number Publication Date
US20070138577A1 true US20070138577A1 (en) 2007-06-21

Family

ID=24540110

Family Applications (7)

Application Number Title Priority Date Filing Date
US09/633,556 Expired - Lifetime US6660657B1 (en) 2000-08-07 2000-08-07 Methods of incorporating nitrogen into silicon-oxide-containing layers
US10/050,347 Expired - Fee Related US7344948B2 (en) 2000-08-07 2002-01-15 Methods of forming transistors
US10/050,373 Expired - Lifetime US7432166B2 (en) 2000-08-07 2002-01-15 Methods of forming a nitrogen enriched region
US10/050,348 Expired - Lifetime US7459757B2 (en) 2000-08-07 2002-01-15 Transistor structures
US10/205,235 Expired - Lifetime US6660658B2 (en) 2000-08-07 2002-07-26 Transistor structures, methods of incorporating nitrogen into silicon-oxide-containing layers; and methods of forming transistors
US11/677,923 Abandoned US20070138577A1 (en) 2000-08-07 2007-02-22 Transistor Structures
US12/196,988 Expired - Fee Related US8058130B2 (en) 2000-08-07 2008-08-22 Method of forming a nitrogen-enriched region within silicon-oxide-containing masses

Family Applications Before (5)

Application Number Title Priority Date Filing Date
US09/633,556 Expired - Lifetime US6660657B1 (en) 2000-08-07 2000-08-07 Methods of incorporating nitrogen into silicon-oxide-containing layers
US10/050,347 Expired - Fee Related US7344948B2 (en) 2000-08-07 2002-01-15 Methods of forming transistors
US10/050,373 Expired - Lifetime US7432166B2 (en) 2000-08-07 2002-01-15 Methods of forming a nitrogen enriched region
US10/050,348 Expired - Lifetime US7459757B2 (en) 2000-08-07 2002-01-15 Transistor structures
US10/205,235 Expired - Lifetime US6660658B2 (en) 2000-08-07 2002-07-26 Transistor structures, methods of incorporating nitrogen into silicon-oxide-containing layers; and methods of forming transistors

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/196,988 Expired - Fee Related US8058130B2 (en) 2000-08-07 2008-08-22 Method of forming a nitrogen-enriched region within silicon-oxide-containing masses

Country Status (1)

Country Link
US (7) US6660657B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090215253A1 (en) * 2000-08-07 2009-08-27 Sandhu Gurtej S Method of Forming a Nitrogen-Enriched Region within Silicon-Oxide-Containing Masses

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6686298B1 (en) * 2000-06-22 2004-02-03 Micron Technology, Inc. Methods of forming structures over semiconductor substrates, and methods of forming transistors associated with semiconductor substrates
US20020132457A1 (en) * 2001-03-13 2002-09-19 Macronix International Co., Ltd. Method for avoiding the ion penetration with the plasma doping
US7071043B2 (en) 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
US6818548B2 (en) * 2002-10-29 2004-11-16 Intel Corporation Fast ramp anneal for hillock suppression in copper-containing structures
US7428580B2 (en) 2003-11-26 2008-09-23 Aol Llc Electronic message forwarding
DE10255936B4 (en) * 2002-11-29 2005-12-29 Advanced Micro Devices, Inc., Sunnyvale Method for producing an insulating layer and method for controlling a nitrogen concentration during the production of the insulating layer
KR100482758B1 (en) * 2002-12-12 2005-04-14 주식회사 하이닉스반도체 Method of manufacturing a semiconductor device
KR100668954B1 (en) * 2004-12-15 2007-01-12 동부일렉트로닉스 주식회사 Method for manufacturing of the thin film transistor
KR100632654B1 (en) * 2004-12-28 2006-10-12 주식회사 하이닉스반도체 Method of manufacturing a flash memory device
DE102005022391A1 (en) * 2005-05-13 2006-11-16 Infineon Technologies Ag Semiconductor component, e.g. power metal oxide semiconductor field effect transistor (MOSFET) includes insulator layer formed by laminating oxide layer and oxynitride layer
US20070010079A1 (en) * 2005-07-06 2007-01-11 Hidehiko Ichiki Method for fabricating semiconductor device
KR100683854B1 (en) * 2005-09-06 2007-02-15 삼성전자주식회사 Methods of forming non-volatile memory device
KR100731070B1 (en) * 2005-12-28 2007-06-22 동부일렉트로닉스 주식회사 Method for fabricating gate electrode of semiconductor device
JP2008270764A (en) * 2007-03-29 2008-11-06 Hitachi Kokusai Electric Inc Substrate processing device and method for manufacturing semiconductor in the substrate processing device
KR100843246B1 (en) * 2007-05-22 2008-07-02 삼성전자주식회사 Semiconductor device having sti structure and method for manufacturing the same
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
KR20090008004A (en) * 2007-07-16 2009-01-21 삼성전자주식회사 Semiconductor device having sti structure and method for manufacturing the same
US7928020B2 (en) * 2007-09-27 2011-04-19 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a nitrogenated silicon oxide layer and MOS device having same
EP2300921B1 (en) 2008-10-30 2011-11-30 International Business Machines Corporation Flashcopy handling
CN102254816A (en) * 2010-05-21 2011-11-23 武汉新芯集成电路制造有限公司 Method for improving surface performance of reclaiming wafer and method for depositing SiOx thin film on reclaiming wafer
TWI549163B (en) * 2011-09-20 2016-09-11 應用材料股份有限公司 Surface stabilization process to reduce dopant diffusion
TWI536505B (en) * 2013-09-11 2016-06-01 東芝股份有限公司 Nonvolatile semiconductor memory device, method for manufacturing same, and manufacturing apparatus
US9847388B2 (en) * 2015-09-01 2017-12-19 International Business Machines Corporation High thermal budget compatible punch through stop integration using doped glass
KR20220048690A (en) 2020-10-13 2022-04-20 삼성전자주식회사 Method for fabricating semiconductor device
US11901195B2 (en) * 2021-10-22 2024-02-13 Applied Materials, Inc. Methods, systems, and apparatus for conducting a radical treatment operation prior to conducting an annealing operation

Citations (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4254161A (en) * 1979-08-16 1981-03-03 International Business Machines Corporation Prevention of low pressure chemical vapor deposition silicon dioxide undercutting and flaking
US4262631A (en) * 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4435447A (en) * 1978-12-26 1984-03-06 Fujitsu Limited Method for forming an insulating film on a semiconductor substrate surface
US4605447A (en) * 1983-05-16 1986-08-12 U.S. Philips Corporation Methods of manufacturing semiconductor devices
US4891684A (en) * 1986-08-04 1990-01-02 Hitachi, Ltd. Semiconductor device
US4996081A (en) * 1985-01-21 1991-02-26 Ellul Joseph P Method of forming multiple nitride coating on silicon
US5026574A (en) * 1986-03-19 1991-06-25 The General Electric Company, P.L.C. Chemical vapor deposition process for depositing large-grain polysilicon films
US5032545A (en) * 1990-10-30 1991-07-16 Micron Technology, Inc. Process for preventing a native oxide from forming on the surface of a semiconductor material and integrated circuit capacitors produced thereby
US5142438A (en) * 1991-11-15 1992-08-25 Micron Technology, Inc. Dram cell having a stacked capacitor with a tantalum lower plate, a tantalum oxide dielectric layer, and a silicide buried contact
US5227651A (en) * 1991-03-23 1993-07-13 Samsung Electronics, Co., Ltd. Semiconductor device having a capacitor with an electrode grown through pinholes
US5237188A (en) * 1990-11-28 1993-08-17 Kabushiki Kaisha Toshiba Semiconductor device with nitrided gate insulating film
US5318924A (en) * 1991-10-03 1994-06-07 Hewlett-Packard Company Nitridation of titanium-tungsten interconnects
US5324679A (en) * 1991-03-23 1994-06-28 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having increased surface area conductive layer
US5330936A (en) * 1991-05-27 1994-07-19 Nec Corporation Method of producing a silicon nitride film and method of fabricating a semiconductor device
US5330920A (en) * 1993-06-15 1994-07-19 Digital Equipment Corporation Method of controlling gate oxide thickness in the fabrication of semiconductor devices
US5378645A (en) * 1992-05-21 1995-01-03 Oki Electric Industry Co., Ltd. Method of making a semiconductor device with a capacitor
US5382533A (en) * 1993-06-18 1995-01-17 Micron Semiconductor, Inc. Method of manufacturing small geometry MOS field-effect transistors having improved barrier layer to hot electron injection
US5393702A (en) * 1993-07-06 1995-02-28 United Microelectronics Corporation Via sidewall SOG nitridation for via filling
US5397748A (en) * 1991-12-28 1995-03-14 Nec Corporation Method of producing semiconductor device with insulating film having at least silicon nitride film
US5398641A (en) * 1993-07-27 1995-03-21 Texas Instruments Incorporated Method for p-type doping of semiconductor structures formed of group II and group VI elements
US5436481A (en) * 1993-01-21 1995-07-25 Nippon Steel Corporation MOS-type semiconductor device and method of making the same
US5498890A (en) * 1989-11-08 1996-03-12 Samsung Electronics Co., Ltd. Semiconductor device having a multi-layered dielectric structure and manufacturing method thereof
US5500380A (en) * 1993-04-16 1996-03-19 Goldstar Co., Ltd. Method for fabricating thin film transistor
US5504029A (en) * 1987-09-19 1996-04-02 Hitachi, Ltd. Method of producing semiconductor integrated circuit device having memory cell and peripheral circuit MISFETs
US5508542A (en) * 1994-10-28 1996-04-16 International Business Machines Corporation Porous silicon trench and capacitor structures
US5518946A (en) * 1991-10-07 1996-05-21 Sony Corporation Process for fabricating capacitors in dynamic RAM
US5518958A (en) * 1994-07-29 1996-05-21 International Business Machines Corporation Prevention of agglomeration and inversion in a semiconductor polycide process
US5523596A (en) * 1990-10-05 1996-06-04 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having capacitor and manufacturing method therefor
US5596218A (en) * 1993-10-18 1997-01-21 Digital Equipment Corporation Hot carrier-hard gate oxides by nitrogen implantation before gate oxidation
US5612558A (en) * 1995-11-15 1997-03-18 Micron Technology, Inc. Hemispherical grained silicon on refractory metal nitride
US5619057A (en) * 1994-01-19 1997-04-08 Sony Corporation Complex film overlying a substrate with defined work function
US5620908A (en) * 1994-09-19 1997-04-15 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device comprising BiCMOS transistor
US5633036A (en) * 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5716864A (en) * 1994-07-22 1998-02-10 Nkk Corporation Method of manufacturing a non-volatile semiconductor memory device with peripheral transistor
US5731235A (en) * 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US5760475A (en) * 1987-03-30 1998-06-02 International Business Machines Corporation Refractory metal-titanium nitride conductive structures
US5763922A (en) * 1997-02-28 1998-06-09 Intel Corporation CMOS integrated circuit having PMOS and NMOS devices with different gate dielectric layers
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5885877A (en) * 1997-04-21 1999-03-23 Advanced Micro Devices, Inc. Composite gate electrode incorporating dopant diffusion-retarding barrier layer adjacent to underlying gate dielectric
US5897354A (en) * 1996-12-17 1999-04-27 Cypress Semiconductor Corporation Method of forming a non-volatile memory device with ramped tunnel dielectric layer
US5920779A (en) * 1997-05-21 1999-07-06 United Microelectronics Corp. Differential gate oxide thickness by nitrogen implantation for mixed mode and embedded VLSI circuits
US6033998A (en) * 1998-03-09 2000-03-07 Lsi Logic Corporation Method of forming variable thickness gate dielectrics
US6040249A (en) * 1996-08-12 2000-03-21 Texas Instruments Incorporated Method of improving diffusion barrier properties of gate oxides by applying ions or free radicals of nitrogen in low energy
US6051865A (en) * 1998-11-09 2000-04-18 Advanced Micro Devices, Inc. Transistor having a barrier layer below a high permittivity gate dielectric
US6054396A (en) * 1996-05-09 2000-04-25 Micron Technology, Inc. Semiconductor processing method of reducing thickness depletion of a silicide layer at a junction of different underlying layers
US6057584A (en) * 1997-12-19 2000-05-02 Advanced Micro Devices, Inc. Semiconductor device having a tri-layer gate insulating dielectric
US6057220A (en) * 1997-09-23 2000-05-02 International Business Machines Corporation Titanium polycide stabilization with a porous barrier
US6060406A (en) * 1998-05-28 2000-05-09 Lucent Technologies Inc. MOS transistors with improved gate dielectrics
US6063713A (en) * 1997-11-10 2000-05-16 Micron Technology, Inc. Methods for forming silicon nitride layers on silicon-comprising substrates
US6080629A (en) * 1997-04-21 2000-06-27 Advanced Micro Devices, Inc. Ion implantation into a gate electrode layer using an implant profile displacement layer
US6080682A (en) * 1997-12-18 2000-06-27 Advanced Micro Devices, Inc. Methodology for achieving dual gate oxide thicknesses
US6087236A (en) * 1998-11-24 2000-07-11 Intel Corporation Integrated circuit with multiple gate dielectric structures
US6087229A (en) * 1998-03-09 2000-07-11 Lsi Logic Corporation Composite semiconductor gate dielectrics
US6091109A (en) * 1998-05-11 2000-07-18 Nec Corporation Semiconductor device having different gate oxide thicknesses by implanting halogens in one region and nitrogen in the second region
US6091110A (en) * 1998-03-30 2000-07-18 Spectrian Corporation MOSFET device having recessed gate-drain shield and method
US6093661A (en) * 1999-08-30 2000-07-25 Micron Technology, Inc. Integrated circuitry and semiconductor processing method of forming field effect transistors
US6168980B1 (en) * 1992-08-27 2001-01-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for forming the same
US6171900B1 (en) * 1999-04-15 2001-01-09 Taiwan Semiconductor Manufacturing Company CVD Ta2O5/oxynitride stacked gate insulator with TiN gate electrode for sub-quarter micron MOSFET
US6184110B1 (en) * 1998-04-30 2001-02-06 Sharp Laboratories Of America, Inc. Method of forming nitrogen implanted ultrathin gate oxide for dual gate CMOS devices
US6197701B1 (en) * 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6201303B1 (en) * 1999-10-14 2001-03-13 Advanced Micro Devices, Inc. Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
US6207985B1 (en) * 1998-02-02 2001-03-27 Texas Instruments Incorporated DRAM memory cell and array having pass transistors with surrounding gate
US6207586B1 (en) * 1998-10-28 2001-03-27 Lucent Technologies Inc. Oxide/nitride stacked gate dielectric and associated methods
US6207532B1 (en) * 1999-09-30 2001-03-27 Taiwan Semiconductor Manufacturing Company STI process for improving isolation for deep sub-micron application
US6225167B1 (en) * 2000-03-13 2001-05-01 Taiwan Semiconductor Manufacturing Company Method of generating multiple oxide thicknesses by one oxidation step using NH3 nitridation followed by re-oxidation
US6228701B1 (en) * 1997-12-19 2001-05-08 Seimens Aktiengesellschaft Apparatus and method for minimizing diffusion in stacked capacitors formed on silicon plugs
US6245616B1 (en) * 1999-01-06 2001-06-12 International Business Machines Corporation Method of forming oxynitride gate dielectric
US6255703B1 (en) * 1999-06-02 2001-07-03 Advanced Micro Devices, Inc. Device with lower LDD resistance
US6265327B1 (en) * 1997-06-20 2001-07-24 Japan Science And Technology Corp. Method for forming an insulating film on semiconductor substrate surface and apparatus for carrying out the method
US6268296B1 (en) * 1997-12-31 2001-07-31 Texas Instruments Incorporated Low temperature process for multiple voltage devices
US20020009861A1 (en) * 1998-06-12 2002-01-24 Pravin K. Narwankar Method and apparatus for the formation of dielectric layers
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6350707B1 (en) * 1999-09-03 2002-02-26 United Microelectronics Corp. Method of fabricating capacitor dielectric
US6362085B1 (en) * 2000-07-19 2002-03-26 Taiwan Semiconductor Manufacturing Company Method for reducing gate oxide effective thickness and leakage current
US6399448B1 (en) * 1999-11-19 2002-06-04 Chartered Semiconductor Manufacturing Ltd. Method for forming dual gate oxide
US6399445B1 (en) * 1997-12-18 2002-06-04 Texas Instruments Incorporated Fabrication technique for controlled incorporation of nitrogen in gate dielectric
US6399520B1 (en) * 1999-03-10 2002-06-04 Tokyo Electron Limited Semiconductor manufacturing method and semiconductor manufacturing apparatus
US6410991B1 (en) * 1998-06-15 2002-06-25 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing the same
US6413881B1 (en) * 2000-03-09 2002-07-02 Lsi Logic Corporation Process for forming thin gate oxide with enhanced reliability by nitridation of upper surface of gate of oxide to form barrier of nitrogen atoms in upper surface region of gate oxide, and resulting product
US20020094621A1 (en) * 2000-08-07 2002-07-18 Sandhu Gurtej S. Methods of forming a nitrogen enriched region
US20030034518A1 (en) * 1999-03-08 2003-02-20 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor memory
US6682979B2 (en) * 2000-06-22 2004-01-27 Micron Technology, Inc. Methods of forming transistors associated with semiconductor substrates
US6686298B1 (en) * 2000-06-22 2004-02-03 Micron Technology, Inc. Methods of forming structures over semiconductor substrates, and methods of forming transistors associated with semiconductor substrates
US6723599B2 (en) * 2001-12-03 2004-04-20 Micron Technology, Inc. Methods of forming capacitors and methods of forming capacitor dielectric layers
US6875707B2 (en) * 2001-08-29 2005-04-05 Micron Technology, Inc. Method of forming a capacitor dielectric layer
US20050087820A1 (en) * 1998-12-15 2005-04-28 Gang Bai High dielectric constant metal oxide gate dielectrics
US6893981B2 (en) * 2002-12-12 2005-05-17 Hynix Semiconductor Inc. Method of manufacturing a semiconductor device by RTA process in nitrogen atmosphere
US20060134864A1 (en) * 2004-12-22 2006-06-22 Masaaki Higashitani Multi-thickness dielectric for semiconductor memory

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3627598A (en) * 1970-02-05 1971-12-14 Fairchild Camera Instr Co Nitride passivation of mesa transistors by phosphovapox lifting
DE2967538D1 (en) * 1978-06-14 1985-12-05 Fujitsu Ltd Process for producing a semiconductor device having an insulating layer of silicon dioxide covered by a film of silicon oxynitride
US4882649A (en) 1988-03-29 1989-11-21 Texas Instruments Incorporated Nitride/oxide/nitride capacitor dielectric
JPH088311B2 (en) * 1988-07-05 1996-01-29 株式会社東芝 Ultraviolet erasable nonvolatile semiconductor memory device
US5254489A (en) 1990-10-18 1993-10-19 Nec Corporation Method of manufacturing semiconductor device by forming first and second oxide films by use of nitridation
JP2640174B2 (en) 1990-10-30 1997-08-13 三菱電機株式会社 Semiconductor device and manufacturing method thereof
JP2723396B2 (en) 1991-09-19 1998-03-09 シャープ株式会社 Method of manufacturing nonvolatile memory device
US5164331A (en) 1991-10-03 1992-11-17 Hewlett-Packard Company Method of forming and etching titanium-tungsten interconnects
US5350707A (en) * 1991-11-19 1994-09-27 Samsung Electronics Co., Ltd. Method for making a capacitor having an electrode surface with a plurality of trenches formed therein
US5334554A (en) 1992-01-24 1994-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Nitrogen plasma treatment to prevent field device leakage in VLSI processing
US5258333A (en) 1992-08-18 1993-11-02 Intel Corporation Composite dielectric for a semiconductor device and method of fabrication
US5445999A (en) 1992-11-13 1995-08-29 Micron Technology, Inc. Advanced technique to improve the bonding arrangement on silicon surfaces to promote uniform nitridation
US5376593A (en) * 1992-12-31 1994-12-27 Micron Semiconductor, Inc. Method for fabricating stacked layer Si3 N4 for low leakage high capacitance films using rapid thermal nitridation
US5464792A (en) 1993-06-07 1995-11-07 Motorola, Inc. Process to incorporate nitrogen at an interface of a dielectric layer in a semiconductor device
US5663077A (en) 1993-07-27 1997-09-02 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a thin film transistor in which the gate insulator comprises two oxide films
US5449631A (en) 1994-07-29 1995-09-12 International Business Machines Corporation Prevention of agglomeration and inversion in a semiconductor salicide process
US5663036A (en) 1994-12-13 1997-09-02 International Business Machines Corporation Microlithographic structure with an underlayer film comprising a thermolyzed azide
JPH08250488A (en) * 1995-01-13 1996-09-27 Seiko Epson Corp Device and method for plasma treatment
JP2871530B2 (en) 1995-05-10 1999-03-17 日本電気株式会社 Method for manufacturing semiconductor device
EP0752717A1 (en) 1995-05-10 1997-01-08 STMicroelectronics S.r.l. A method of manufacturing a MOS integrated circuit having components with different dielectrics
US5674788A (en) 1995-06-06 1997-10-07 Advanced Micro Devices, Inc. Method of forming high pressure silicon oxynitride gate dielectrics
KR0167248B1 (en) 1995-07-24 1999-02-01 문정환 Heat treatment of substrate
US5837592A (en) 1995-12-07 1998-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for stabilizing polysilicon resistors
JPH1079506A (en) 1996-02-07 1998-03-24 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacturing method
US5821142A (en) * 1996-04-08 1998-10-13 Vanguard International Semiconductor Method for forming a capacitor with a multiple pillar structure
JP3876473B2 (en) 1996-06-04 2007-01-31 住友電気工業株式会社 Nitride single crystal and manufacturing method thereof
JP4035855B2 (en) * 1996-06-05 2008-01-23 味の素株式会社 Method for producing L-lysine
US6110842A (en) 1996-06-07 2000-08-29 Texas Instruments Incorporated Method of forming multiple gate oxide thicknesses using high density plasma nitridation
US5843830A (en) * 1996-06-26 1998-12-01 Micron Technology, Inc. Capacitor, and methods for forming a capacitor
US5969397A (en) 1996-11-26 1999-10-19 Texas Instruments Incorporated Low defect density composite dielectric
US5960302A (en) 1996-12-31 1999-09-28 Lucent Technologies, Inc. Method of making a dielectric for an integrated circuit
US5840610A (en) 1997-01-16 1998-11-24 Advanced Micro Devices, Inc. Enhanced oxynitride gate dielectrics using NF3 gas
JP3090074B2 (en) 1997-01-20 2000-09-18 日本電気株式会社 Semiconductor device and manufacturing method thereof
US6096597A (en) * 1997-01-31 2000-08-01 Texas Instruments Incorporated Method for fabricating an integrated circuit structure
US6461982B2 (en) * 1997-02-27 2002-10-08 Micron Technology, Inc. Methods for forming a dielectric film
JPH10247725A (en) 1997-03-05 1998-09-14 Mitsubishi Electric Corp Semiconductor device and its manufacturing method
US6057854A (en) * 1997-03-07 2000-05-02 Micrografx, Inc. System and method of providing interactive vector graphics over a network
US5837598A (en) 1997-03-13 1998-11-17 Lsi Logic Corporation Diffusion barrier for polysilicon gate electrode of MOS device in integrated circuit structure, and method of making same
US6146948A (en) 1997-06-03 2000-11-14 Motorola Inc. Method for manufacturing a thin oxide for use in semiconductor integrated circuits
US5851603A (en) * 1997-07-14 1998-12-22 Vanguard International Semiconductor Corporation Method for making a plasma-enhanced chemical vapor deposited SiO2 Si3 N4 multilayer passivation layer for semiconductor applications
US5998253A (en) 1997-09-29 1999-12-07 Siemens Aktiengesellschaft Method of forming a dopant outdiffusion control structure including selectively grown silicon nitride in a trench capacitor of a DRAM cell
AU750612B2 (en) * 1997-10-22 2002-07-25 Texas Instruments Incorporated Integrated circuit having both low voltage and high voltage mos transistors and method of making
US6015739A (en) * 1997-10-29 2000-01-18 Advanced Micro Devices Method of making gate dielectric for sub-half micron MOS transistors including a graded dielectric constant
US6331492B2 (en) * 1997-12-31 2001-12-18 Texas Instruments Incorporated Nitridation for split gate multiple voltage devices
US5939750A (en) 1998-01-21 1999-08-17 Advanced Micro Devices Use of implanted ions to reduce oxide-nitride-oxide (ONO) etch residue and polystringers
US6150226A (en) * 1998-02-03 2000-11-21 Micron Technology, Inc. Semiconductor processing methods, methods of forming capacitors, methods of forming silicon nitride, and methods of densifying silicon nitride layers
US6136636A (en) 1998-03-25 2000-10-24 Texas Instruments - Acer Incorporated Method of manufacturing deep sub-micron CMOS transistors
US6008104A (en) 1998-04-06 1999-12-28 Siemens Aktiengesellschaft Method of fabricating a trench capacitor with a deposited isolation collar
US6001741A (en) * 1998-04-15 1999-12-14 Lucent Technologies Inc. Method for making field effect devices and capacitors with improved thin film dielectrics and resulting devices
US5960289A (en) 1998-06-22 1999-09-28 Motorola, Inc. Method for making a dual-thickness gate oxide layer using a nitride/oxide composite region
US6274442B1 (en) 1998-07-15 2001-08-14 Advanced Micro Devices, Inc. Transistor having a nitrogen incorporated epitaxially grown gate dielectric and method of making same
US6323114B1 (en) * 1998-11-24 2001-11-27 Texas Instruments Incorporated Stacked/composite gate dielectric which incorporates nitrogen at an interface
US6140187A (en) 1998-12-02 2000-10-31 Lucent Technologies Inc. Process for forming metal oxide semiconductors including an in situ furnace gate stack with varying silicon nitride deposition rate
JP2000174132A (en) 1998-12-08 2000-06-23 Matsushita Electronics Industry Corp Manufacture of semiconductor device
US6100163A (en) * 1999-01-07 2000-08-08 Taiwan Semiconductor Manufacturing Company Gap filling of shallow trench isolation by ozone-tetraethoxysilane
US6150266A (en) * 1999-01-28 2000-11-21 Vlsi Technology, Inc. Local interconnect formed using silicon spacer
US6110780A (en) 1999-04-01 2000-08-29 Taiwan Semiconductor Manufacturing Company Using NO or N2 O treatment to generate different oxide thicknesses in one oxidation step for single poly non-volatile memory
US6450116B1 (en) 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
US6297162B1 (en) 1999-09-27 2001-10-02 Taiwan Semiconductor Manufacturing Company Method to reduce silicon oxynitride etch rate in a silicon oxide dry etch
US6649543B1 (en) * 2000-06-22 2003-11-18 Micron Technology, Inc. Methods of forming silicon nitride, methods of forming transistor devices, and transistor devices
US6436771B1 (en) 2001-07-12 2002-08-20 Taiwan Semiconductor Manufacturing Company Method of forming a semiconductor device with multiple thickness gate dielectric layers
US6649538B1 (en) * 2002-10-09 2003-11-18 Taiwan Semiconductor Manufacturing Co. Ltd. Method for plasma treating and plasma nitriding gate oxides
KR100668954B1 (en) * 2004-12-15 2007-01-12 동부일렉트로닉스 주식회사 Method for manufacturing of the thin film transistor
KR100731070B1 (en) * 2005-12-28 2007-06-22 동부일렉트로닉스 주식회사 Method for fabricating gate electrode of semiconductor device

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4435447A (en) * 1978-12-26 1984-03-06 Fujitsu Limited Method for forming an insulating film on a semiconductor substrate surface
US4254161A (en) * 1979-08-16 1981-03-03 International Business Machines Corporation Prevention of low pressure chemical vapor deposition silicon dioxide undercutting and flaking
US4262631A (en) * 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4605447A (en) * 1983-05-16 1986-08-12 U.S. Philips Corporation Methods of manufacturing semiconductor devices
US4996081A (en) * 1985-01-21 1991-02-26 Ellul Joseph P Method of forming multiple nitride coating on silicon
US5026574A (en) * 1986-03-19 1991-06-25 The General Electric Company, P.L.C. Chemical vapor deposition process for depositing large-grain polysilicon films
US4891684A (en) * 1986-08-04 1990-01-02 Hitachi, Ltd. Semiconductor device
US5760475A (en) * 1987-03-30 1998-06-02 International Business Machines Corporation Refractory metal-titanium nitride conductive structures
US5504029A (en) * 1987-09-19 1996-04-02 Hitachi, Ltd. Method of producing semiconductor integrated circuit device having memory cell and peripheral circuit MISFETs
US5498890A (en) * 1989-11-08 1996-03-12 Samsung Electronics Co., Ltd. Semiconductor device having a multi-layered dielectric structure and manufacturing method thereof
US5523596A (en) * 1990-10-05 1996-06-04 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having capacitor and manufacturing method therefor
US5032545A (en) * 1990-10-30 1991-07-16 Micron Technology, Inc. Process for preventing a native oxide from forming on the surface of a semiconductor material and integrated circuit capacitors produced thereby
US5237188A (en) * 1990-11-28 1993-08-17 Kabushiki Kaisha Toshiba Semiconductor device with nitrided gate insulating film
US5227651A (en) * 1991-03-23 1993-07-13 Samsung Electronics, Co., Ltd. Semiconductor device having a capacitor with an electrode grown through pinholes
US5324679A (en) * 1991-03-23 1994-06-28 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having increased surface area conductive layer
US5330936A (en) * 1991-05-27 1994-07-19 Nec Corporation Method of producing a silicon nitride film and method of fabricating a semiconductor device
US5318924A (en) * 1991-10-03 1994-06-07 Hewlett-Packard Company Nitridation of titanium-tungsten interconnects
US5518946A (en) * 1991-10-07 1996-05-21 Sony Corporation Process for fabricating capacitors in dynamic RAM
US5142438A (en) * 1991-11-15 1992-08-25 Micron Technology, Inc. Dram cell having a stacked capacitor with a tantalum lower plate, a tantalum oxide dielectric layer, and a silicide buried contact
US5397748A (en) * 1991-12-28 1995-03-14 Nec Corporation Method of producing semiconductor device with insulating film having at least silicon nitride film
US5378645A (en) * 1992-05-21 1995-01-03 Oki Electric Industry Co., Ltd. Method of making a semiconductor device with a capacitor
US6168980B1 (en) * 1992-08-27 2001-01-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for forming the same
US5436481A (en) * 1993-01-21 1995-07-25 Nippon Steel Corporation MOS-type semiconductor device and method of making the same
US5500380A (en) * 1993-04-16 1996-03-19 Goldstar Co., Ltd. Method for fabricating thin film transistor
US5330920A (en) * 1993-06-15 1994-07-19 Digital Equipment Corporation Method of controlling gate oxide thickness in the fabrication of semiconductor devices
US5382533A (en) * 1993-06-18 1995-01-17 Micron Semiconductor, Inc. Method of manufacturing small geometry MOS field-effect transistors having improved barrier layer to hot electron injection
US5393702A (en) * 1993-07-06 1995-02-28 United Microelectronics Corporation Via sidewall SOG nitridation for via filling
US5398641A (en) * 1993-07-27 1995-03-21 Texas Instruments Incorporated Method for p-type doping of semiconductor structures formed of group II and group VI elements
US5596218A (en) * 1993-10-18 1997-01-21 Digital Equipment Corporation Hot carrier-hard gate oxides by nitrogen implantation before gate oxidation
US5619057A (en) * 1994-01-19 1997-04-08 Sony Corporation Complex film overlying a substrate with defined work function
US5719083A (en) * 1994-01-19 1998-02-17 Sony Corporation Method of forming a complex film over a substrate having a specifically selected work function
US5716864A (en) * 1994-07-22 1998-02-10 Nkk Corporation Method of manufacturing a non-volatile semiconductor memory device with peripheral transistor
US5518958A (en) * 1994-07-29 1996-05-21 International Business Machines Corporation Prevention of agglomeration and inversion in a semiconductor polycide process
US5620908A (en) * 1994-09-19 1997-04-15 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device comprising BiCMOS transistor
US5508542A (en) * 1994-10-28 1996-04-16 International Business Machines Corporation Porous silicon trench and capacitor structures
US5633036A (en) * 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5612558A (en) * 1995-11-15 1997-03-18 Micron Technology, Inc. Hemispherical grained silicon on refractory metal nitride
US6054396A (en) * 1996-05-09 2000-04-25 Micron Technology, Inc. Semiconductor processing method of reducing thickness depletion of a silicide layer at a junction of different underlying layers
US6174821B1 (en) * 1996-05-09 2001-01-16 Micron Technology, Inc. Semiconductor processing method of depositing polysilicon
US6040249A (en) * 1996-08-12 2000-03-21 Texas Instruments Incorporated Method of improving diffusion barrier properties of gate oxides by applying ions or free radicals of nitrogen in low energy
US6077754A (en) * 1996-10-30 2000-06-20 Srinivasan; Anand Methods of forming a silicon nitride film, a capacitor dielectric layer and a capacitor
US5882978A (en) * 1996-10-30 1999-03-16 Micron Technology, Inc. Methods of forming a silicon nitride film, a capacitor dielectric layer and a capacitor
US5731235A (en) * 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US5897354A (en) * 1996-12-17 1999-04-27 Cypress Semiconductor Corporation Method of forming a non-volatile memory device with ramped tunnel dielectric layer
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5763922A (en) * 1997-02-28 1998-06-09 Intel Corporation CMOS integrated circuit having PMOS and NMOS devices with different gate dielectric layers
US5885877A (en) * 1997-04-21 1999-03-23 Advanced Micro Devices, Inc. Composite gate electrode incorporating dopant diffusion-retarding barrier layer adjacent to underlying gate dielectric
US6080629A (en) * 1997-04-21 2000-06-27 Advanced Micro Devices, Inc. Ion implantation into a gate electrode layer using an implant profile displacement layer
US5920779A (en) * 1997-05-21 1999-07-06 United Microelectronics Corp. Differential gate oxide thickness by nitrogen implantation for mixed mode and embedded VLSI circuits
US6265327B1 (en) * 1997-06-20 2001-07-24 Japan Science And Technology Corp. Method for forming an insulating film on semiconductor substrate surface and apparatus for carrying out the method
US6057220A (en) * 1997-09-23 2000-05-02 International Business Machines Corporation Titanium polycide stabilization with a porous barrier
US6063713A (en) * 1997-11-10 2000-05-16 Micron Technology, Inc. Methods for forming silicon nitride layers on silicon-comprising substrates
US6232244B1 (en) * 1997-12-18 2001-05-15 Advanced Micro Devices, Inc. Methodology for achieving dual gate oxide thicknesses
US6080682A (en) * 1997-12-18 2000-06-27 Advanced Micro Devices, Inc. Methodology for achieving dual gate oxide thicknesses
US6399445B1 (en) * 1997-12-18 2002-06-04 Texas Instruments Incorporated Fabrication technique for controlled incorporation of nitrogen in gate dielectric
US6057584A (en) * 1997-12-19 2000-05-02 Advanced Micro Devices, Inc. Semiconductor device having a tri-layer gate insulating dielectric
US6228701B1 (en) * 1997-12-19 2001-05-08 Seimens Aktiengesellschaft Apparatus and method for minimizing diffusion in stacked capacitors formed on silicon plugs
US6268296B1 (en) * 1997-12-31 2001-07-31 Texas Instruments Incorporated Low temperature process for multiple voltage devices
US6207985B1 (en) * 1998-02-02 2001-03-27 Texas Instruments Incorporated DRAM memory cell and array having pass transistors with surrounding gate
US6033998A (en) * 1998-03-09 2000-03-07 Lsi Logic Corporation Method of forming variable thickness gate dielectrics
US6087229A (en) * 1998-03-09 2000-07-11 Lsi Logic Corporation Composite semiconductor gate dielectrics
US6091110A (en) * 1998-03-30 2000-07-18 Spectrian Corporation MOSFET device having recessed gate-drain shield and method
US6184110B1 (en) * 1998-04-30 2001-02-06 Sharp Laboratories Of America, Inc. Method of forming nitrogen implanted ultrathin gate oxide for dual gate CMOS devices
US6091109A (en) * 1998-05-11 2000-07-18 Nec Corporation Semiconductor device having different gate oxide thicknesses by implanting halogens in one region and nitrogen in the second region
US6060406A (en) * 1998-05-28 2000-05-09 Lucent Technologies Inc. MOS transistors with improved gate dielectrics
US20020009861A1 (en) * 1998-06-12 2002-01-24 Pravin K. Narwankar Method and apparatus for the formation of dielectric layers
US6410991B1 (en) * 1998-06-15 2002-06-25 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing the same
US6197701B1 (en) * 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6207586B1 (en) * 1998-10-28 2001-03-27 Lucent Technologies Inc. Oxide/nitride stacked gate dielectric and associated methods
US6051865A (en) * 1998-11-09 2000-04-18 Advanced Micro Devices, Inc. Transistor having a barrier layer below a high permittivity gate dielectric
US6087236A (en) * 1998-11-24 2000-07-11 Intel Corporation Integrated circuit with multiple gate dielectric structures
US20050087820A1 (en) * 1998-12-15 2005-04-28 Gang Bai High dielectric constant metal oxide gate dielectrics
US6245616B1 (en) * 1999-01-06 2001-06-12 International Business Machines Corporation Method of forming oxynitride gate dielectric
US20030034518A1 (en) * 1999-03-08 2003-02-20 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor memory
US6399520B1 (en) * 1999-03-10 2002-06-04 Tokyo Electron Limited Semiconductor manufacturing method and semiconductor manufacturing apparatus
US6171900B1 (en) * 1999-04-15 2001-01-09 Taiwan Semiconductor Manufacturing Company CVD Ta2O5/oxynitride stacked gate insulator with TiN gate electrode for sub-quarter micron MOSFET
US6255703B1 (en) * 1999-06-02 2001-07-03 Advanced Micro Devices, Inc. Device with lower LDD resistance
US6093661A (en) * 1999-08-30 2000-07-25 Micron Technology, Inc. Integrated circuitry and semiconductor processing method of forming field effect transistors
US6350707B1 (en) * 1999-09-03 2002-02-26 United Microelectronics Corp. Method of fabricating capacitor dielectric
US6207532B1 (en) * 1999-09-30 2001-03-27 Taiwan Semiconductor Manufacturing Company STI process for improving isolation for deep sub-micron application
US6201303B1 (en) * 1999-10-14 2001-03-13 Advanced Micro Devices, Inc. Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
US6399448B1 (en) * 1999-11-19 2002-06-04 Chartered Semiconductor Manufacturing Ltd. Method for forming dual gate oxide
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US20020052124A1 (en) * 1999-12-23 2002-05-02 Ivo Raaijmakers In situ dielectric stacks
US6413881B1 (en) * 2000-03-09 2002-07-02 Lsi Logic Corporation Process for forming thin gate oxide with enhanced reliability by nitridation of upper surface of gate of oxide to form barrier of nitrogen atoms in upper surface region of gate oxide, and resulting product
US6225167B1 (en) * 2000-03-13 2001-05-01 Taiwan Semiconductor Manufacturing Company Method of generating multiple oxide thicknesses by one oxidation step using NH3 nitridation followed by re-oxidation
US6690046B2 (en) * 2000-06-22 2004-02-10 Micron Technology, Inc. Semiconductor assemblies, methods of forming structures over semiconductor substrates, and methods of forming transistors associated with semiconductor substrates
US6682979B2 (en) * 2000-06-22 2004-01-27 Micron Technology, Inc. Methods of forming transistors associated with semiconductor substrates
US6686298B1 (en) * 2000-06-22 2004-02-03 Micron Technology, Inc. Methods of forming structures over semiconductor substrates, and methods of forming transistors associated with semiconductor substrates
US6362085B1 (en) * 2000-07-19 2002-03-26 Taiwan Semiconductor Manufacturing Company Method for reducing gate oxide effective thickness and leakage current
US20020098710A1 (en) * 2000-08-07 2002-07-25 Sandhu Gurtej S. Methods Of Forming Transistors
US20020094620A1 (en) * 2000-08-07 2002-07-18 Sandhu Gurtej S. Transistor Structures
US20020094621A1 (en) * 2000-08-07 2002-07-18 Sandhu Gurtej S. Methods of forming a nitrogen enriched region
US6875707B2 (en) * 2001-08-29 2005-04-05 Micron Technology, Inc. Method of forming a capacitor dielectric layer
US6878585B2 (en) * 2001-08-29 2005-04-12 Micron Technology, Inc. Methods of forming capacitors
US6891215B2 (en) * 2001-08-29 2005-05-10 Micron Technology, Inc. Capacitors
US6723599B2 (en) * 2001-12-03 2004-04-20 Micron Technology, Inc. Methods of forming capacitors and methods of forming capacitor dielectric layers
US6893981B2 (en) * 2002-12-12 2005-05-17 Hynix Semiconductor Inc. Method of manufacturing a semiconductor device by RTA process in nitrogen atmosphere
US20060134864A1 (en) * 2004-12-22 2006-06-22 Masaaki Higashitani Multi-thickness dielectric for semiconductor memory

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090215253A1 (en) * 2000-08-07 2009-08-27 Sandhu Gurtej S Method of Forming a Nitrogen-Enriched Region within Silicon-Oxide-Containing Masses
US8058130B2 (en) * 2000-08-07 2011-11-15 Micron Technology, Inc. Method of forming a nitrogen-enriched region within silicon-oxide-containing masses

Also Published As

Publication number Publication date
US20020098710A1 (en) 2002-07-25
US8058130B2 (en) 2011-11-15
US7432166B2 (en) 2008-10-07
US6660658B2 (en) 2003-12-09
US6660657B1 (en) 2003-12-09
US7344948B2 (en) 2008-03-18
US7459757B2 (en) 2008-12-02
US20090215253A1 (en) 2009-08-27
US20020094620A1 (en) 2002-07-18
US20020182812A1 (en) 2002-12-05
US20020094621A1 (en) 2002-07-18

Similar Documents

Publication Publication Date Title
US8058130B2 (en) Method of forming a nitrogen-enriched region within silicon-oxide-containing masses
US6410938B1 (en) Semiconductor-on-insulator device with nitrided buried oxide and method of fabricating
US5552332A (en) Process for fabricating a MOSFET device having reduced reverse short channel effects
US6784060B2 (en) Method for fabricating high voltage and low voltage transistors
US6420218B1 (en) Ultra-thin-body SOI MOS transistors having recessed source and drain regions
US6331468B1 (en) Formation of integrated circuit structure using one or more silicon layers for implantation and out-diffusion in formation of defect-free source/drain regions and also for subsequent formation of silicon nitride spacers
US6599789B1 (en) Method of forming a field effect transistor
US6593196B2 (en) Methods of forming a transistor gate
US7582934B2 (en) Isolation spacer for thin SOI devices
US20030032295A1 (en) Method of building a CMOS structure on thin SOI with source/drain electrodes formed by in situ doped selective amorphous silicon
US7422968B2 (en) Method for manufacturing a semiconductor device having silicided regions
US6649543B1 (en) Methods of forming silicon nitride, methods of forming transistor devices, and transistor devices
US20060105518A1 (en) Ultra-shallow arsenic junction formation in silicon germanium
US6254676B1 (en) Method for manufacturing metal oxide semiconductor transistor having raised source/drain
TWI389203B (en) Method of manufacturing semiconductor device
US20070020868A1 (en) Semiconductor processing method and field effect transistor
US6949479B2 (en) Methods of forming transistor devices
US7217625B2 (en) Method of fabricating a semiconductor device having a shallow source/drain region
US20070105295A1 (en) Method for forming lightly-doped-drain metal-oxide-semiconductor (LDD MOS) device
US7015088B2 (en) High-K gate dielectric defect gettering using dopants
JP3371875B2 (en) Method for manufacturing semiconductor device
US6465314B1 (en) Semiconductor processing methods
JP2002094053A (en) Manufacturing method of semiconductor device
KR20020041191A (en) Device and method for semiconductor device
JPH1154749A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION