US20070186077A1 - System and Method for Executing Instructions Utilizing a Preferred Slot Alignment Mechanism - Google Patents

System and Method for Executing Instructions Utilizing a Preferred Slot Alignment Mechanism Download PDF

Info

Publication number
US20070186077A1
US20070186077A1 US11/461,554 US46155406A US2007186077A1 US 20070186077 A1 US20070186077 A1 US 20070186077A1 US 46155406 A US46155406 A US 46155406A US 2007186077 A1 US2007186077 A1 US 2007186077A1
Authority
US
United States
Prior art keywords
data
vector
instruction
address
apu
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/461,554
Inventor
Michael Gschwind
Harm Hofstee
Martin Hopkins
James Kahle
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/461,554 priority Critical patent/US20070186077A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HOPKINS, MARTIN E., GSCHWIND, MICHAEL K., HOFSTEE, HARM P., KAHLE, JAMES A.
Publication of US20070186077A1 publication Critical patent/US20070186077A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/48Program initiating; Program switching, e.g. by interrupt
    • G06F9/4806Task transfer initiation or dispatching
    • G06F9/4843Task transfer initiation or dispatching by program, e.g. task dispatcher, supervisor, operating system
    • G06F9/485Task life-cycle, e.g. stopping, restarting, resuming execution
    • G06F9/4856Task life-cycle, e.g. stopping, restarting, resuming execution resumption being on a different machine, e.g. task migration, virtual machine migration
    • G06F9/4862Task life-cycle, e.g. stopping, restarting, resuming execution resumption being on a different machine, e.g. task migration, virtual machine migration the task being a mobile agent, i.e. specifically designed to migrate
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/16Combinations of two or more digital computers each having at least an arithmetic unit, a program unit and a register, e.g. for a simultaneous processing of several programs
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/3005Arrangements for executing specific machine instructions to perform operations for flow control
    • G06F9/30061Multi-way branch instructions, e.g. CASE
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L63/00Network architectures or network communication protocols for network security
    • H04L63/16Implementing security features at a particular protocol layer
    • H04L63/168Implementing security features at a particular protocol layer above the transport layer
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L67/00Network arrangements or protocols for supporting network services or applications
    • H04L67/01Protocols
    • H04L67/10Protocols in which an application is distributed across nodes in the network
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L65/00Network arrangements, protocols or services for supporting real-time applications in data packet communication
    • H04L65/1066Session management
    • H04L65/1101Session protocols
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L67/00Network arrangements or protocols for supporting network services or applications
    • H04L67/34Network arrangements or protocols for supporting network services or applications involving the movement of software or configuration parameters 

Definitions

  • the present invention relates to a system and method for executing instructions utilizing a preferred slot alignment mechanism. More particularly, the present invention relates to a processor architecture that includes a vector register file, a shared data path, and instruction execution logic to process source operands that correspond to both Single Instruction Multiple Data (SIMD) computations and scalar computations.
  • SIMD Single Instruction Multiple Data
  • Gaming applications feature highly parallel code for functions such as game physics, which have high computation and memory requirements. Gaming applications also include scalar code for functions such as game artificial intelligence that require fast response times and a full-featured programming environment.
  • the computer architectures implement both Single Instruction Multiple Data (SIMD) execution units as well as scalar execution units. As a result, they include duplication logic for instruction decoding, instruction issue, register dependence tracking and resolution, register files, execution resources, and instruction commit.
  • SIMD Single Instruction Multiple Data
  • scalar execution units As a result, they include duplication logic for instruction decoding, instruction issue, register dependence tracking and resolution, register files, execution resources, and instruction commit.
  • processor architecture uses a vector register file, a shared data path, and instruction execution logic to process source operands that correspond to both Single Instruction Multiple Data (SIMD) computations and scalar computations.
  • SIMD Single Instruction Multiple Data
  • the processor architecture divides a vector into four “slots,” each including four bytes, and locates scalar data items in “preferred slots” to ensure proper positioning.
  • the processor architecture eliminates a requirement for separate issue slots, separate pipelines, and the control complexity for separate scalar units.
  • a local storage area includes instructions that are fed into a buffer in 128-byte increments, which supplies the instructions to a fetch unit in 64 byte increments (representing a first and second half of a memory line).
  • the instructions proceed through a shared datapath that includes instruction line buffers, issue/branch units, and a vector register file.
  • the vector register file provides operands in data widths of 16 bytes, regardless of whether the instruction corresponds to a scalar computation or SIMD computation, to an appropriate execution unit for further processing, such as a vector floating point unit, a vector fixed point unit, a data formatting and permute unit, and a load/store unit.
  • scalar data items are aligned using a “preferred slot” mechanism with respect to a vector word.
  • Instructions using the preferred slot mechanism include 1) shift and rotate instructions operating across an entire quad-word that specify a shift amount, 2) memory load and store instructions that require an address, and 3) branch instructions that use the preferred slot for branch conditions (conditional branches) and branch addresses (register-indirect branches). Branch and link instructions also use the preferred slot mechanism to deposit a function return address in a return address register.
  • the preferred slot is four bytes in length and starts at the leftmost word element slot that includes byte locations 0 through 3 .
  • a scalar data item when a scalar data item is only one byte in length the byte resides in byte location 3 .
  • a scalar data item is a half-word in length, the half-word resides in byte locations 2 - 3 .
  • a vector includes a 32-bit address
  • the address resides in byte locations 0 - 3 .
  • the word resides in byte locations 0 - 3 .
  • a scalar data item When a scalar data item is two words in length, the double word resides in byte locations 0 - 7 .
  • the quad word resides in byte locations 0 - 15 .
  • FIG. 1 illustrates the overall architecture of a computer network
  • FIG. 2 is a diagram illustrating the structure of a processor element (PE);
  • FIG. 3 is a diagram illustrating the structure of a broadband engine (BE);
  • FIG. 4 is a diagram illustrating the structure of an attached processing unit (APU);
  • FIG. 5 is a diagram illustrating the structure of a processor element, visualizer (VS) and an optical interface;
  • FIG. 6 is a diagram illustrating one combination of processor elements
  • FIG. 7 illustrates another combination of processor elements
  • FIG. 8 illustrates yet another combination of processor elements
  • FIG. 9 illustrates yet another combination of processor elements
  • FIG. 10 illustrates yet another combination of processor elements
  • FIG. 11A illustrates the integration of optical interfaces within a chip package
  • FIG. 11B is a diagram of one configuration of processors using the optical interfaces of FIG. 11A ;
  • FIG. 11C is a diagram of another configuration of processors using the optical interfaces of FIG. 11A ;
  • FIG. 12A illustrates the structure of a memory system
  • FIG. 12B illustrates the writing of data from a first broadband engine to a second broadband engine
  • FIG. 13 is a diagram of the structure of a shared memory for a processor element
  • FIG. 14A illustrates one structure for a bank of the memory shown in FIG. 13 ;
  • FIG. 14B illustrates another structure for a bank of the memory shown in FIG. 13 ;
  • FIG. 15 illustrates a structure for a direct memory access controller
  • FIG. 16 illustrates an alternative structure for a direct memory access controller
  • FIGS. 17A-17O illustrate the operation of data synchronization
  • FIG. 18 is a three-state memory diagram illustrating the various states of a memory location in accordance with the data synchronization scheme of the-present invention.
  • FIG. 19 illustrates the structure of a key control table for a hardware sandbox
  • FIG. 20 illustrates a scheme for storing memory access keys for a hardware sandbox
  • FIG. 21 illustrates the structure of a memory access control table for a hardware sandbox
  • FIG. 22 is a flow diagram of the steps for accessing a memory sandbox using the key control table of FIG. 19 and the memory access control table of FIG. 21 ;
  • FIG. 23 illustrates the structure of a software cell
  • FIG. 24 is a flow diagram of the steps for issuing remote procedure calls to APUs
  • FIG. 25 illustrates the structure of a dedicated pipeline for processing streaming data
  • FIG. 26 is a flow diagram of the steps performed by the dedicated pipeline of FIG. 25 in the processing of streaming data
  • FIG. 27 illustrates an alternative structure for a dedicated pipeline for the processing of streaming data
  • FIG. 28 illustrates a scheme for an absolute timer for coordinating the parallel processing of applications and data by APUs
  • FIG. 29 is a diagram showing a processor that uses a vector register file, a shared data path, and instruction execution logic to process single instruction multiple data (SIMD) and scalar instructions;
  • SIMD single instruction multiple data
  • FIG. 30A is a diagram showing two vectors added together that do not require re-alignment
  • FIG. 30B is a diagram showing two vectors that include mis-aligned scalar data
  • FIG. 31 is a diagram showing scalar data aligned in registers based upon a preferred slot mechanism
  • FIG. 32A is a diagram showing scalar data values included in vectors that are rotated to a preferred slot before being added together;
  • FIG. 32B is a diagram showing a read-modify-write sequence to store a scalar data via a quadword-oriented storage interface
  • FIG. 33A is a diagram showing an instruction that adds two data values
  • FIG. 33B is a flowchart showing steps taken in reading an entire vector and operating on the entire vector
  • FIG. 34A is a diagram showing an instruction that loads a data value to a register file
  • FIG. 34B is a diagram showing steps taken in loading a data value into a register file location
  • FIG. 35 is a block diagram showing microprocessor components used for executing a load instruction in accordance with an embodiment of the present invention.
  • FIG. 36A is a diagram showing an instruction that stores a quadword
  • FIG. 36B is a block diagram showing microprocessor components used for executing a quadword store instruction in accordance with an embodiment of the present invention
  • FIG. 37A is a diagram showing an instruction that performs a branch relative and set link instruction
  • FIG. 37B is a flowchart showing steps taken in performing a branch relative and set link instruction
  • FIG. 37C is a block diagram showing microprocessor components used for setting a vector to a link register when executing a branch relative and set link instruction in accordance with an embodiment of the present invention
  • FIG. 38A is a diagram showing an instruction that performs a branch indirect instruction
  • FIG. 38B is a block diagram showing microprocessor components used for executing a branch indirect instruction in accordance with an embodiment of the present invention.
  • FIG. 39A is a diagram showing an instruction that performs a branch if not zero word instruction
  • FIG. 39B is a diagram showing an instruction that performs a branch if zero halfword instruction
  • FIG. 39C is a flowchart showing steps taken in performing conditional branch instructions.
  • FIG. 40 is a block diagram showing microprocessor components used for executing a conditional branch instruction in accordance with an embodiment of the present invention.
  • system 101 includes network 104 to which is connected a plurality of computers and computing devices.
  • Network 104 can be a LAN, a global network, such as the Internet, or any other computer network.
  • the computers and computing devices connected to network 104 include, e.g., client computers 106 , server computers 108 , personal digital assistants (PDAs) 110 , digital television (DTV) 112 and other wired or wireless computers and computing devices.
  • the processors employed by the members of network 104 are constructed from the same common computing module. These processors also preferably all have the same ISA and perform processing in accordance with the same instruction set. The number of modules included within any particular processor depends upon the processing power required by that processor.
  • servers 108 of system 101 perform more processing of data and applications than clients 106
  • servers 108 contain more computing modules than clients 106 .
  • PDAs 110 perform the least amount of processing.
  • PDAs 110 therefore, contain the smallest number of computing modules.
  • DTV 112 performs a level of processing between that of clients 106 and servers 108 .
  • DTV 112 therefore, contains a number of computing modules between that of clients 106 and servers 108 .
  • each computing module contains a processing controller and a plurality of identical processing units for performing parallel processing of the data and applications transmitted over network 104 .
  • This homogeneous configuration for system 101 facilitates adaptability, processing speed and processing efficiency. Because each member of system 101 performs processing using one or more (or some fraction) of the same computing module, the particular computer or computing device performing the actual processing of data and applications is unimportant. The processing of a particular application and data, moreover, can be shared among the network's members. By uniquely identifying the cells comprising the data and applications processed by system 101 throughout the system, the processing results can be transmitted to the computer or computing device requesting the processing regardless of where this processing occurred. Because the modules performing this processing have a common structure and employ a common ISA, the computational burdens of an added layer of software to achieve compatibility among the processors is avoided. This architecture and programming model facilitates the processing speed necessary to execute, e.g., real-time, multimedia applications.
  • each software cell 102 contains, or can contain, both applications and data.
  • Each software cell also contains an ID to globally identify the cell throughout network 104 and system 101 .
  • This uniformity of structure for the software cells, and the software cells' unique identification throughout the network facilitates the processing of applications and data on any computer or computing device of the network.
  • a client 106 may formulate a software cell 102 but, because of the limited processing capabilities of client 106 , transmit this software cell to a server 108 for processing.
  • Software cells can migrate, therefore, throughout network 104 for processing on the basis of the availability of processing resources on the network.
  • the homogeneous structure of processors and software cells of system 101 also avoids many of the problems of today's heterogeneous networks. For example, inefficient programming models, which seek to permit processing of applications on any ISA using any instruction set, e.g., virtual machines such as the Java virtual machine, are avoided. System 101 , therefore, can implement broadband processing far more effectively and efficiently than today's networks.
  • PE The basic processing module for all members of network 104 is the processor element (PE).
  • FIG. 2 illustrates the structure of a PE.
  • PE 201 comprises a processing unit (PU) 203 , a direct memory access controller (DMAC) 205 and a plurality of attached processing units (APUs), namely, APU 207 , APU 209 , APU 211 , APU 213 , APU 215 , APU 217 , APU 219 and APU 221 .
  • a local PE bus 223 transmits data and applications among the APUs, DMAC 205 and PU 203 .
  • Local PE bus 223 can have, e.g., a conventional architecture or be implemented as a packet switch network. Implementation as a packet switch network, while requiring more hardware, increases available bandwidth.
  • PE 201 can be constructed using various methods for implementing digital logic.
  • PE 201 preferably is constructed, however, as a single integrated circuit employing a complementary metal oxide semiconductor (CMOS) on a silicon substrate.
  • CMOS complementary metal oxide semiconductor
  • Alternative materials for substrates include gallium arsinide, gallium aluminum arsinide and other so-called III-B compounds employing a wide variety of dopants.
  • PE 201 also could be implemented using superconducting material, e.g., rapid single-flux-quantum (RSFQ) logic.
  • RSFQ rapid single-flux-quantum
  • PE 201 is closely associated with a dynamic random access memory (DRAM) 225 through a high bandwidth memory connection 227 .
  • DRAM 225 functions as the main memory for PE 201 .
  • a DRAM 225 preferably is a dynamic random access memory, DRAM 225 could be implemented using other means, e.g., as a static random access memory (SRAM), a magnetic random access memory (MRAM), an optical memory or a holographic memory.
  • SRAM static random access memory
  • MRAM magnetic random access memory
  • DMAC 205 facilitates the transfer of data between DRAM 225 and the APUs and PU of PE 201 .
  • DMAC 205 designates for each APU an exclusive area in DRAM 225 into which only the APU can write data and from which only the APU can read data. This exclusive area is designated a “sandbox.”
  • PU 203 can be, e.g., a standard processor capable of stand-alone processing of data and applications. In operation, PU 203 schedules and orchestrates the processing of data and applications by the APUs.
  • the APUs preferably are single instruction, multiple data (SIMD) processors. Under the control of PU 203 , the APUs perform the processing of these data and applications in a parallel and independent manner.
  • DMAC 205 controls accesses by PU 203 and the APUs to the data and applications stored in the shared DRAM 225 .
  • PE 201 preferably includes eight APUs, a greater or lesser number of APUs can be employed in a PE depending upon the processing power required. Also, a number of PEs, such as PE 201 , may be joined or packaged together to provide enhanced processing power.
  • BE 301 contains four PEs, namely, PE 303 , PE 305 , PE 307 and PE 309 . Communications among these PEs are over BE bus 311 . Broad bandwidth memory connection 313 provides communication between shared DRAM 315 and these PEs. In lieu of BE bus 311 , communications among the PEs of BE 301 can occur through DRAM 315 and this memory connection.
  • I/O interface 317 and external bus 319 provide communications between broadband engine 301 and the other members of network 104 .
  • Each PE of BE 301 performs processing of data and applications in a parallel and independent manner analogous to the parallel and independent processing of applications and data performed by the APUs of a PE.
  • FIG. 4 illustrates the structure of an APU.
  • APU 402 includes local memory 406 , registers 410 , four floating point units 412 and four integer units 414 . Again, however, depending upon the processing power required, a greater or lesser number of floating points units 512 and integer units 414 can be employed.
  • local memory 406 contains 128 kilobytes of storage, and the capacity of registers 410 is 128 times 128 bits.
  • Floating point units 412 preferably operate at a speed of 32 billion floating point operations per second (32 GFLOPS), and integer units 414 preferably operate at a speed of 32 billion operations per second (32 GOPS).
  • Local memory 402 is not a cache memory. Local memory 402 is preferably constructed as an SRAM. Cache coherency support for an APU is unnecessary. A PU may require cache coherency support for direct memory accesses initiated by the PU. Cache coherency support is not required, however, for direct memory accesses initiated by an APU or for accesses from and to external devices.
  • APU 402 further includes bus 404 for transmitting applications and data to and from the APU. In a preferred embodiment, this bus is 1,024 bits wide.
  • APU 402 further includes internal busses 408 , 420 and 418 .
  • bus 408 has a width of 256 bits and provides communications between local memory 406 and registers 410 .
  • Busses 420 and 418 provide communications between, respectively, registers 410 and floating point units 412 , and registers 410 and integer units 414 .
  • the width of busses 418 and 420 from registers 410 to the floating point or integer units is 384 bits
  • the width of busses 418 and 420 from the floating point or integer units to registers 410 is 128 bits.
  • FIGS. 5-10 further illustrate the modular structure of the processors of the members of network 104 .
  • a processor may comprise a single PE 502 .
  • this PE typically comprises a PU, DMAC and eight APUs.
  • Each APU includes local storage (LS).
  • a processor may comprise the structure of visualizer (VS) 505 .
  • VS 505 comprises PU 512 , DMAC 514 and four APUs, namely, APU 516 , APU 518 , APU 520 and APU 522 .
  • optical interface 506 also may be included on the chip package.
  • the processor shown in FIG. 6 comprises two chip packages, namely, chip package 602 comprising a BE and chip package 604 comprising four VSs.
  • Input/output (I/O) 606 provides an interface between the BE of chip package 602 and network 104 .
  • Bus 608 provides communications between chip package 602 and chip package 604 .
  • IOP Input output processor
  • I/O 606 may be fabricated as an application specific integrated circuit (ASIC).
  • ASIC application specific integrated circuit
  • the output from the VSs is video signal 612 .
  • FIG. 7 illustrates a chip package for a BE 702 with two optical interfaces 704 and 706 for providing ultra high speed communications to the other members of network 104 (or other chip packages locally connected).
  • BE 702 can function as, e.g., a server on network 104 .
  • the chip package of FIG. 8 comprises two PEs 802 and 804 and two VSs 806 and 808 .
  • An I/O 810 provides an interface between the chip package and network 104 .
  • the output from the chip package is a video signal.
  • This configuration may function as, e.g., a graphics work station.
  • FIG. 9 illustrates yet another configuration. This configuration contains one-half of the processing power of the configuration illustrated in FIG. 8 . Instead of two PEs, one PE 902 is provided, and instead of two VSs, one VS 904 is provided. I/O 906 has one-half the bandwidth of the I/O illustrated in FIG. 8 . Such a processor also may function, however, as a graphics work station.
  • This processor consists of only a single VS 1002 and an I/O 1004 .
  • This configuration may function as, e.g., a PDA.
  • FIG. 11A illustrates the integration of optical interfaces into a chip package of a processor of network 104 .
  • These optical interfaces convert optical signals to electrical signals and electrical signals to optical signals and can be constructed from a variety of materials including, e.g., gallium arsinide, aluminum gallium arsinide, germanium and other elements or compounds.
  • optical interfaces 1104 and 1106 are fabricated on the chip package of BE 1102 .
  • BE bus 1108 provides communication among the PEs of BE 1102 , namely, PE 1110 , PE 1112 , PE 1114 , PE 1116 , and these optical interfaces.
  • Optical interface 1104 includes two ports, namely, port 1118 and port 1120
  • optical interface 1106 also includes two ports, namely, port 1122 and port 1124 .
  • Ports 1118 , 1120 , 1122 and 1124 are connected to, respectively, optical wave guides 1126 , 1128 , 1130 and 1132 .
  • Optical signals are transmitted to and from BE 1102 through these optical wave guides via the ports of optical interfaces 1104 and 1106 .
  • a plurality of BEs can be connected together in various configurations using such optical wave guides and the four optical ports of each BE.
  • two or more BEs e.g., BE 1152 , BE 1154 and BE 1156
  • BE 1152 , BE 1154 and BE 1156 can be connected serially through such optical ports.
  • optical interface 1166 of BE 1152 is connected through its optical ports to the optical ports of optical interface 1160 of BE 1154 .
  • the optical ports of optical interface 1162 on BE 1154 are connected to the optical ports of optical interface 1164 of BE 1156 .
  • FIG. 11C A matrix configuration is illustrated in FIG. 11C .
  • the optical interface of each BE is connected to two other BEs.
  • one of the optical ports of optical interface 1188 of BE 1172 is connected to an optical port of optical interface 1182 of BE 1176 .
  • the other optical port of optical interface 1188 is connected to an optical port of optical interface 1184 of BE 1178 .
  • one optical port of optical interface 1190 of BE 1174 is connected to the other optical port of optical interface 1184 of BE 1178 .
  • the other optical port of optical interface 1190 is connected to an optical port of optical interface 1186 of BE 1180 .
  • This matrix configuration can be extended in a similar manner to other BEs.
  • a processor for network 104 can be constructed of any desired size and power.
  • additional ports can be added to the optical interfaces of the BEs, or to processors having a greater or lesser number of PEs than a BE, to form other configurations.
  • FIG. 12A illustrates the control system and structure for the DRAM of a BE.
  • a similar control system and structure is employed in processors having other sizes and containing more or less PEs.
  • a cross-bar switch connects each DMAC 1210 of the four PEs comprising BE 1201 to eight bank controls 1206 .
  • Each bank control 1206 controls eight banks 1208 (only four are shown in the figure) of DRAM 1204 .
  • DRAM 1204 therefore, comprises a total of sixty-four banks.
  • DRAM 1204 has a capacity of 64 megabytes, and each bank has a capacity of 1 megabyte.
  • the smallest addressable unit within each bank in this preferred embodiment, is a block of 1024 bits.
  • BE 1201 also includes switch unit 1212 .
  • Switch unit 1212 enables other APUs on BEs closely coupled to BE 1201 to access DRAM 1204 .
  • a second BE therefore, can be closely coupled to a first BE, and each APU of each BE can address twice the number of memory locations normally accessible to an APU.
  • the direct reading or writing of data from or to the DRAM of a first BE from or to the DRAM of a second BE can occur through a switch unit such as switch unit 1212 .
  • the APU of a first BE e.g., APU 1220 of BE 1222
  • issues a write command to a memory location of a DRAM of a second BE e.g., DRAM 1228 of BE 1226 (rather than, as in the usual case, to DRAM 1224 of BE 1222 ).
  • DMAC 1230 of BE 1222 sends the write command through cross-bar switch 1221 to bank control 1234 , and bank control 1234 transmits the command to an external port 1232 connected to bank control 1234 .
  • DMAC 1238 of BE 1226 receives the write command and transfers this command to switch unit 1240 of BE 1226 .
  • Switch unit 1240 identifies the DRAM address contained in the write command and sends the data for storage in this address through bank control 1242 of BE 1226 to bank 1244 of DRAM 1228 .
  • Switch unit 1240 therefore, enables both DRAM 1224 and DRAM 1228 to function as a single memory space for the APUs of BE 1222 .
  • FIG. 13 shows the configuration of the sixty-four banks of a DRAM. These banks are arranged into eight rows, namely, rows 1302 , 1304 , 1306 , 1308 , 1310 , 1312 , 1314 and 1316 and eight columns, namely, columns 1320 , 1322 , 1324 , 1326 , 1328 , 1330 , 1332 and 1334 . Each row is controlled by a bank controller. Each bank controller, therefore, controls eight megabytes of memory.
  • FIGS. 14A and 14B illustrate different configurations for storing and accessing the smallest addressable memory unit of a DRAM, e.g., a block of 1024 bits.
  • DMAC 1402 stores in a single bank 1404 eight 1024 bit blocks 1406 .
  • FIG. 14B shows that while DMAC 1412 reads and writes blocks of data containing 1024 bits, these blocks are interleaved between two banks, namely, bank 1414 and bank 1416 . Each of these banks, therefore, contains sixteen blocks of data, and each block of data contains 512 bits. This interleaving can facilitate faster accessing of the DRAM and is useful in the processing of certain applications.
  • FIG. 15 illustrates the architecture for a DMAC 1504 within a PE.
  • the structural hardware comprising DMAC 1506 is distributed throughout the PE such that each APU 1502 has direct access to a structural node 1504 of DMAC 1506 .
  • Each node executes the logic appropriate for memory accesses by the APU to which the node has direct access.
  • FIG. 16 shows an alternative embodiment of the DMAC, namely, a non-distributed architecture.
  • the structural hardware of DMAC 1606 is centralized.
  • APUs 1602 and PU 1604 communicate with DMAC 1606 via local PE bus 1607 .
  • DMAC 1606 is connected through a cross-bar switch to a bus 1608 .
  • Bus 1608 is connected to DRAM 1610 .
  • all of the multiple APUs of a PE can independently access data in the shared DRAM.
  • a first APU could be operating upon particular data in its local storage at a time during which a second APU requests these data. If the data were provided to the second APU at that time from the shared DRAM, the data could be invalid because of the first APU's ongoing processing which could change the data's value. If the second processor received the data from the shared DRAM at that time, therefore, the second processor could generate an erroneous result. For example, the data could be a specific value for a global variable. If the first processor changed that value during its processing, the second processor would receive an outdated value.
  • a scheme is necessary, therefore, to synchronize the APUs' reading and writing of data from and to memory locations within the shared DRAM. This scheme must prevent the reading of data from a memory location upon which another APU currently is operating in its local storage and, therefore, which are not current, and the writing of data into a memory location storing current data.
  • an additional segment of memory is allocated in the DRAM for storing status information relating to the data stored in the memory location.
  • This status information includes a full/empty (F/E) bit, the identification of an APU (APU ID) requesting data from the memory location and the address of the APU's local storage (LS address) to which the requested data should be read.
  • An addressable memory location of the DRAM can be of any size. In a preferred embodiment, this size is 1024 bits.
  • the setting of the F/E bit to 1 indicates that the data stored in the associated memory location are current.
  • the setting of the F/E bit to 0, indicates that the data stored in the associated memory location are not current. If an APU requests the data when this bit is set to 0, the APU is prevented from immediately reading the data. In this case, an APU ID identifying the APU requesting the data, and an LS address identifying the memory location within the local storage of this APU to which the data are to be read when the data become current, are entered into the additional memory segment.
  • An additional memory segment also is allocated for each memory location within the local storage of the APUs.
  • This additional memory segment stores one bit, designated the “busy bit.”
  • the busy bit is used to reserve the associated LS memory location for the storage of specific data to be retrieved from the DRAM. If the busy bit is set to 1 for a particular memory location in local storage, the APU can use this memory location only for the writing of these specific data. On the other hand, if the busy bit is set to 0 for a particular memory location in local storage, the APU can use this memory location for the writing of any data.
  • FIGS. 17A-17O Examples of the manner in which the F/E bit, the APU ID, the LS address and the busy bit are used to synchronize the reading and writing of data from and to the shared DRAM of a PE are illustrated in FIGS. 17A-17O .
  • PE 1720 interacts with DRAM 1702 .
  • PE 1720 includes APU 1722 and APU 1740 .
  • APU 1722 includes control logic 1724
  • APU 1740 includes control logic 1742 .
  • APU 1722 also includes local storage 1726 .
  • This local storage includes a plurality of addressable memory locations 1728 .
  • APU 1740 includes local storage 1744 , and this local storage also includes a plurality of addressable memory locations 1746 . All of these addressable memory locations preferably are 1024 bits in size.
  • An additional segment of memory is associated with each LS addressable memory location.
  • memory segments 1729 and 1734 are associated with, respectively, local memory locations 1731 and 1732
  • memory segment 1752 is associated with local memory location 1750 .
  • a “busy bit,” as discussed above, is stored in each of these additional memory segments.
  • Local memory location 1732 is shown with several Xs to indicate that this location contains data.
  • DRAM 1702 contains a plurality of addressable memory locations 1704 , including memory locations 1706 and 1708 . These memory locations preferably also are 1024 bits in size.
  • An additional segment of memory also is associated with each of these memory locations.
  • additional memory segment 1760 is associated with memory location 1706
  • additional memory segment 1762 is associated with memory location 1708 .
  • Status information relating to the data stored in each memory location is stored in the memory segment associated with the memory location. This status information includes, as discussed above, the F/E bit, the APU ID and the LS address. For example, for memory location 1708 , this status information includes F/E bit 1712 , APU ID 1714 and LS address 1716 .
  • the synchronized reading and writing of data from and to the shared DRAM among the APUs of a PE, or a group of PEs, can be achieved.
  • FIG. 17B illustrates the initiation of the synchronized writing of data from LS memory location 1732 of APU 1722 to memory location 1708 of DRAM 1702 .
  • Control 1724 of APU 1722 initiates the synchronized writing of these data. Since memory location 1708 is empty, F/E bit 1712 is set to 0. As a result, the data in LS location 1732 can be written into memory location 1708 . If this bit were set to 1 to indicate that memory location 1708 is full and contains current, valid data, on the other hand, control 1722 would receive an error message and be prohibited from writing data into this memory location.
  • FIG. 17C The result of the successful synchronized writing of the data into memory location 1708 is shown in FIG. 17C .
  • the written data are stored in memory location 1708 , and F/E bit 1712 is set to 1. This setting indicates that memory location 1708 is full and that the data in this memory location are current and valid.
  • FIG. 17D illustrates the initiation of the synchronized reading of data from memory location 1708 of DRAM 1702 to LS memory location 1750 of local storage 1744 .
  • the busy bit in memory segment 1752 of LS memory location 1750 is set to 1 to reserve this memory location for these data.
  • the setting of this busy bit to 1 prevents APU 1740 from storing other data in this memory location.
  • control logic 1742 next issues a synchronize read command for memory location 1708 of DRAM 1702 . Since F/E bit 1712 associated with this memory location is set to 1, the data stored in memory location 1708 are considered current and valid. As a result, in preparation for transferring the data from memory location 1708 to LS memory location 1750 , F/E bit 1712 is set to 0. This setting is shown in FIG. 17F . The setting of this bit to 0 indicates that, following the reading of these data, the data in memory location 1708 will be invalid.
  • FIG. 17G shows the data within memory location 1708 next are read from memory location 1708 to LS memory location 1750 .
  • FIG. 17H shows the final state.
  • a copy of the data in memory location 1708 is stored in LS memory location 1750 .
  • F/E bit 1712 is set to 0 to indicate that the data in memory location 1708 are invalid. This invalidity is the result of alterations to these data to be made by APU 1740 .
  • the busy bit in memory segment 1752 also is set to 0. This setting indicates that LS memory location 1750 now is available to APU 1740 for any purpose, i.e., this LS memory location no longer is in a reserved state waiting for the receipt of specific data. LS memory location 1750 , therefore, now can be accessed by APU 1740 for any purpose.
  • FIGS. 17I-170 illustrate the synchronized reading of data from a memory location of DRAM 1702 , e.g., memory location 1708 , to an LS memory location of an APU's local storage, e.g., LS memory location 1752 of local storage 1744 , when the F/E bit for the memory location of DRAM 1702 is set to 0 to indicate that the data in this memory location are not current or valid.
  • the busy bit in memory segment 1752 of LS memory location 1750 is set to 1 to reserve this LS memory location for this transfer of data.
  • control logic 1742 next issues a synchronize read command for memory location 1708 of DRAM 1702 . Since the F/E bit associated with this memory location, F/E bit 1712 , is set to 0, the data stored in memory location 1708 are invalid. As a result, a signal is transmitted to control logic 1742 to block the immediate reading of data from this memory location.
  • the APU ID 1714 and LS address 1716 for this read command next are written into memory segment 1762 .
  • the APU ID for APU 1740 and the LS memory location for LS memory location 1750 are written into memory segment 1762 .
  • this APU ID and LS memory location are used for determining the location to which the current data are to be transmitted.
  • the data in memory location 1708 become valid and current when an APU writes data into this memory location.
  • FIG. 17M As shown in FIG. 17M , following this writing, the data in memory location 1708 become current and valid. APU ID 1714 and LS address 1716 from memory segment 1762 , therefore, immediately are read from memory segment 1762 , and this information then is deleted from this segment. F/E bit 1712 also is set to 0 in anticipation of the immediate reading of the data in memory location 1708 . As shown in FIG. 17N , upon reading APU ID 1714 and LS address 1716 , this information immediately is used for reading the valid data in memory location 1708 to LS memory location 1750 of APU 1740 . The final state is shown in FIG. 17O .
  • This figure shows the valid data from memory location 1708 copied to memory location 1750 , the busy bit in memory segment 1752 set to 0 and F/E bit 1712 in memory segment 1762 set to 0.
  • the setting of this busy bit to 0 enables LS memory location 1750 now to be accessed by APU 1740 for any purpose.
  • the setting of this F/E bit to 0 indicates that the data in memory location 1708 no longer are current and valid.
  • FIG. 18 summarizes the operations described above and the various states of a memory location of the DRAM based upon the states of the F/E bit, the APU ID and the LS address stored in the memory segment corresponding to the memory location.
  • the memory location can have three states. These three states are an empty state 1880 in which the F/E bit is set to 0 and no information is provided for the APU ID or the LS address, a full state 1882 in which the F/E bit is set to 1 and no information is provided for the APU ID or LS address and a blocking state 1884 in which the F/E bit is set to 0 and information is provided for the APU ID and LS address.
  • a synchronized writing operation is permitted and results in a transition to full state 1882 .
  • a synchronized reading operation results in a transition to the blocking state 1884 because the data in the memory location, when the memory location is in the empty state, are not current.
  • full state 1882 a synchronized reading operation is permitted and results in a transition to empty state 1880 .
  • a synchronized writing operation in full state 1882 is prohibited to prevent overwriting of valid data. If such a writing operation is attempted in this state, no state change occurs and an error message is transmitted to the APU's corresponding control logic.
  • blocking state 1884 the synchronized writing of data into the memory location is permitted and results in a transition to empty state 1880 .
  • a synchronized reading operation in blocking state 1884 is prohibited to prevent a conflict with the earlier synchronized reading operation which resulted in this state. If a synchronized reading operation is attempted in blocking state 1884 , no state change occurs and an error message is transmitted to the APU's corresponding control logic.
  • the scheme described above for the synchronized reading and writing of data from and to the shared DRAM also can be used for eliminating the computational resources normally dedicated by a processor for reading data from, and writing data to, external devices.
  • This input/output (I/O) function could be performed by a PU.
  • an APU running an appropriate program can perform this function. For example, using this scheme, a PU receiving an interrupt request for the transmission of data from an I/O interface initiated by an external device can delegate the handling of this request to this APU. The APU then issues a synchronize write command to the I/O interface. This interface in turn signals the external device that data now can be written into the DRAM.
  • the APU next issues a synchronize read command to the DRAM to set the DRAM's relevant memory space into a blocking state.
  • the APU also sets to 1 the busy bits for the memory locations of the APU's local storage needed to receive the data.
  • the additional memory segments associated with the DRAM's relevant memory space contain the APU's ID and the address of the relevant memory locations of the APU's local storage.
  • the external device next issues a synchronize write command to write the data directly to the DRAM's relevant memory space. Since this memory space is in the blocking state, the data are immediately read out of this space into the memory locations of the APU's local storage identified in the additional memory segments. The busy bits for these memory locations then are set to 0. When the external device completes writing of the data, the APU issues a signal to the PU that the transmission is complete.
  • the DRAM of each PE includes a plurality of “sandboxes.”
  • a sandbox defines an area of the shared DRAM beyond which a particular APU, or set of APUs, cannot read or write data.
  • These sandboxes provide security against the corruption of data being processed by one APU by data being processed by another APU.
  • These sandboxes also permit the downloading of software cells from network 104 into a particular sandbox without the possibility of the software cell corrupting data throughout the DRAM.
  • the sandboxes are implemented in the hardware of the DRAMs and DMACs. By implementing these sandboxes in this hardware rather than in software, advantages in speed and security are obtained.
  • the PU of a PE controls the sandboxes assigned to the APUs. Since the PU normally operates only trusted programs, such as an operating system, this scheme does not jeopardize security. In accordance with this scheme, the PU builds and maintains a key control table.
  • This key control table is illustrated in FIG. 19 .
  • each entry in key control table 1902 contains an identification (ID) 1904 for an APU, an APU key 1906 for that APU and a key mask 1908 .
  • ID identification
  • Key control table 1902 preferably is stored in a relatively fast memory, such as a static random access memory (SRAM), and is associated with the DMAC.
  • SRAM static random access memory
  • the entries in key control table 1902 are controlled by the PU.
  • the DMAC evaluates the APU key 1906 assigned to that APU in key control table 1902 against a memory access key associated with that storage location.
  • a dedicated memory segment 2010 is assigned to each addressable storage location 2006 of a DRAM 2002 .
  • a memory access key 2012 for the storage location is stored in this dedicated memory segment.
  • a further additional dedicated memory segment 2008 also associated with each addressable storage location 2006 , stores synchronization information for writing data to, and reading data from, the storage-location.
  • an APU issues a DMA command to the DMAC.
  • This command includes the address of a storage location 2006 of DRAM 2002 .
  • the DMAC looks up the requesting APU's key 1906 in key control table 1902 using the APU's ID 1904 .
  • the DMAC compares the APU key 1906 of the requesting APU to the memory access key 2012 stored in the dedicated memory segment 2010 associated with the storage location of the DRAM to which the APU seeks access. If the two keys do not match, the DMA command is not executed. On the other hand, if the two keys match, the DMA command proceeds and the requested memory access is executed.
  • FIG. 21 An alternative embodiment is illustrated in FIG. 21 .
  • the PU also maintains a memory access control table 2102 .
  • Memory access control table 2102 contains an entry for each sandbox within the DRAM.
  • the DRAM contains 64 sandboxes.
  • Each entry in memory access control table 2102 contains an identification (ID) 2104 for a sandbox, a base memory address 2106 , a sandbox size 2108 , a memory access key 2110 and an access key mask 2110 .
  • Base memory address 2106 provides the address in the DRAM which starts a particular memory sandbox.
  • Sandbox size 2108 provides the size of the sandbox and, therefore, the endpoint of the particular sandbox.
  • FIG. 22 is a flow diagram of the steps for executing a DMA command using key control table 1902 and memory access control table 2102 .
  • an APU issues a DMA command to the DMAC for access to a particular memory location or locations within a sandbox.
  • This command includes a sandbox ID 2104 identifying the particular sandbox for which access is requested.
  • the DMAC looks up the requesting APU's key 1906 in key control table 1902 using the APU's ID 1904 .
  • the DMAC uses the sandbox ID 2104 in the command to look up in memory access control table 2102 the memory access key 2110 associated with that sandbox.
  • step 2208 the DMAC compares the APU key 1906 assigned to the requesting APU to the access key 2110 associated with the sandbox.
  • step 2210 a determination is made of whether the two keys match. If the two keys do not match, the process moves to step 2212 where the DMA command does not proceed and an error message is sent to either the requesting APU, the PU or both. On the other hand, if at step 2210 the two keys are found to match, the process proceeds to step 2214 where the DMAC executes the DMA command.
  • a key mask for a key converts a masked bit into a wildcard. For example, if the key mask 1908 associated with an APU key 1906 has its last two bits set to “mask,” designated by, e.g., setting these bits in key mask 1908 to 1, the APU key can be either a 1 or a 0 and still match the memory access key. For example, the APU key might be 1010 . This APU key normally allows access only to a sandbox having an access key of 1010 .
  • this APU key can be used to gain access to sandboxes having an access key of either 1010 or 1011 .
  • an access key 1010 with a mask set to 0001 can be accessed by an APU with an APU key of either 1010 or 1011 . Since both the APU key mask and the memory key mask can be used simultaneously, numerous variations of accessibility by the APUs to the sandboxes can be established.
  • the present invention also provides a new programming model for the processors of system 101 .
  • This programming model employs software cells 102 . These cells can be transmitted to any processor on network 104 for processing.
  • This new programming model also utilizes the unique modular architecture of system 101 and the processors of system 101 .
  • the APUs are processed directly by the APUs from the APU's local storage.
  • the APUs do not directly operate on any data or programs in the DRAM. Data and programs in the DRAM are read into the APU's local storage before the APU processes these data and programs.
  • the APU's local storage therefore, includes a program counter, stack and other software elements for executing these programs.
  • the PU controls the APUs by issuing direct memory access (DMA) commands to the DMAC.
  • DMA direct memory access
  • a software cell e.g., software cell 2302
  • the information contained in routing information section 2304 is dependent upon the protocol of network 104 .
  • Routing information section 2304 contains header 2308 , destination ID 2310 , source ID 2312 and reply ID 2314 .
  • the destination ID includes a network address. Under the TCP/IP protocol, e.g., the network address is an Internet protocol (IP) address.
  • Destination ID 2310 further includes the identity of the PE and APU to which the cell should be transmitted for processing.
  • Source ID 2314 contains a network address and identifies the PE and APU from which the cell originated to enable the destination PE and APU to obtain additional information regarding the cell if necessary.
  • Reply ID 2314 contains a network address and identifies the PE and APU to which queries regarding the cell, and the result of processing of the cell, should be directed.
  • Cell body 2306 contains information independent of the network's protocol. The exploded portion of FIG. 23 shows the details of cell body 2306 . Header 2320 of cell body 2306 identifies the start of the cell body. Cell interface 2322 contains information necessary for the cell's utilization. This information includes global unique ID 2324 , required APUs 2326 , sandbox size 2328 and previous cell ID 2330 .
  • Global unique ID 2324 uniquely identifies software cell 2302 throughout network 104 .
  • Global unique ID 2324 is generated on the basis of source ID 2312 , e.g. the unique identification of a PE or APU within source ID 2312 , and the time and date of generation or transmission of software cell 2302 .
  • Required APUs 2326 provides the minimum number of APUs required to execute the cell.
  • Sandbox size 2328 provides the amount of protected memory in the required APUs' associated DRAM necessary to execute the cell.
  • Previous cell ID 2330 provides the identity of a previous cell in a group of cells requiring sequential execution, e.g., streaming data.
  • Implementation section 2332 contains the cell's core information. This information includes DMA command list 2334 , programs 2336 and data 2338 .
  • Programs 2336 contain the programs to be run by the APUs (called “apulets”), e.g., APU programs 2360 and 2362 , and data 2338 contain the data to be processed with these programs.
  • DMA command list 2334 contains a series of DMA commands needed to start the programs. These DMA commands include DMA commands 2340 , 2350 , 2355 and 2358 . The PU issues these DMA commands to the DMAC.
  • DMA command 2340 includes VID 2342 .
  • VID 2342 is the virtual ID of an APU which is mapped to a physical ID when the DMA commands are issued.
  • DMA command 2340 also includes load command 2344 and address 2346 .
  • Load command 2344 directs the APU to read particular information from the DRAM into local storage.
  • Address 2346 provides the virtual address in the DRAM containing this information. The information can be, e.g., programs from programs section 2336 , data from data section 2338 or other data.
  • DMA command 2340 includes local storage address 2348 . This address identifies the address in local storage where the information should be loaded.
  • DMA commands 2350 contain similar information. Other DMA commands are also possible.
  • DMA command list 2334 also includes a series of kick commands, e.g., kick commands 2355 and 2358 .
  • Kick commands are commands issued by a PU to an APU to initiate the processing of a cell.
  • DMA kick command 2355 includes virtual APU ID 2352 , kick command 2354 and program counter 2356 .
  • Virtual APU ID 2352 identifies the APU to be kicked
  • kick command 2354 provides the relevant kick command
  • program counter 2356 provides the address for the program counter for executing the program.
  • DMA kick command 2358 provides similar information for the same APU or another APU.
  • the PUs treat the APUs as independent processors, not co-processors. To control processing by the APUs, therefore, the PU uses commands analogous to remote procedure calls. These commands are designated “APU Remote Procedure Calls” (ARPCs).
  • ARPCs APU implements an ARPC by issuing a series of DMA commands to the DMAC.
  • the DMAC loads the APU program and its associated stack frame into the local storage of an APU.
  • the PU then issues an initial kick to the APU to execute the APU Program.
  • FIG. 24 illustrates the steps of an ARPC for executing an apulet.
  • the steps performed by the PU in initiating processing of the apulet by a designated APU are shown in the first portion 2402 of FIG. 24
  • the steps performed by the designated APU in processing the apulet are shown in the second portion 2404 of FIG. 24 .
  • step 2410 the PU evaluates the apulet and then designates an APU for processing the apulet.
  • the PU allocates space in the DRAM for executing the apulet by issuing a DMA command to the DMAC to set memory access keys for the necessary sandbox or sandboxes.
  • the PU enables an interrupt request for the designated APU to signal completion of the apulet.
  • the PU issues a DMA command to the DMAC to load the apulet from the DRAM to the local storage of the APU.
  • step 2420 the DMA command is executed, and the apulet is read from the DRAM to the APU's local storage.
  • step 2422 the PU issues a DMA command to the DMAC to load the stack frame associated with the apulet from the DRAM to the APU's local storage.
  • step 2423 the DMA command is executed, and the stack frame is read from the DRAM to the APU's local storage.
  • step 2424 the PU issues a DMA command for the DMAC to assign a key to the APU to allow the APU to read and write data from and to the hardware sandbox or sandboxes designated in step 2412 .
  • step 2426 the DMAC updates the key control table (KTAB) with the key assigned to the APU.
  • step 2428 the PU issues a DMA command “kick” to the APU to start processing of the program.
  • Other DMA commands may be issued by the PU in the execution of a particular ARPC depending upon the particular apulet.
  • second portion 2404 of FIG. 24 illustrates the steps performed by the APU in executing the apulet.
  • the APU begins to execute the apulet in response to the kick command issued at step 2428 .
  • the APU at the direction of the apulet, evaluates the apulet's associated stack frame.
  • the APU issues multiple DMA commands to the DMAC to load data designated as needed by the stack frame from the DRAM to the APU's local storage.
  • these DMA commands are executed, and the data are read from the DRAM to the APU's local storage.
  • step 2438 the APU executes the apulet and generates a result.
  • step 2440 the APU issues a DMA command to the DMAC to store the result in the DRAM.
  • step 2442 the DMA command is executed and the result of the apulet is written from the APU's local storage to the DRAM.
  • step 2444 the APU issues an interrupt request to the PU to signal that the ARPC has been completed.
  • APUs to perform tasks independently under the direction of a PU enables a PU to dedicate a group of APUs, and the memory resources associated with a group of APUs, to performing extended tasks.
  • a PU can dedicate one or more APUs, and a group of memory sandboxes associated with these one or more APUs, to receiving data transmitted over network 104 over an extended period and to directing the data received during this period to one or more other APUs and their associated memory sandboxes for further processing.
  • This ability is particularly advantageous to processing streaming data transmitted over network 104 , e.g., streaming MPEG or streaming ATRAC audio or video data.
  • a PU can dedicate one or more APUs and their associated memory sandboxes to receiving these data and one or more other APUs and their associated memory sandboxes to decompressing and further processing these data.
  • the PU can establish a dedicated pipeline relationship among a group of APUs and their associated memory sandboxes for processing such data.
  • the pipeline's dedicated APUs and memory sandboxes should remain dedicated to the pipeline during periods in which processing of apulets comprising the data stream does not occur.
  • the dedicated APUs and their associated sandboxes should be placed in a reserved state during these periods.
  • the reservation of an APU and its associated memory sandbox or sandboxes upon completion of processing of an apulet is called a “resident termination.”
  • a resident termination occurs in response to an instruction from a PU.
  • FIGS. 25, 26A and 26 B illustrate the establishment of a dedicated pipeline structure comprising a group of APUs and their associated sandboxes for the processing of streaming data, e.g., streaming MPEG data.
  • the components of this pipeline structure include PE 2502 and DRAM 2518 .
  • PE 2502 includes PU 2504 , DMAC 2506 and a plurality of APUs, including APU 2508 , APU 2510 and APU 2512 . Communications among PU 2504 , DMAC 2506 and these APUs occur through PE bus 2514 .
  • Wide bandwidth bus 2516 connects DMAC 2506 to DRAM 2518 .
  • DRAM 2518 includes a plurality of sandboxes, e.g., sandbox 2520 , sandbox 2522 , sandbox 2524 and sandbox 2526 .
  • FIG. 26A illustrates the steps for establishing the dedicated pipeline.
  • PU 2504 assigns APU 2508 to process a network apulet.
  • a network apulet comprises a program for processing the network protocol of network 104 .
  • this protocol is the Transmission Control Protocol/Internet Protocol (TCP/IP).
  • TCP/IP data packets conforming to this protocol are transmitted over network 104 .
  • APU 2508 processes these packets and assembles the data in the packets into software cells 102 .
  • PU 2504 instructs APU 2508 to perform resident terminations upon the completion of the processing of the network apulet.
  • step 2614 PU 2504 assigns PUs 2510 and 2512 to process MPEG apulets.
  • step 2615 PU 2504 instructs APUs 2510 and 2512 also to perform resident terminations upon the completion of the processing of the MPEG apulets.
  • step 2616 PU 2504 designates sandbox 2520 as a source sandbox for access by APU 2508 and APU 2510 .
  • step 2618 PU 2504 designates sandbox 2522 as a destination sandbox for access by APU 2510 .
  • step 2620 PU 2504 designates sandbox 2524 as a source sandbox for access by APU 2508 and APU 2512 .
  • step 2622 PU 2504 designates sandbox 2526 as a destination sandbox for access by APU 2512 .
  • step 2624 APU 2510 and APU 2512 send synchronize read commands to blocks of memory within, respectively, source sandbox 2520 and source sandbox 2524 to set these blocks of memory into the blocking state.
  • the process finally moves to step 2628 where establishment of the dedicated pipeline is complete and the resources dedicated to the pipeline are reserved.
  • APUs 2508 , 2510 and 2512 and their associated sandboxes 2520 , 2522 , 2524 and 2526 therefore, enter the reserved state.
  • FIG. 26B illustrates the steps for processing streaming MPEG data by this dedicated pipeline.
  • APU 2508 which processes the network apulet, receives in its local storage TCP/IP data packets from network 104 .
  • APU 2508 processes these TCP/IP data packets and assembles the data within these packets into software cells 102 .
  • APU 2508 examines header 2320 ( FIG. 23 ) of the software cells to determine whether the cells contain MPEG data. If a cell does not contain MPEG data, then, in step 2636 , APU 2508 transmits the cell to a general purpose sandbox designated within DRAM 2518 for processing other data by other APUs not included within the dedicated pipeline. APU 2508 also notifies PU 2504 of this transmission.
  • APU 2508 examines previous cell ID 2330 ( FIG. 23 ) of the cell to identify the MPEG data stream to which the cell belongs.
  • APU 2508 chooses an APU of the dedicated pipeline for processing of the cell.
  • APU 2508 chooses APU 2510 to process these data. This choice is based upon previous cell ID 2330 and load balancing factors. For example, if previous cell ID 2330 indicates that the previous software cell of the MPEG data stream to which the software cell belongs was sent to APU 2510 for processing, then the present software cell normally also will be sent to APU 2510 for processing.
  • step 2642 APU 2508 issues a synchronize write command to write the MPEG data to sandbox 2520 . Since this sandbox previously was set to the blocking state, the MPEG data, in step 2644 , automatically is read from sandbox 2520 to the local storage of APU 2510 . In step 2646 , APU 2510 processes the MPEG data in its local storage to generate video data. In step 2648 , APU 2510 writes the video data to sandbox 2522 . In step 2650 , APU 2510 issues a synchronize read command to sandbox 2520 to prepare this sandbox to receive additional MPEG data. In step 2652 , APU 2510 processes a resident termination. This processing causes this APU to enter the reserved state during which the APU waits to process additional MPEG data in the MPEG data stream.
  • APUs 2702 , 2708 and 2714 can be established for performing geometric transformations upon three dimensional objects to generate two dimensional display lists. These two dimensional display lists can be further processed (rendered) by other APUs to generate pixel data. To perform this processing, sandboxes are dedicated to APUs 2702 , 2708 and 2414 for storing the three dimensional objects and the display lists resulting from the processing of these objects.
  • source sandboxes 2704 , 2710 and 2716 are dedicated to storing the three dimensional objects processed by, respectively, APU 2702 , APU 2708 and APU 2714 .
  • destination sandboxes 2706 , 2712 and 2718 are dedicated to storing the display lists resulting from the processing of these three dimensional objects by, respectively, APU 2702 , APU 2708 and APU 2714 .
  • Coordinating APU 2720 is dedicated to receiving in its local storage the display lists from destination sandboxes 2706 , 2712 and 2718 .
  • APU 2720 arbitrates among these display lists and sends them to other APUs for the rendering of pixel data.
  • the processors of system 101 also employ an absolute timer.
  • the absolute timer provides a clock signal to the APUs and other elements of a PE which is both independent of, and faster than, the clock signal driving these elements.
  • the use of this absolute timer is illustrated in FIG. 28 .
  • the absolute timer establishes a time budget for the performance of tasks by the APUs.
  • This time budget provides a time for completing these tasks which is longer than that necessary for the APUs' processing of the tasks.
  • All apulets are writ en for processing on the basis of this time budget regardless of the APUs' actual processing time or speed.
  • a particular task may be performed during busy period 2802 of time budget 2804 . Since busy period 2802 is less than time budget 2804 , a standby period 2806 occurs during the time budget. During this standby period, the APU goes into a sleep mode during which less power is consumed by the APU.
  • the results of processing a task are not expected by other APUs, or other elements of a PE, until a time budget 2804 expires. Using the time budget established by the absolute timer, therefore, the results of the APUs' processing always are coordinated regardless of the APUs' actual processing speeds.
  • the PU can analyze the particular instructions or microcode being executed by an APU in processing an apulet for problems in the coordination of the APUs' parallel processing created by enhanced or different operating speeds.
  • “No operation” (“NOOP” instructions can be inserted into the instructions and executed by some of the APUs to maintain the proper sequential completion of processing by the APUs expected by the apulet. By inserting these NOOPs into the instructions, the correct timing for the APUs' execution of all instructions can be maintained.
  • FIG. 29 is a diagram showing a processor that uses a vector register file, a shared data path, and instruction execution logic to process single instruction multiple data (SIMD) and scalar instructions.
  • Attached processing unit (APU) 2900 's architecture promotes programmability by exploiting compiler techniques to target data-parallel execution primitives.
  • the architecture provides fast, simple primitives, which the compiler uses to implement higher-level idioms.
  • APU 2900 exploits data-level parallelism through a SIMD architecture with the integration of scalar and SIMD execution. In addition to improving the efficiency of many vectorization transformations, this approach reduces the area and complexity overhead that scalar processing imposes. Any complexity reduction directly translates into increased performance because it enables additional cores per given chip area.
  • Local store 2910 includes instructions that are fed into buffer 2915 in 128-byte increments.
  • Buffer 2915 separates the instructions out into 64 byte increments (representing a first and second portion of a memory line), which are supplied to fetch 2920 .
  • the instructions proceed through a datapath that includes instruction line buffers 2930 , issue/branch 2940 , and vector register file 2950 .
  • Instruction issue logic 2940 issues instruction for execution in bundles of up two instructions. Each instruction is four bytes wide and specifies up to three source operands to be provided by the vector register file 2950 to execution units 2960 , 2970 , 2980 , and 2990 . In order to process scalar computations correctly, the scalar data values are aligned with respect to the vector words stored in vector register file 2950 using a “preferred slot” mechanism (see FIG. 31 and corresponding text for further details).
  • Vector register file 2950 then provides source operands in 16 byte increments (regardless of whether the instruction is performing a computation corresponding to a scalar of SIMD computation in the source program), to an appropriate execution unit for further processing, such as vector floating point unit 2960 , vector fixed point unit 2970 , data formatting and permute unit 2980 , and load/store unit 2990 .
  • FIG. 30A is a diagram showing two vectors added together that do not require re-alignment.
  • Execution unit 3020 adds vector 3000 to vector 3010 to produce vector 3030 .
  • Each of vectors 3000 and 3010 are 16 bytes in length, which are segmented into four elements, or “slots,” which are in four-byte increments.
  • Vector 3000 's slots include values x 0 , x 1 , x 2 , and x 3 .
  • vector 3010 's slots include values y 0 , y 1 , y 2 , and y 3 .
  • FIG. 30B is a diagram showing two vectors that include mis-aligned scalar operations.
  • Vector 3040 includes data value x, which requires to be added to vector 3050 's data value y. A problem arises when adding these two vectors because vector 3040 's data value x resides in “slot 1 ” whereas vector 3050 's data value Y resides in “slot 2 .”
  • vector 3070 when execution unit 3060 adds vector 3040 to vector 3050 , the resulting vector (vector 3070 ) does not include the correct data values.
  • vector 3070 slot 1 equals x+n 5 and vector 3070 's slot 2 equals n 2 +y. Therefore, in order to add two vectors that include scalar operations, the invention described herein uses a “preferred slot” alignment mechanism (see FIG. 31 and corresponding text for further details).
  • FIG. 31 is a diagram showing scalar data words aligned in registers based upon a preferred slot mechanism. Many instructions require scalar operands, but in an architecture with only vector registers, it is not sufficient to specify a register containing a vector of multiple scalar values. As shown in FIG. 31 , an exemplary vector is divided into four “slots” that include four bytes each, which are slot 0 3100 , slot 1 3110 , slot 2 3120 , and slot 3 3130 . To resolve scalar operand references, the APU architecture convention is to locate scalar operands in a vector's “preferred slot,” which as FIG. 31 shows, corresponds to the leftmost word element slot, consisting of bytes 0 to 3 (slot 0 3100 ).
  • Instructions using the preferred slot mechanism include 1) shift and rotate instructions operating across an entire quad-word that specify a shift amount, 2) memory load and store instructions that require an address, and 3) branch instructions that use the preferred slot for branch conditions (conditional branches) and branch addresses (register-indirect branches). Branch and link instructions also use the preferred slot mechanism to deposit a function return address in a return address register, which the cell application binary interface (ABI) allocates to vector register 0 .
  • ABSI cell application binary interface
  • a scalar data item when a scalar data item is one byte in length, such as that shown in register 0 3140 , the byte resides in byte location 3 .
  • a scalar data item is a half-word in length, such as that shown in register 1 3150 , the half-word resides in byte locations 2 - 3 .
  • a vector includes a 32 bit address, such as that shown in register 2 3160 , the address resides in byte locations 0 - 3 .
  • a scalar data item is one word in length, such as that shown in register 3 3170 , the word resides in byte locations 0 - 3 .
  • the preferred slot is an expected location for scalar parameters to APU instructions. In one embodiment, scalar computations may occur in any slot.
  • the preferred slot also serves as a software abstraction in the ABI to identify the location of scalar parameters on function calls and as function return values. In addition, interprocedural register allocation may choose alternative locations to pass scalar values across function call boundaries.
  • the scalar nature of an instruction can be inferred only from how the compiler uses that instruction. Meaning, the compiler selects a slot position in a vector in which to perform intermediate computations and from which to retrieve the result. The hardware is unaware of this use and always performs the specified operation across all slots. Removing explicit scalar indication allows the software to perform scalar operations in any element slots of a vector. The compiler may optimize alignment handling and eliminate previously compulsory scalar data alignment to the preferred slot. Unifying instruction encoding in this way to provide the same instruction forms for scalar and SIMD computations allows more opcode bits available to encode operations with up to four distinct operands from a 128-entry register file.
  • FIG. 32A is a diagram showing scalar data values included in vectors that are rotated or shifted to a preferred slot before being added together.
  • Vector 3200 includes data value x located in its “slot 1 ,” and vector 3220 includes data value y located in its “slot 2 .”
  • the data values included in vectors 3200 and 3200 proceed through a rotation process, which results in vectors 3210 and 3230 , respectively.
  • vector 3210 now includes data value x in its preferred slot (slot 0 ), and vector 3230 now includes data value y also in its preferred slot (slot 0 ). As such, vector 3210 may be added to vector 3230 , which produces vector 3240 .
  • Vector 3240 includes data value z, which equals x+y. In one embodiment, when data value x and y are in the same slot before rotation (e.g., slot 2 ), the vectors may be added together, and the resultant vector may be rotated to place the summation of x+y in the preferred slot.
  • a compiler rotates or shifts scalar data items in a common slot position. In one embodiment, this is the preferred slot. In accordance with another embodiment, the preferred slot is chosen to be the leftmost word slot, allowing the ability to rotate words into the preferred slot with a single quadword rotate instruction using low-order address bits (stored in the preferred slot of a vector register) to specify the rotate count for word data.
  • the preferred slot is chosen to be the leftmost word slot, allowing the ability to rotate words into the preferred slot with a single quadword rotate instruction using low-order address bits (stored in the preferred slot of a vector register) to specify the rotate count for word data.
  • FIG. 32B is a diagram showing a read-modify-write sequence to store a scalar data via a quadword-oriented storage interface.
  • an APU uses a compiler-generated layering sequence for memory accesses when it merges scalar data into memory.
  • the APU inserts a scalar element into a quadword by using a shuffle instruction to route bytes of data from the two input registers.
  • the APU also supports a “generate controls for insertion” instruction, which generates a control word to steer the shuffle instruction to insert a byte, halfword or word element into a position the memory address specifies.
  • vector 3250 includes data value z, which is inserted in vector 3260 's “slot 3 ” (shown in vector 3290 ).
  • Control word 3270 instructs shuffle 3280 as to where to insert vector 3250 into vector 3260 .
  • the compiler generates 1) an instruction to insert a scalar data value in a vector, 2) a load instruction to load an aligned vector from memory, 3) a shuffle instruction to insert the scalar data item to be stored into the vector retrieved from memory under control of the control word, and 4) a store instruction to store the aligned vector to memory.
  • FIG. 33A is a diagram showing an instruction that adds two data values.
  • Instruction 3300 includes addition opcode in bits 0 - 10 , which instructs an APU to add the operand stored in register RA to the operand stored in register RB, and place the result in register RT such that: RT 0:3 ⁇ RA 0:3 +RB 0:3 RT 4:7 ⁇ RA 4:7 +RB 4:7 RT 8:11 ⁇ RA 8:11 +RB 8:11 RT 12:15 ⁇ RA 12:15 +RB 12:15
  • FIG. 33B is a flowchart showing steps taken in reading an entire vector and operating on the entire vector, e.g., to implement the vector addition instruction of FIG. 33A .
  • Instruction execution commences at 3310 , whereupon logic reads at least one entire vector operand from a vector register file at step 3320 .
  • the instruction may specify that two vectors be added in accordance with the instruction shown in FIG. 33A .
  • processing operates on the entire vector.
  • processing adds the operand included in register RA to the operand included in register RB by performing an addition of the data elements in respective slots, and places the result in register RT.
  • Processing then writes the entire vector back to memory (at step 3340 ) and ends at 3350 .
  • FIG. 34A is a diagram showing an instruction that loads a data value to a register file.
  • Instruction 3400 includes load opcode in bits 0 - 7 , which instructs an APU to add a signed value included in the I 10 field (with four zero bits appended) to the value in register RA's preferred slot, while forcing the rightmost four bits of the sum to zero to compute a memory address: LSA ⁇ (RepLeftBit(I10 ⁇ 0b0000,32)+RA0:3)&LSLR&0xFFFFFFF0 RT ⁇ LocStor(LSA, 16)
  • this address is further formatted by masking it with the contents specified by a local store limit register.
  • the resultant address is used to access a local store (or other memory) and the sixteen bytes at the local store address are placed into register RT (see FIGS. 34B, 35 , and corresponding text for further details).
  • FIG. 34B is a diagram showing steps taken in loading a data value into a register file location. Processing commences at 3410 , whereupon processing reads an address base register at 3420 (specified by the RA field shown in FIG. 34A ). At step 3430 , processing selects the address field (located in the preferred slot of the vector register specified by the RB field shown in FIG. 34A ) and, at step 3440 , processing selects an address displacement (located in the I 10 field shown in FIG. 34A ).
  • Processing generates an address at step 3450 by adding the base address stored in the specified slot of register RA to the displacement I 10 , and formats the address under control of a local store limit register (LSLR), which identifies the local storage area's ending and specifies which address bits to use.
  • LSLR local store limit register
  • processing accesses memory corresponding to the formatted address, selects data words (step 3480 ), and stores the data words in a register file (step 3490 ). Processing ends at 3495 (see FIG. 35 and corresponding text for further details).
  • FIG. 35 is a diagram showing an apparatus corresponding to the execution of a load instruction.
  • Instruction word register 3500 provides an integer (located in FIG. 34A 's I 10 field) as displacement. The displacement is formatted by formatting logic 3520 .
  • Instruction word register 3500 also provides control information to control logic 3510 , which instructs multiplexer 3550 to select the formatted displacement generated by formatting logic 3520 for D-form memory instructions, or an address offset contained in the preferred slot of a specified index vector register ( 3540 ).
  • displacement formatting is performed under control of control logic 3510 to select a specific displacement format based on the instruction's opcode.
  • Vector fields that are not required are labeled as “DC”, which means “don't care”. In parts of the logic flow, bits corresponding to DC values are not implemented.
  • Multiplexer 3557 selects an address base from one of a preferred slot of a specified vector register storing the base address for D-form loads (i.e., those using a register+displacement addressing format) and X-form loads (i.e., those using a register+register addressing format), 0 for A-form loads (i.e., those specifying an absolute address in their displacement field), and IAR 3556 for R-form loads (i.e., those using a instruction address+displacement addressing format).
  • Vector 3555 includes a base address in the preferred slot (the vector operand is specified by FIG. 34A 's RA field).
  • Adder 3559 adds the output of multiplexer 3550 , which provides an address offset, to the output of multiplexer 3557 , which provides an address base.
  • Address formatting 3570 receives the generated address, and formats the address based upon local store limit register (LSLR) 3560 .
  • LSLR 3560 specifies which bits of the generated address to use as an actual address. The resulting address is stored in data address register 3575 .
  • processing accesses local store 3580 through data address register 3575 and selects a quadword with selection logic 3590 . In turn, processing stores the quadword to a vector register file entry that is specified by the RT field shown in FIG. 34A .
  • FIG. 36A is a diagram showing an instruction that stores a quadword.
  • Instruction 3600 includes quadword store opcode in bits 0 - 10 , which instructs an APU to generate an address by adding register RA's preferred slot value to register RB's preferred slot value while forcing the sum's rightmost four bits to zero.
  • the contents of register RT are then stored at the generated local store address such that: LSA ⁇ (RA0:3+RB0:3) & LSLR & 0xFFFFFFF0 LocStor(LSA,16) ⁇ RT
  • FIG. 36B is a diagram showing an apparatus for executing a quadword store instruction.
  • Vector 3630 includes an address offset in its preferred slot.
  • Vector operand 3630 is provided by a vector register file entry that is specified by instruction 3600 's RB field shown in FIG. 36A .
  • Instruction word register 3610 provides control information to control logic 3615 , which instructs multiplexer 3640 to select an address offset based on an instruction form. Multiplexer 3640 selects either the formatted displacement generated by formatting logic 3620 for D-form memory instructions, or an address offset contained in the preferred slot of a specified index vector register ( 3630 ).
  • Multiplexer 3658 selects an address base from one of a preferred slot of a specified vector register 3650 storing the base address for D-form loads (i.e., those using a register+displacement addressing format) and X-form loads (i.e., those using a register+register addressing format), 0 for A-form loads (i.e., those specifying an absolute address in their displacement field), and IAR 3656 for R-form loads (i.e., those using a instruction address + displacement addressing format).
  • Vector 3650 includes a base address in the preferred slot. The vector operand is specified by instruction 3600 's RA field shown in FIG. 36A .
  • Adder 3665 adds multiplexer 3658 's output, which provides an address base, to multiplexer 3640 's output.
  • Address formatting 3670 receives the generated address, and formats the address based upon local store limit register (LSLR) 3660 , storing the result in data address register DAR 3680 .
  • LSLR local store limit register
  • processing stores store value 3655 in memory at the address specified by DAR 3680 in one of a local store, a memory hierarchy, or store queue using data address register 3680 .
  • Store value 3655 is the value of a vector register file entry specified by instruction 3600 's RT field shown in FIG. 36A .
  • FIG. 37A is a diagram showing an instruction that performs a branch relative and set link instruction.
  • Instruction 3700 includes branch relative and set link instruction opcode in bits 0 - 8 .
  • the address of the target instruction which is computed by adding the value of the I 16 field, extended on the right with two zero bits whose result is treated as a signed quantity, to the address of the branch relative and set link instruction.
  • the preferred slot of register RT is set to the address of the byte following the branch relative and set link instruction, and the remaining slots of register RT are set to zero such that: RT 0:3 ⁇ (PC+4) & LSLR RT 4:15 ⁇ 0 PC ⁇ (PC+RepLeftBit(I16 ⁇ 0b00,32)) & LSLR
  • FIG. 37B is a flowchart showing steps taken in performing a branch relative and set link instruction. Processing commences at 3710 , whereupon processing selects an instruction address at step 3715 . At step 3720 , processing formats a link address vector and, at step 3725 , processing writes to the vector registers.
  • processing formats and selects a displacement value (corresponding to instruction 3700 's I 16 value shown in FIG. 37A ).
  • processing generates an address and, at step 3728 , formats the address under control of a local store limit register LSLR. Processing initiates instruction execution logic from the computed address at step 3729 , and ends at 3730 .
  • FIG. 37C is a diagram showing an apparatus for executing the “set link” function of a branch relative and set link operation (corresponding to steps 3715 through 3725 shown in FIG. 37B ), which is performed in parallel to a “branch relative” function in accordance with the apparatus shown in FIG. 38B (corresponding to steps 3726 through 3729 shown in FIG. 37B ).
  • Instruction address register (IAR+4) 3760 includes a branch instruction address incremented by four to indicate the address of the next instruction following the branch instruction. This value may be derived from the output of the IAR incrementing logic 3865 (shown in FIG. 38B ), which is present in instruction fetch issue logic 2940 (shown in FIG. 29 ).
  • Link address 3770 includes the value of IAR+4 register 3760 along with ninety-six other bits to form a 16 B vector value to be written to the vector register file. In accordance with one embodiment, these ninety-six bits are defined to be “0”.
  • all four slots receive a copy of the IAR+4 link value.
  • they correspond the prior value of these bits in the RT register.
  • they correspond to the value of bits 0 to 95 of the RT register prior to instruction execution (i.e., the leftmost 96 b of the RT register), which allows the implementation of a history of the last four link addresses in a single vector register.
  • they have an undefined value. Those skilled in the art will be able to derive yet other values to define these bits within the scope of the present invention.
  • Instruction word register 3740 provides control information to control logic 3750 , which instructs result multiplexer 3780 to select link address 3770 .
  • link address 3770 is stored in a vector register file, whereby the preferred slot of the register file entry specified by instruction 3600 's RT field shown in FIG. 36A is set to the address of the byte following the branch relative and set link instruction.
  • FIG. 38A is a diagram showing an instruction that performs a branch indirect instruction.
  • FIG. 38B is a diagram showing an apparatus that executes a branch indirect instruction.
  • the components described herein are also used to perform branch operations of other branch instructions, such as those of the “branch relative and set link” instruction shown in FIG. 37A and corresponding to steps 3726 through 3729 shown in FIG. 37B .
  • the components may also perform a “branch conditional” instruction, which is shown in FIGS. 39A and 39B , and corresponding to step 3960 shown in FIG. 39C .
  • Vector 3840 which is received from a vector register file, includes a base address in its preferred slot.
  • the base address is a value corresponding to the vector register file entry specified by instruction 3800 's RA field shown in FIG. 38A , which corresponds to a target address for register indirect branches.
  • Instruction word register 3810 provides bits corresponding to a displacement field which is formatted by formatting logic 3825 , such as that which is included in instruction 3700 's I 16 field shown in FIG. 37A .
  • the displacement formatting is added to instruction address register 3830 , resulting in a branch address that corresponds to R-form branches (PC-relative branches).
  • Instruction word register 3810 also provides control information to control logic 3820 , which instructs multiplexer 3850 to select between multiple address forms, such as a register-indirect specified address (vector 3840 ) for indirect branches, or computed PC-relative branch address computed by adder 3835 for R-form branches. Multiplexer 3850 may select addresses corresponding to yet other addressing forms, such as an absolute address (not shown). Multiplexer 3850 may also select a sequential next instruction address computed by adder 3835 if no branch instruction is present.
  • multiple address forms such as a register-indirect specified address (vector 3840 ) for indirect branches, or computed PC-relative branch address computed by adder 3835 for R-form branches.
  • Multiplexer 3850 may select addresses corresponding to yet other addressing forms, such as an absolute address (not shown). Multiplexer 3850 may also select a sequential next instruction address computed by adder 3835 if no branch instruction is present.
  • Multiplexer 3850 's selection feeds into instruction fetch address register (IFAR) 3860 .
  • IFAR 3860 When processing does not branch, processing proceeds through loop 3865 whereupon processing increments and processes the next instruction address.
  • IFAR 3860 's output feeds into address formatting 3880 , which is formatted using LSLR 3870 . Once formatted, the formatted address is passed to memory hierarchy.
  • a number of memory hierarchies may be employed, including ones corresponding to a traditional cache-based main memory hierarchy or a novel local store based memory hierarchy using DMA engines to transfer instructions streams from and to main memory.
  • FIG. 39A is a diagram showing an instruction that performs a branch if not zero word instruction.
  • Instruction 3900 includes branch if not zero word instruction opcode in bits 0 - 8 . During this instruction, processing examines register RT's preferred slot and branches to a target if the preferred slot value is not zero.
  • FIG. 39B is a diagram showing an instruction that performs a branch if zero halfword instruction.
  • Instruction 3900 includes branch if zero halfword instruction opcode in bits 0 - 8 . During this instruction, processing examines register RT's preferred slot and branches to a target if the low-order half-word value in the preferred slot is not zero.
  • FIG. 39C is a flowchart showing steps taken in performing conditional branch instructions. Processing commences at 3920 , whereupon processing reads a vector register at step 3930 . At step 3940 , processing computes decision inputs and, at step 3950 , processing computes a decision under control of a decision width indicator and/or a condition indicator.
  • processing at step 3960 , computes a target address, and at step 3970 , processing transfers control if the decision indicates by updating the IFAR. Processing ends at 3980 .
  • FIG. 40 is a diagram showing an apparatus for executing a conditional branch instruction.
  • Instruction word register 4000 provides displacement formatting 4010 , such as that which is included in instruction 3900 's or 3910 's I 16 field shown in FIGS. 39A and 39B , respectively.
  • the displacement formatting is added to instruction address register 4020 , resulting in a branch address that feeds into multiplexer 4060 .
  • Vector 4030 which is received from a vector register file, includes the value corresponding to the vector register file entry specified by instruction 3900 's or 3910 's RT field shown in FIGS. 39A and 39B , respectively.
  • Vector 4030 's preferred slot is examined via zero detect logic 4040 , whose results are fed into branch decision logic 4050 .
  • Branch decision logic 4050 uses the results to determine whether to have multiplexer 4060 select between the branch target address and the sequential next instruction address generated by an address incrementer.
  • branch decision logic 4050 uses the results to determine whether to have multiplexer 4060 select between the branch target address and the sequential next instruction address generated by an address incrementer.
  • Multiplexer 4060 's output feeds into instruction fetch address register (IFAR) 4070 .
  • IFAR instruction fetch address register
  • processing proceeds through loop 4075 whereupon processing increments and processes the next instruction address.
  • IFAR 4070 's output feeds into address formatting 4090 , which is formatted using LSLR 4080 . Once formatted, the formatted address is passed to memory hierarchy.
  • At least one compare instruction is implemented.
  • at least one compare instruction operates on a plurality of slot values that generate a data mask in each slot that corresponds to “all 0” when the condition is not true, and corresponds to “all 1” when the condition is true.
  • the data mask vector registered by the compare instruction feeds a select instruction.
  • the data mask provides a condition input to conditional branch instructions, such as those in accordance with the instructions shown in FIGS. 39A and 39B .
  • a minimal set of branch instructions are implemented, such as a first “compare for equality,” and a second “compare for ordering” (e.g., “compare greater than”).
  • a first “compare for equality” e.g., “compare greater than”.
  • two test for ordering in accordance with comparison of signed and unsigned numbers, are provided.

Abstract

A system and method for executing instructions utilizing a preferred slot alignment mechanism is presented. A processor architecture uses a vector register file, a shared data path, and instruction execution logic to process both single instruction multiple data (SIMD) instruction and scalar instructions. The processor architecture divides a vector into four “slots,” each including four bytes, and locates scalar data in “preferred slots” to ensure proper positioning. Instructions using the preferred slot mechanism include 1) shift and rotate instructions operating across an entire quad-word that specify a shift amount, 2) memory load and store instructions that require an address, and 3) branch instructions that use the preferred slot for branch conditions (conditional branches) and branch addresses (register-indirect branches). As a result, the processor architecture eliminates the requirement for separate issue slots, separate pipelines, and the control complexity for separate scalar units.

Description

    RELATED APPLICATIONS
  • This application is a Continuation in Part (CIP) of U.S. Patent Application US 2002/0138637 A1, Ser. No. 09/816,004, filed on Mar. 22, 2001 titled “Computer Architecture and Software Cells for Broadband Networks,” and has at least one of the same inventors as the above referenced U.S. Patent Application.
  • BACKGROUND OF THE INVENTION
  • 1. Technical Field
  • The present invention relates to a system and method for executing instructions utilizing a preferred slot alignment mechanism. More particularly, the present invention relates to a processor architecture that includes a vector register file, a shared data path, and instruction execution logic to process source operands that correspond to both Single Instruction Multiple Data (SIMD) computations and scalar computations.
  • 2. Description of the Related Art
  • A continuing importance of gaming applications and other numerically intensive workloads has generated an upsurge in novel computer architectures tailored for such functionality. Gaming applications feature highly parallel code for functions such as game physics, which have high computation and memory requirements. Gaming applications also include scalar code for functions such as game artificial intelligence that require fast response times and a full-featured programming environment.
  • A challenge found with these computer architectures is that they have overly complex designs, which results in area and power inefficiencies. For example, the computer architectures implement both Single Instruction Multiple Data (SIMD) execution units as well as scalar execution units. As a result, they include duplication logic for instruction decoding, instruction issue, register dependence tracking and resolution, register files, execution resources, and instruction commit.
  • What is needed, therefore, is a system and method that provides a power-efficient, area-efficient, low-complexity, and high performance computer architecture.
  • SUMMARY
  • It has been discovered that the aforementioned challenges are resolved using a processor architecture that uses a vector register file, a shared data path, and instruction execution logic to process source operands that correspond to both Single Instruction Multiple Data (SIMD) computations and scalar computations. The processor architecture divides a vector into four “slots,” each including four bytes, and locates scalar data items in “preferred slots” to ensure proper positioning. As a result, the processor architecture eliminates a requirement for separate issue slots, separate pipelines, and the control complexity for separate scalar units.
  • A local storage area includes instructions that are fed into a buffer in 128-byte increments, which supplies the instructions to a fetch unit in 64 byte increments (representing a first and second half of a memory line). In turn, the instructions proceed through a shared datapath that includes instruction line buffers, issue/branch units, and a vector register file. The vector register file provides operands in data widths of 16 bytes, regardless of whether the instruction corresponds to a scalar computation or SIMD computation, to an appropriate execution unit for further processing, such as a vector floating point unit, a vector fixed point unit, a data formatting and permute unit, and a load/store unit.
  • In order to process the scalar instructions correctly, scalar data items are aligned using a “preferred slot” mechanism with respect to a vector word. Instructions using the preferred slot mechanism include 1) shift and rotate instructions operating across an entire quad-word that specify a shift amount, 2) memory load and store instructions that require an address, and 3) branch instructions that use the preferred slot for branch conditions (conditional branches) and branch addresses (register-indirect branches). Branch and link instructions also use the preferred slot mechanism to deposit a function return address in a return address register.
  • In one embodiment, the preferred slot is four bytes in length and starts at the leftmost word element slot that includes byte locations 0 through 3. As such, when a scalar data item is only one byte in length the byte resides in byte location 3. When a scalar data item is a half-word in length, the half-word resides in byte locations 2-3. When a vector includes a 32-bit address, the address resides in byte locations 0-3. When a scalar data item is one word in length, the word resides in byte locations 0-3. When a scalar data item is two words in length, the double word resides in byte locations 0-7. And, when a scalar data item is four words in length, the quad word resides in byte locations 0-15.
  • The foregoing is a summary and thus contains, by necessity, simplifications, generalizations, and omissions of detail; consequently, those skilled in the art will appreciate that the summary is illustrative only and is not intended to be in any way limiting.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention may be better understood, and its numerous objects, features, and advantages made apparent to those skilled in the art by referencing the accompanying drawings.
  • FIG. 1 illustrates the overall architecture of a computer network;
  • FIG. 2 is a diagram illustrating the structure of a processor element (PE);
  • FIG. 3 is a diagram illustrating the structure of a broadband engine (BE);
  • FIG. 4 is a diagram illustrating the structure of an attached processing unit (APU);
  • FIG. 5 is a diagram illustrating the structure of a processor element, visualizer (VS) and an optical interface;
  • FIG. 6 is a diagram illustrating one combination of processor elements;
  • FIG. 7 illustrates another combination of processor elements;
  • FIG. 8 illustrates yet another combination of processor elements;
  • FIG. 9 illustrates yet another combination of processor elements;
  • FIG. 10 illustrates yet another combination of processor elements;
  • FIG. 11A illustrates the integration of optical interfaces within a chip package;
  • FIG. 11B is a diagram of one configuration of processors using the optical interfaces of FIG. 11A;
  • FIG. 11C is a diagram of another configuration of processors using the optical interfaces of FIG. 11A;
  • FIG. 12A illustrates the structure of a memory system;
  • FIG. 12B illustrates the writing of data from a first broadband engine to a second broadband engine;
  • FIG. 13 is a diagram of the structure of a shared memory for a processor element;
  • FIG. 14A illustrates one structure for a bank of the memory shown in FIG. 13;
  • FIG. 14B illustrates another structure for a bank of the memory shown in FIG. 13;
  • FIG. 15 illustrates a structure for a direct memory access controller;
  • FIG. 16 illustrates an alternative structure for a direct memory access controller;
  • FIGS. 17A-17O illustrate the operation of data synchronization;
  • FIG. 18 is a three-state memory diagram illustrating the various states of a memory location in accordance with the data synchronization scheme of the-present invention;
  • FIG. 19 illustrates the structure of a key control table for a hardware sandbox;
  • FIG. 20 illustrates a scheme for storing memory access keys for a hardware sandbox;
  • FIG. 21 illustrates the structure of a memory access control table for a hardware sandbox;
  • FIG. 22 is a flow diagram of the steps for accessing a memory sandbox using the key control table of FIG. 19 and the memory access control table of FIG. 21;
  • FIG. 23 illustrates the structure of a software cell;
  • FIG. 24 is a flow diagram of the steps for issuing remote procedure calls to APUs;
  • FIG. 25 illustrates the structure of a dedicated pipeline for processing streaming data;
  • FIG. 26 is a flow diagram of the steps performed by the dedicated pipeline of FIG. 25 in the processing of streaming data;
  • FIG. 27 illustrates an alternative structure for a dedicated pipeline for the processing of streaming data;
  • FIG. 28 illustrates a scheme for an absolute timer for coordinating the parallel processing of applications and data by APUs;
  • FIG. 29 is a diagram showing a processor that uses a vector register file, a shared data path, and instruction execution logic to process single instruction multiple data (SIMD) and scalar instructions;
  • FIG. 30A is a diagram showing two vectors added together that do not require re-alignment;
  • FIG. 30B is a diagram showing two vectors that include mis-aligned scalar data;
  • FIG. 31 is a diagram showing scalar data aligned in registers based upon a preferred slot mechanism;
  • FIG. 32A is a diagram showing scalar data values included in vectors that are rotated to a preferred slot before being added together;
  • FIG. 32B is a diagram showing a read-modify-write sequence to store a scalar data via a quadword-oriented storage interface;
  • FIG. 33A is a diagram showing an instruction that adds two data values;
  • FIG. 33B is a flowchart showing steps taken in reading an entire vector and operating on the entire vector;
  • FIG. 34A is a diagram showing an instruction that loads a data value to a register file;
  • FIG. 34B is a diagram showing steps taken in loading a data value into a register file location;
  • FIG. 35 is a block diagram showing microprocessor components used for executing a load instruction in accordance with an embodiment of the present invention;
  • FIG. 36A is a diagram showing an instruction that stores a quadword;
  • FIG. 36B is a block diagram showing microprocessor components used for executing a quadword store instruction in accordance with an embodiment of the present invention;
  • FIG. 37A is a diagram showing an instruction that performs a branch relative and set link instruction;
  • FIG. 37B is a flowchart showing steps taken in performing a branch relative and set link instruction;
  • FIG. 37C is a block diagram showing microprocessor components used for setting a vector to a link register when executing a branch relative and set link instruction in accordance with an embodiment of the present invention;
  • FIG. 38A is a diagram showing an instruction that performs a branch indirect instruction;
  • FIG. 38B is a block diagram showing microprocessor components used for executing a branch indirect instruction in accordance with an embodiment of the present invention;
  • FIG. 39A is a diagram showing an instruction that performs a branch if not zero word instruction;
  • FIG. 39B is a diagram showing an instruction that performs a branch if zero halfword instruction;
  • FIG. 39C is a flowchart showing steps taken in performing conditional branch instructions; and
  • FIG. 40 is a block diagram showing microprocessor components used for executing a conditional branch instruction in accordance with an embodiment of the present invention.
  • DETAILED DESCRIPTION
  • The following is intended to provide a detailed description of an example of the invention and should not be taken to be limiting of the invention itself. Rather, any number of variations may fall within the scope of the invention, which is defined in the claims following the description.
  • The overall architecture for a computer system 101 is shown in FIG. 1. As illustrated in this figure, system 101 includes network 104 to which is connected a plurality of computers and computing devices. Network 104 can be a LAN, a global network, such as the Internet, or any other computer network.
  • The computers and computing devices connected to network 104 (the network's “members” include, e.g., client computers 106, server computers 108, personal digital assistants (PDAs) 110, digital television (DTV) 112 and other wired or wireless computers and computing devices. The processors employed by the members of network 104 are constructed from the same common computing module. These processors also preferably all have the same ISA and perform processing in accordance with the same instruction set. The number of modules included within any particular processor depends upon the processing power required by that processor.
  • For example, since servers 108 of system 101 perform more processing of data and applications than clients 106, servers 108 contain more computing modules than clients 106. PDAs 110, on the other hand, perform the least amount of processing. PDAs 110, therefore, contain the smallest number of computing modules. DTV 112 performs a level of processing between that of clients 106 and servers 108. DTV 112, therefore, contains a number of computing modules between that of clients 106 and servers 108. As discussed below, each computing module contains a processing controller and a plurality of identical processing units for performing parallel processing of the data and applications transmitted over network 104.
  • This homogeneous configuration for system 101 facilitates adaptability, processing speed and processing efficiency. Because each member of system 101 performs processing using one or more (or some fraction) of the same computing module, the particular computer or computing device performing the actual processing of data and applications is unimportant. The processing of a particular application and data, moreover, can be shared among the network's members. By uniquely identifying the cells comprising the data and applications processed by system 101 throughout the system, the processing results can be transmitted to the computer or computing device requesting the processing regardless of where this processing occurred. Because the modules performing this processing have a common structure and employ a common ISA, the computational burdens of an added layer of software to achieve compatibility among the processors is avoided. This architecture and programming model facilitates the processing speed necessary to execute, e.g., real-time, multimedia applications.
  • To take further advantage of the processing speeds and efficiencies facilitated by system 101, the data and applications processed by this system are packaged into uniquely identified, uniformly formatted software cells 102. Each software cell 102 contains, or can contain, both applications and data. Each software cell also contains an ID to globally identify the cell throughout network 104 and system 101. This uniformity of structure for the software cells, and the software cells' unique identification throughout the network, facilitates the processing of applications and data on any computer or computing device of the network. For example, a client 106 may formulate a software cell 102 but, because of the limited processing capabilities of client 106, transmit this software cell to a server 108 for processing. Software cells can migrate, therefore, throughout network 104 for processing on the basis of the availability of processing resources on the network.
  • The homogeneous structure of processors and software cells of system 101 also avoids many of the problems of today's heterogeneous networks. For example, inefficient programming models, which seek to permit processing of applications on any ISA using any instruction set, e.g., virtual machines such as the Java virtual machine, are avoided. System 101, therefore, can implement broadband processing far more effectively and efficiently than today's networks.
  • The basic processing module for all members of network 104 is the processor element (PE). FIG. 2 illustrates the structure of a PE. As shown in this figure, PE 201 comprises a processing unit (PU) 203, a direct memory access controller (DMAC) 205 and a plurality of attached processing units (APUs), namely, APU 207, APU 209, APU 211, APU 213, APU 215, APU 217, APU 219 and APU 221. A local PE bus 223 transmits data and applications among the APUs, DMAC 205 and PU 203. Local PE bus 223 can have, e.g., a conventional architecture or be implemented as a packet switch network. Implementation as a packet switch network, while requiring more hardware, increases available bandwidth.
  • PE 201 can be constructed using various methods for implementing digital logic. PE 201 preferably is constructed, however, as a single integrated circuit employing a complementary metal oxide semiconductor (CMOS) on a silicon substrate. Alternative materials for substrates include gallium arsinide, gallium aluminum arsinide and other so-called III-B compounds employing a wide variety of dopants. PE 201 also could be implemented using superconducting material, e.g., rapid single-flux-quantum (RSFQ) logic.
  • PE 201 is closely associated with a dynamic random access memory (DRAM) 225 through a high bandwidth memory connection 227. DRAM 225 functions as the main memory for PE 201. Although a DRAM 225 preferably is a dynamic random access memory, DRAM 225 could be implemented using other means, e.g., as a static random access memory (SRAM), a magnetic random access memory (MRAM), an optical memory or a holographic memory. DMAC 205 facilitates the transfer of data between DRAM 225 and the APUs and PU of PE 201. As further discussed below, DMAC 205 designates for each APU an exclusive area in DRAM 225 into which only the APU can write data and from which only the APU can read data. This exclusive area is designated a “sandbox.”
  • PU 203 can be, e.g., a standard processor capable of stand-alone processing of data and applications. In operation, PU 203 schedules and orchestrates the processing of data and applications by the APUs. The APUs preferably are single instruction, multiple data (SIMD) processors. Under the control of PU 203, the APUs perform the processing of these data and applications in a parallel and independent manner. DMAC 205 controls accesses by PU 203 and the APUs to the data and applications stored in the shared DRAM 225. Although PE 201 preferably includes eight APUs, a greater or lesser number of APUs can be employed in a PE depending upon the processing power required. Also, a number of PEs, such as PE 201, may be joined or packaged together to provide enhanced processing power.
  • For example, as shown in FIG. 3, four PEs may be packaged or joined together, e.g., within one or more chip packages, to form a single processor for a member of network 104. This configuration is designated a broadband engine (BE). As shown in FIG. 3, BE 301 contains four PEs, namely, PE 303, PE 305, PE 307 and PE 309. Communications among these PEs are over BE bus 311. Broad bandwidth memory connection 313 provides communication between shared DRAM 315 and these PEs. In lieu of BE bus 311, communications among the PEs of BE 301 can occur through DRAM 315 and this memory connection.
  • Input/output (I/O) interface 317 and external bus 319 provide communications between broadband engine 301 and the other members of network 104. Each PE of BE 301 performs processing of data and applications in a parallel and independent manner analogous to the parallel and independent processing of applications and data performed by the APUs of a PE.
  • FIG. 4 illustrates the structure of an APU. APU 402 includes local memory 406, registers 410, four floating point units 412 and four integer units 414. Again, however, depending upon the processing power required, a greater or lesser number of floating points units 512 and integer units 414 can be employed. In a preferred embodiment, local memory 406 contains 128 kilobytes of storage, and the capacity of registers 410 is 128 times 128 bits. Floating point units 412 preferably operate at a speed of 32 billion floating point operations per second (32 GFLOPS), and integer units 414 preferably operate at a speed of 32 billion operations per second (32 GOPS).
  • Local memory 402 is not a cache memory. Local memory 402 is preferably constructed as an SRAM. Cache coherency support for an APU is unnecessary. A PU may require cache coherency support for direct memory accesses initiated by the PU. Cache coherency support is not required, however, for direct memory accesses initiated by an APU or for accesses from and to external devices.
  • APU 402 further includes bus 404 for transmitting applications and data to and from the APU. In a preferred embodiment, this bus is 1,024 bits wide. APU 402 further includes internal busses 408, 420 and 418. In a preferred embodiment, bus 408 has a width of 256 bits and provides communications between local memory 406 and registers 410. Busses 420 and 418 provide communications between, respectively, registers 410 and floating point units 412, and registers 410 and integer units 414. In a preferred embodiment, the width of busses 418 and 420 from registers 410 to the floating point or integer units is 384 bits, and the width of busses 418 and 420 from the floating point or integer units to registers 410 is 128 bits. The larger width of these busses from registers 410 to the floating point or integer units than from these units to registers 410 accommodates the larger data flow from registers 410 during processing. A maximum of three words are needed for each calculation. The result of each calculation, however, normally is only one word.
  • FIGS. 5-10 further illustrate the modular structure of the processors of the members of network 104. For example, as shown in FIG. 5, a processor may comprise a single PE 502. As discussed above, this PE typically comprises a PU, DMAC and eight APUs. Each APU includes local storage (LS). On the other hand, a processor may comprise the structure of visualizer (VS) 505. As shown in FIG. 5, VS 505 comprises PU 512, DMAC 514 and four APUs, namely, APU 516, APU 518, APU 520 and APU 522. The space within the chip package normally occupied by the other four APUs of a PE is occupied in this case by pixel engine 508, image cache 510 and cathode ray tube controller (CRTC) 504. Depending upon the speed of communications required for PE 502 or VS 505, optical interface 506 also may be included on the chip package.
  • Using this standardized, modular structure, numerous other variations of processors can be constructed easily and efficiently. For example, the processor shown in FIG. 6 comprises two chip packages, namely, chip package 602 comprising a BE and chip package 604 comprising four VSs. Input/output (I/O) 606 provides an interface between the BE of chip package 602 and network 104. Bus 608 provides communications between chip package 602 and chip package 604. Input output processor (IOP) 610 controls the flow of data into and out of I/O 606. I/O 606 may be fabricated as an application specific integrated circuit (ASIC). The output from the VSs is video signal 612.
  • FIG. 7 illustrates a chip package for a BE 702 with two optical interfaces 704 and 706 for providing ultra high speed communications to the other members of network 104 (or other chip packages locally connected). BE 702 can function as, e.g., a server on network 104.
  • The chip package of FIG. 8 comprises two PEs 802 and 804 and two VSs 806 and 808. An I/O 810 provides an interface between the chip package and network 104. The output from the chip package is a video signal. This configuration may function as, e.g., a graphics work station.
  • FIG. 9 illustrates yet another configuration. This configuration contains one-half of the processing power of the configuration illustrated in FIG. 8. Instead of two PEs, one PE 902 is provided, and instead of two VSs, one VS 904 is provided. I/O 906 has one-half the bandwidth of the I/O illustrated in FIG. 8. Such a processor also may function, however, as a graphics work station.
  • A final configuration is shown in FIG. 10. This processor consists of only a single VS 1002 and an I/O 1004. This configuration may function as, e.g., a PDA.
  • FIG. 11A illustrates the integration of optical interfaces into a chip package of a processor of network 104. These optical interfaces convert optical signals to electrical signals and electrical signals to optical signals and can be constructed from a variety of materials including, e.g., gallium arsinide, aluminum gallium arsinide, germanium and other elements or compounds. As shown in this figure, optical interfaces 1104 and 1106 are fabricated on the chip package of BE 1102. BE bus 1108 provides communication among the PEs of BE 1102, namely, PE 1110, PE 1112, PE 1114, PE 1116, and these optical interfaces. Optical interface 1104 includes two ports, namely, port 1118 and port 1120, and optical interface 1106 also includes two ports, namely, port 1122 and port 1124. Ports 1118, 1120, 1122 and 1124 are connected to, respectively, optical wave guides 1126, 1128, 1130 and 1132. Optical signals are transmitted to and from BE 1102 through these optical wave guides via the ports of optical interfaces 1104 and 1106.
  • A plurality of BEs can be connected together in various configurations using such optical wave guides and the four optical ports of each BE. For example, as shown in FIG. 11B, two or more BEs, e.g., BE 1152, BE 1154 and BE 1156, can be connected serially through such optical ports. In this example, optical interface 1166 of BE 1152 is connected through its optical ports to the optical ports of optical interface 1160 of BE 1154. In a similar manner, the optical ports of optical interface 1162 on BE 1154 are connected to the optical ports of optical interface 1164 of BE 1156.
  • A matrix configuration is illustrated in FIG. 11C. In this configuration, the optical interface of each BE is connected to two other BEs. As shown in this figure, one of the optical ports of optical interface 1188 of BE 1172 is connected to an optical port of optical interface 1182 of BE 1176. The other optical port of optical interface 1188 is connected to an optical port of optical interface 1184 of BE 1178. In a similar manner, one optical port of optical interface 1190 of BE 1174 is connected to the other optical port of optical interface 1184 of BE 1178. The other optical port of optical interface 1190 is connected to an optical port of optical interface 1186 of BE 1180. This matrix configuration can be extended in a similar manner to other BEs.
  • Using either a serial configuration or a matrix configuration, a processor for network 104 can be constructed of any desired size and power. Of course, additional ports can be added to the optical interfaces of the BEs, or to processors having a greater or lesser number of PEs than a BE, to form other configurations.
  • FIG. 12A illustrates the control system and structure for the DRAM of a BE. A similar control system and structure is employed in processors having other sizes and containing more or less PEs. As shown in this figure, a cross-bar switch connects each DMAC 1210 of the four PEs comprising BE 1201 to eight bank controls 1206. Each bank control 1206 controls eight banks 1208 (only four are shown in the figure) of DRAM 1204. DRAM 1204, therefore, comprises a total of sixty-four banks. In a preferred embodiment, DRAM 1204 has a capacity of 64 megabytes, and each bank has a capacity of 1 megabyte. The smallest addressable unit within each bank, in this preferred embodiment, is a block of 1024 bits.
  • BE 1201 also includes switch unit 1212. Switch unit 1212 enables other APUs on BEs closely coupled to BE 1201 to access DRAM 1204. A second BE, therefore, can be closely coupled to a first BE, and each APU of each BE can address twice the number of memory locations normally accessible to an APU. The direct reading or writing of data from or to the DRAM of a first BE from or to the DRAM of a second BE can occur through a switch unit such as switch unit 1212.
  • For example, as shown in FIG. 12B, to accomplish such writing, the APU of a first BE, e.g., APU 1220 of BE 1222, issues a write command to a memory location of a DRAM of a second BE, e.g., DRAM 1228 of BE 1226 (rather than, as in the usual case, to DRAM 1224 of BE 1222). DMAC 1230 of BE 1222 sends the write command through cross-bar switch 1221 to bank control 1234, and bank control 1234 transmits the command to an external port 1232 connected to bank control 1234. DMAC 1238 of BE 1226 receives the write command and transfers this command to switch unit 1240 of BE 1226. Switch unit 1240 identifies the DRAM address contained in the write command and sends the data for storage in this address through bank control 1242 of BE 1226 to bank 1244 of DRAM 1228. Switch unit 1240, therefore, enables both DRAM 1224 and DRAM 1228 to function as a single memory space for the APUs of BE 1222.
  • FIG. 13 shows the configuration of the sixty-four banks of a DRAM. These banks are arranged into eight rows, namely, rows 1302, 1304, 1306, 1308, 1310, 1312, 1314 and 1316 and eight columns, namely, columns 1320, 1322, 1324, 1326, 1328, 1330, 1332 and 1334. Each row is controlled by a bank controller. Each bank controller, therefore, controls eight megabytes of memory.
  • FIGS. 14A and 14B illustrate different configurations for storing and accessing the smallest addressable memory unit of a DRAM, e.g., a block of 1024 bits. In FIG. 14A, DMAC 1402 stores in a single bank 1404 eight 1024 bit blocks 1406. In FIG. 14B, on the other hand, while DMAC 1412 reads and writes blocks of data containing 1024 bits, these blocks are interleaved between two banks, namely, bank 1414 and bank 1416. Each of these banks, therefore, contains sixteen blocks of data, and each block of data contains 512 bits. This interleaving can facilitate faster accessing of the DRAM and is useful in the processing of certain applications.
  • FIG. 15 illustrates the architecture for a DMAC 1504 within a PE. As illustrated in this figure, the structural hardware comprising DMAC 1506 is distributed throughout the PE such that each APU 1502 has direct access to a structural node 1504 of DMAC 1506. Each node executes the logic appropriate for memory accesses by the APU to which the node has direct access.
  • FIG. 16 shows an alternative embodiment of the DMAC, namely, a non-distributed architecture. In this case, the structural hardware of DMAC 1606 is centralized. APUs 1602 and PU 1604 communicate with DMAC 1606 via local PE bus 1607. DMAC 1606 is connected through a cross-bar switch to a bus 1608. Bus 1608 is connected to DRAM 1610.
  • As discussed above, all of the multiple APUs of a PE can independently access data in the shared DRAM. As a result, a first APU could be operating upon particular data in its local storage at a time during which a second APU requests these data. If the data were provided to the second APU at that time from the shared DRAM, the data could be invalid because of the first APU's ongoing processing which could change the data's value. If the second processor received the data from the shared DRAM at that time, therefore, the second processor could generate an erroneous result. For example, the data could be a specific value for a global variable. If the first processor changed that value during its processing, the second processor would receive an outdated value. A scheme is necessary, therefore, to synchronize the APUs' reading and writing of data from and to memory locations within the shared DRAM. This scheme must prevent the reading of data from a memory location upon which another APU currently is operating in its local storage and, therefore, which are not current, and the writing of data into a memory location storing current data.
  • To overcome these problems, for each addressable memory location of the DRAM, an additional segment of memory is allocated in the DRAM for storing status information relating to the data stored in the memory location. This status information includes a full/empty (F/E) bit, the identification of an APU (APU ID) requesting data from the memory location and the address of the APU's local storage (LS address) to which the requested data should be read. An addressable memory location of the DRAM can be of any size. In a preferred embodiment, this size is 1024 bits.
  • The setting of the F/E bit to 1 indicates that the data stored in the associated memory location are current. The setting of the F/E bit to 0, on the other hand, indicates that the data stored in the associated memory location are not current. If an APU requests the data when this bit is set to 0, the APU is prevented from immediately reading the data. In this case, an APU ID identifying the APU requesting the data, and an LS address identifying the memory location within the local storage of this APU to which the data are to be read when the data become current, are entered into the additional memory segment.
  • An additional memory segment also is allocated for each memory location within the local storage of the APUs. This additional memory segment stores one bit, designated the “busy bit.” The busy bit is used to reserve the associated LS memory location for the storage of specific data to be retrieved from the DRAM. If the busy bit is set to 1 for a particular memory location in local storage, the APU can use this memory location only for the writing of these specific data. On the other hand, if the busy bit is set to 0 for a particular memory location in local storage, the APU can use this memory location for the writing of any data.
  • Examples of the manner in which the F/E bit, the APU ID, the LS address and the busy bit are used to synchronize the reading and writing of data from and to the shared DRAM of a PE are illustrated in FIGS. 17A-17O.
  • As shown in FIG. 17A, one or more PEs, e.g., PE 1720, interact with DRAM 1702. PE 1720 includes APU 1722 and APU 1740. APU 1722 includes control logic 1724, and APU 1740 includes control logic 1742. APU 1722 also includes local storage 1726. This local storage includes a plurality of addressable memory locations 1728. APU 1740 includes local storage 1744, and this local storage also includes a plurality of addressable memory locations 1746. All of these addressable memory locations preferably are 1024 bits in size.
  • An additional segment of memory is associated with each LS addressable memory location. For example, memory segments 1729 and 1734 are associated with, respectively, local memory locations 1731 and 1732, and memory segment 1752 is associated with local memory location 1750. A “busy bit,” as discussed above, is stored in each of these additional memory segments. Local memory location 1732 is shown with several Xs to indicate that this location contains data.
  • DRAM 1702 contains a plurality of addressable memory locations 1704, including memory locations 1706 and 1708. These memory locations preferably also are 1024 bits in size. An additional segment of memory also is associated with each of these memory locations. For example, additional memory segment 1760 is associated with memory location 1706, and additional memory segment 1762 is associated with memory location 1708. Status information relating to the data stored in each memory location is stored in the memory segment associated with the memory location. This status information includes, as discussed above, the F/E bit, the APU ID and the LS address. For example, for memory location 1708, this status information includes F/E bit 1712, APU ID 1714 and LS address 1716.
  • Using the status information and the busy bit, the synchronized reading and writing of data from and to the shared DRAM among the APUs of a PE, or a group of PEs, can be achieved.
  • FIG. 17B illustrates the initiation of the synchronized writing of data from LS memory location 1732 of APU 1722 to memory location 1708 of DRAM 1702. Control 1724 of APU 1722 initiates the synchronized writing of these data. Since memory location 1708 is empty, F/E bit 1712 is set to 0. As a result, the data in LS location 1732 can be written into memory location 1708. If this bit were set to 1 to indicate that memory location 1708 is full and contains current, valid data, on the other hand, control 1722 would receive an error message and be prohibited from writing data into this memory location.
  • The result of the successful synchronized writing of the data into memory location 1708 is shown in FIG. 17C. The written data are stored in memory location 1708, and F/E bit 1712 is set to 1. This setting indicates that memory location 1708 is full and that the data in this memory location are current and valid.
  • FIG. 17D illustrates the initiation of the synchronized reading of data from memory location 1708 of DRAM 1702 to LS memory location 1750 of local storage 1744. To initiate this reading, the busy bit in memory segment 1752 of LS memory location 1750 is set to 1 to reserve this memory location for these data. The setting of this busy bit to 1 prevents APU 1740 from storing other data in this memory location.
  • As shown in FIG. 17E, control logic 1742 next issues a synchronize read command for memory location 1708 of DRAM 1702. Since F/E bit 1712 associated with this memory location is set to 1, the data stored in memory location 1708 are considered current and valid. As a result, in preparation for transferring the data from memory location 1708 to LS memory location 1750, F/E bit 1712 is set to 0. This setting is shown in FIG. 17F. The setting of this bit to 0 indicates that, following the reading of these data, the data in memory location 1708 will be invalid.
  • As shown in FIG. 17G, the data within memory location 1708 next are read from memory location 1708 to LS memory location 1750. FIG. 17H shows the final state. A copy of the data in memory location 1708 is stored in LS memory location 1750. F/E bit 1712 is set to 0 to indicate that the data in memory location 1708 are invalid. This invalidity is the result of alterations to these data to be made by APU 1740. The busy bit in memory segment 1752 also is set to 0. This setting indicates that LS memory location 1750 now is available to APU 1740 for any purpose, i.e., this LS memory location no longer is in a reserved state waiting for the receipt of specific data. LS memory location 1750, therefore, now can be accessed by APU 1740 for any purpose.
  • FIGS. 17I-170 illustrate the synchronized reading of data from a memory location of DRAM 1702, e.g., memory location 1708, to an LS memory location of an APU's local storage, e.g., LS memory location 1752 of local storage 1744, when the F/E bit for the memory location of DRAM 1702 is set to 0 to indicate that the data in this memory location are not current or valid. As shown in FIG. 17I, to initiate this transfer, the busy bit in memory segment 1752 of LS memory location 1750 is set to 1 to reserve this LS memory location for this transfer of data. As shown in FIG. 17J, control logic 1742 next issues a synchronize read command for memory location 1708 of DRAM 1702. Since the F/E bit associated with this memory location, F/E bit 1712, is set to 0, the data stored in memory location 1708 are invalid. As a result, a signal is transmitted to control logic 1742 to block the immediate reading of data from this memory location.
  • As shown in FIG. 17K, the APU ID 1714 and LS address 1716 for this read command next are written into memory segment 1762. In this case, the APU ID for APU 1740 and the LS memory location for LS memory location 1750 are written into memory segment 1762. When the data within memory location 1708 become current, therefore, this APU ID and LS memory location are used for determining the location to which the current data are to be transmitted.
  • The data in memory location 1708 become valid and current when an APU writes data into this memory location. The synchronized writing of data into memory location 1708 from, e.g., memory location 1732 of APU 1722, is illustrated in FIG. 17L. This synchronized writing of these data is permitted because F/E bit 1712 for this memory location is set to 0.
  • As shown in FIG. 17M, following this writing, the data in memory location 1708 become current and valid. APU ID 1714 and LS address 1716 from memory segment 1762, therefore, immediately are read from memory segment 1762, and this information then is deleted from this segment. F/E bit 1712 also is set to 0 in anticipation of the immediate reading of the data in memory location 1708. As shown in FIG. 17N, upon reading APU ID 1714 and LS address 1716, this information immediately is used for reading the valid data in memory location 1708 to LS memory location 1750 of APU 1740. The final state is shown in FIG. 17O. This figure shows the valid data from memory location 1708 copied to memory location 1750, the busy bit in memory segment 1752 set to 0 and F/E bit 1712 in memory segment 1762 set to 0. The setting of this busy bit to 0 enables LS memory location 1750 now to be accessed by APU 1740 for any purpose. The setting of this F/E bit to 0 indicates that the data in memory location 1708 no longer are current and valid.
  • FIG. 18 summarizes the operations described above and the various states of a memory location of the DRAM based upon the states of the F/E bit, the APU ID and the LS address stored in the memory segment corresponding to the memory location. The memory location can have three states. These three states are an empty state 1880 in which the F/E bit is set to 0 and no information is provided for the APU ID or the LS address, a full state 1882 in which the F/E bit is set to 1 and no information is provided for the APU ID or LS address and a blocking state 1884 in which the F/E bit is set to 0 and information is provided for the APU ID and LS address.
  • As shown in this figure, in empty state 1880, a synchronized writing operation is permitted and results in a transition to full state 1882. A synchronized reading operation, however, results in a transition to the blocking state 1884 because the data in the memory location, when the memory location is in the empty state, are not current.
  • In full state 1882, a synchronized reading operation is permitted and results in a transition to empty state 1880. On the other hand, a synchronized writing operation in full state 1882 is prohibited to prevent overwriting of valid data. If such a writing operation is attempted in this state, no state change occurs and an error message is transmitted to the APU's corresponding control logic.
  • In blocking state 1884, the synchronized writing of data into the memory location is permitted and results in a transition to empty state 1880. On the other hand, a synchronized reading operation in blocking state 1884 is prohibited to prevent a conflict with the earlier synchronized reading operation which resulted in this state. If a synchronized reading operation is attempted in blocking state 1884, no state change occurs and an error message is transmitted to the APU's corresponding control logic.
  • The scheme described above for the synchronized reading and writing of data from and to the shared DRAM also can be used for eliminating the computational resources normally dedicated by a processor for reading data from, and writing data to, external devices. This input/output (I/O) function could be performed by a PU. However, using a modification of this synchronization scheme, an APU running an appropriate program can perform this function. For example, using this scheme, a PU receiving an interrupt request for the transmission of data from an I/O interface initiated by an external device can delegate the handling of this request to this APU. The APU then issues a synchronize write command to the I/O interface. This interface in turn signals the external device that data now can be written into the DRAM. The APU next issues a synchronize read command to the DRAM to set the DRAM's relevant memory space into a blocking state. The APU also sets to 1 the busy bits for the memory locations of the APU's local storage needed to receive the data. In the blocking state, the additional memory segments associated with the DRAM's relevant memory space contain the APU's ID and the address of the relevant memory locations of the APU's local storage. The external device next issues a synchronize write command to write the data directly to the DRAM's relevant memory space. Since this memory space is in the blocking state, the data are immediately read out of this space into the memory locations of the APU's local storage identified in the additional memory segments. The busy bits for these memory locations then are set to 0. When the external device completes writing of the data, the APU issues a signal to the PU that the transmission is complete.
  • Using this scheme, therefore, data transfers from external devices can be processed with minimal computational load on the PU. The APU delegated this function, however, should be able to issue an interrupt request to the PU, and the external device should have direct access to the DRAM.
  • The DRAM of each PE includes a plurality of “sandboxes.” A sandbox defines an area of the shared DRAM beyond which a particular APU, or set of APUs, cannot read or write data. These sandboxes provide security against the corruption of data being processed by one APU by data being processed by another APU. These sandboxes also permit the downloading of software cells from network 104 into a particular sandbox without the possibility of the software cell corrupting data throughout the DRAM. In the present invention, the sandboxes are implemented in the hardware of the DRAMs and DMACs. By implementing these sandboxes in this hardware rather than in software, advantages in speed and security are obtained.
  • The PU of a PE controls the sandboxes assigned to the APUs. Since the PU normally operates only trusted programs, such as an operating system, this scheme does not jeopardize security. In accordance with this scheme, the PU builds and maintains a key control table. This key control table is illustrated in FIG. 19. As shown in this figure, each entry in key control table 1902 contains an identification (ID) 1904 for an APU, an APU key 1906 for that APU and a key mask 1908. The use of this key mask is explained below. Key control table 1902 preferably is stored in a relatively fast memory, such as a static random access memory (SRAM), and is associated with the DMAC. The entries in key control table 1902 are controlled by the PU. When an APU requests the writing of data to, or the reading of data from, a particular storage location of the DRAM, the DMAC evaluates the APU key 1906 assigned to that APU in key control table 1902 against a memory access key associated with that storage location.
  • As shown in FIG. 20, a dedicated memory segment 2010 is assigned to each addressable storage location 2006 of a DRAM 2002. A memory access key 2012 for the storage location is stored in this dedicated memory segment. As discussed above, a further additional dedicated memory segment 2008, also associated with each addressable storage location 2006, stores synchronization information for writing data to, and reading data from, the storage-location.
  • In operation, an APU issues a DMA command to the DMAC. This command includes the address of a storage location 2006 of DRAM 2002. Before executing this command, the DMAC looks up the requesting APU's key 1906 in key control table 1902 using the APU's ID 1904. The DMAC then compares the APU key 1906 of the requesting APU to the memory access key 2012 stored in the dedicated memory segment 2010 associated with the storage location of the DRAM to which the APU seeks access. If the two keys do not match, the DMA command is not executed. On the other hand, if the two keys match, the DMA command proceeds and the requested memory access is executed.
  • An alternative embodiment is illustrated in FIG. 21. In this embodiment, the PU also maintains a memory access control table 2102. Memory access control table 2102 contains an entry for each sandbox within the DRAM. In the particular example of FIG. 21, the DRAM contains 64 sandboxes. Each entry in memory access control table 2102 contains an identification (ID) 2104 for a sandbox, a base memory address 2106, a sandbox size 2108, a memory access key 2110 and an access key mask 2110. Base memory address 2106 provides the address in the DRAM which starts a particular memory sandbox. Sandbox size 2108 provides the size of the sandbox and, therefore, the endpoint of the particular sandbox.
  • FIG. 22 is a flow diagram of the steps for executing a DMA command using key control table 1902 and memory access control table 2102. In step 2202, an APU issues a DMA command to the DMAC for access to a particular memory location or locations within a sandbox. This command includes a sandbox ID 2104 identifying the particular sandbox for which access is requested. In step 2204, the DMAC looks up the requesting APU's key 1906 in key control table 1902 using the APU's ID 1904. In step 2206, the DMAC uses the sandbox ID 2104 in the command to look up in memory access control table 2102 the memory access key 2110 associated with that sandbox. In step 2208, the DMAC compares the APU key 1906 assigned to the requesting APU to the access key 2110 associated with the sandbox. In step 2210, a determination is made of whether the two keys match. If the two keys do not match, the process moves to step 2212 where the DMA command does not proceed and an error message is sent to either the requesting APU, the PU or both. On the other hand, if at step 2210 the two keys are found to match, the process proceeds to step 2214 where the DMAC executes the DMA command.
  • The key masks for the APU keys and the memory access keys provide greater flexibility to this system. A key mask for a key converts a masked bit into a wildcard. For example, if the key mask 1908 associated with an APU key 1906 has its last two bits set to “mask,” designated by, e.g., setting these bits in key mask 1908 to 1, the APU key can be either a 1 or a 0 and still match the memory access key. For example, the APU key might be 1010. This APU key normally allows access only to a sandbox having an access key of 1010. If the APU key mask for this APU key is set to 0001, however, then this APU key can be used to gain access to sandboxes having an access key of either 1010 or 1011. Similarly, an access key 1010 with a mask set to 0001 can be accessed by an APU with an APU key of either 1010 or 1011. Since both the APU key mask and the memory key mask can be used simultaneously, numerous variations of accessibility by the APUs to the sandboxes can be established.
  • The present invention also provides a new programming model for the processors of system 101. This programming model employs software cells 102. These cells can be transmitted to any processor on network 104 for processing. This new programming model also utilizes the unique modular architecture of system 101 and the processors of system 101.
  • Software cells are processed directly by the APUs from the APU's local storage. The APUs do not directly operate on any data or programs in the DRAM. Data and programs in the DRAM are read into the APU's local storage before the APU processes these data and programs. The APU's local storage, therefore, includes a program counter, stack and other software elements for executing these programs. The PU controls the APUs by issuing direct memory access (DMA) commands to the DMAC.
  • The structure of software cells 102 is illustrated in FIG. 23. As shown in this figure, a software cell, e.g., software cell 2302, contains routing information section 2304 and body 2306. The information contained in routing information section 2304 is dependent upon the protocol of network 104. Routing information section 2304 contains header 2308, destination ID 2310, source ID 2312 and reply ID 2314. The destination ID includes a network address. Under the TCP/IP protocol, e.g., the network address is an Internet protocol (IP) address. Destination ID 2310 further includes the identity of the PE and APU to which the cell should be transmitted for processing. Source ID 2314 contains a network address and identifies the PE and APU from which the cell originated to enable the destination PE and APU to obtain additional information regarding the cell if necessary. Reply ID 2314 contains a network address and identifies the PE and APU to which queries regarding the cell, and the result of processing of the cell, should be directed.
  • Cell body 2306 contains information independent of the network's protocol. The exploded portion of FIG. 23 shows the details of cell body 2306. Header 2320 of cell body 2306 identifies the start of the cell body. Cell interface 2322 contains information necessary for the cell's utilization. This information includes global unique ID 2324, required APUs 2326, sandbox size 2328 and previous cell ID 2330.
  • Global unique ID 2324 uniquely identifies software cell 2302 throughout network 104. Global unique ID 2324 is generated on the basis of source ID 2312, e.g. the unique identification of a PE or APU within source ID 2312, and the time and date of generation or transmission of software cell 2302. Required APUs 2326 provides the minimum number of APUs required to execute the cell. Sandbox size 2328 provides the amount of protected memory in the required APUs' associated DRAM necessary to execute the cell. Previous cell ID 2330 provides the identity of a previous cell in a group of cells requiring sequential execution, e.g., streaming data.
  • Implementation section 2332 contains the cell's core information. This information includes DMA command list 2334, programs 2336 and data 2338. Programs 2336 contain the programs to be run by the APUs (called “apulets”), e.g., APU programs 2360 and 2362, and data 2338 contain the data to be processed with these programs. DMA command list 2334 contains a series of DMA commands needed to start the programs. These DMA commands include DMA commands 2340, 2350, 2355 and 2358. The PU issues these DMA commands to the DMAC.
  • DMA command 2340 includes VID 2342. VID 2342 is the virtual ID of an APU which is mapped to a physical ID when the DMA commands are issued. DMA command 2340 also includes load command 2344 and address 2346. Load command 2344 directs the APU to read particular information from the DRAM into local storage. Address 2346 provides the virtual address in the DRAM containing this information. The information can be, e.g., programs from programs section 2336, data from data section 2338 or other data. Finally, DMA command 2340 includes local storage address 2348. This address identifies the address in local storage where the information should be loaded. DMA commands 2350 contain similar information. Other DMA commands are also possible.
  • DMA command list 2334 also includes a series of kick commands, e.g., kick commands 2355 and 2358. Kick commands are commands issued by a PU to an APU to initiate the processing of a cell. DMA kick command 2355 includes virtual APU ID 2352, kick command 2354 and program counter 2356. Virtual APU ID 2352 identifies the APU to be kicked, kick command 2354 provides the relevant kick command and program counter 2356 provides the address for the program counter for executing the program. DMA kick command 2358 provides similar information for the same APU or another APU.
  • As noted, the PUs treat the APUs as independent processors, not co-processors. To control processing by the APUs, therefore, the PU uses commands analogous to remote procedure calls. These commands are designated “APU Remote Procedure Calls” (ARPCs). A PU implements an ARPC by issuing a series of DMA commands to the DMAC. The DMAC loads the APU program and its associated stack frame into the local storage of an APU. The PU then issues an initial kick to the APU to execute the APU Program.
  • FIG. 24 illustrates the steps of an ARPC for executing an apulet. The steps performed by the PU in initiating processing of the apulet by a designated APU are shown in the first portion 2402 of FIG. 24, and the steps performed by the designated APU in processing the apulet are shown in the second portion 2404 of FIG. 24.
  • In step 2410, the PU evaluates the apulet and then designates an APU for processing the apulet. In step 2412, the PU allocates space in the DRAM for executing the apulet by issuing a DMA command to the DMAC to set memory access keys for the necessary sandbox or sandboxes. In step 2414, the PU enables an interrupt request for the designated APU to signal completion of the apulet. In step 2418, the PU issues a DMA command to the DMAC to load the apulet from the DRAM to the local storage of the APU. In step 2420, the DMA command is executed, and the apulet is read from the DRAM to the APU's local storage. In step 2422, the PU issues a DMA command to the DMAC to load the stack frame associated with the apulet from the DRAM to the APU's local storage. In step 2423, the DMA command is executed, and the stack frame is read from the DRAM to the APU's local storage. In step 2424, the PU issues a DMA command for the DMAC to assign a key to the APU to allow the APU to read and write data from and to the hardware sandbox or sandboxes designated in step 2412. In step 2426, the DMAC updates the key control table (KTAB) with the key assigned to the APU. In step 2428, the PU issues a DMA command “kick” to the APU to start processing of the program. Other DMA commands may be issued by the PU in the execution of a particular ARPC depending upon the particular apulet.
  • As indicated above, second portion 2404 of FIG. 24 illustrates the steps performed by the APU in executing the apulet. In step 2430, the APU begins to execute the apulet in response to the kick command issued at step 2428. In step 2432, the APU, at the direction of the apulet, evaluates the apulet's associated stack frame. In step 2434, the APU issues multiple DMA commands to the DMAC to load data designated as needed by the stack frame from the DRAM to the APU's local storage. In step 2436, these DMA commands are executed, and the data are read from the DRAM to the APU's local storage. In step 2438, the APU executes the apulet and generates a result. In step 2440, the APU issues a DMA command to the DMAC to store the result in the DRAM. In step 2442, the DMA command is executed and the result of the apulet is written from the APU's local storage to the DRAM. In step 2444, the APU issues an interrupt request to the PU to signal that the ARPC has been completed.
  • The ability of APUs to perform tasks independently under the direction of a PU enables a PU to dedicate a group of APUs, and the memory resources associated with a group of APUs, to performing extended tasks. For example, a PU can dedicate one or more APUs, and a group of memory sandboxes associated with these one or more APUs, to receiving data transmitted over network 104 over an extended period and to directing the data received during this period to one or more other APUs and their associated memory sandboxes for further processing. This ability is particularly advantageous to processing streaming data transmitted over network 104, e.g., streaming MPEG or streaming ATRAC audio or video data. A PU can dedicate one or more APUs and their associated memory sandboxes to receiving these data and one or more other APUs and their associated memory sandboxes to decompressing and further processing these data. In other words, the PU can establish a dedicated pipeline relationship among a group of APUs and their associated memory sandboxes for processing such data.
  • In order for such processing to be performed efficiently, however, the pipeline's dedicated APUs and memory sandboxes should remain dedicated to the pipeline during periods in which processing of apulets comprising the data stream does not occur. In other words, the dedicated APUs and their associated sandboxes should be placed in a reserved state during these periods. The reservation of an APU and its associated memory sandbox or sandboxes upon completion of processing of an apulet is called a “resident termination.” A resident termination occurs in response to an instruction from a PU.
  • FIGS. 25, 26A and 26B illustrate the establishment of a dedicated pipeline structure comprising a group of APUs and their associated sandboxes for the processing of streaming data, e.g., streaming MPEG data. As shown in FIG. 25, the components of this pipeline structure include PE 2502 and DRAM 2518. PE 2502 includes PU 2504, DMAC 2506 and a plurality of APUs, including APU 2508, APU 2510 and APU 2512. Communications among PU 2504, DMAC 2506 and these APUs occur through PE bus 2514. Wide bandwidth bus 2516 connects DMAC 2506 to DRAM 2518. DRAM 2518 includes a plurality of sandboxes, e.g., sandbox 2520, sandbox 2522, sandbox 2524 and sandbox 2526.
  • FIG. 26A illustrates the steps for establishing the dedicated pipeline. In step 2610, PU 2504 assigns APU 2508 to process a network apulet. A network apulet comprises a program for processing the network protocol of network 104. In this case, this protocol is the Transmission Control Protocol/Internet Protocol (TCP/IP). TCP/IP data packets conforming to this protocol are transmitted over network 104. Upon receipt, APU 2508 processes these packets and assembles the data in the packets into software cells 102. In step 2612, PU 2504 instructs APU 2508 to perform resident terminations upon the completion of the processing of the network apulet. In step 2614, PU 2504 assigns PUs 2510 and 2512 to process MPEG apulets. In step 2615, PU 2504 instructs APUs 2510 and 2512 also to perform resident terminations upon the completion of the processing of the MPEG apulets. In step 2616, PU 2504 designates sandbox 2520 as a source sandbox for access by APU 2508 and APU 2510. In step 2618, PU 2504 designates sandbox 2522 as a destination sandbox for access by APU 2510. In step 2620, PU 2504 designates sandbox 2524 as a source sandbox for access by APU 2508 and APU 2512. In step 2622, PU 2504 designates sandbox 2526 as a destination sandbox for access by APU 2512. In step 2624, APU 2510 and APU 2512 send synchronize read commands to blocks of memory within, respectively, source sandbox 2520 and source sandbox 2524 to set these blocks of memory into the blocking state. The process finally moves to step 2628 where establishment of the dedicated pipeline is complete and the resources dedicated to the pipeline are reserved. APUs 2508, 2510 and 2512 and their associated sandboxes 2520, 2522, 2524 and 2526, therefore, enter the reserved state.
  • FIG. 26B illustrates the steps for processing streaming MPEG data by this dedicated pipeline. In step 2630, APU 2508, which processes the network apulet, receives in its local storage TCP/IP data packets from network 104. In step 2632, APU 2508 processes these TCP/IP data packets and assembles the data within these packets into software cells 102. In step 2634, APU 2508 examines header 2320 (FIG. 23) of the software cells to determine whether the cells contain MPEG data. If a cell does not contain MPEG data, then, in step 2636, APU 2508 transmits the cell to a general purpose sandbox designated within DRAM 2518 for processing other data by other APUs not included within the dedicated pipeline. APU 2508 also notifies PU 2504 of this transmission.
  • On the other hand, if a software cell contains MPEG data, then, in step 2638, APU 2508 examines previous cell ID 2330 (FIG. 23) of the cell to identify the MPEG data stream to which the cell belongs. In step 2640, APU 2508 chooses an APU of the dedicated pipeline for processing of the cell. In this case, APU 2508 chooses APU 2510 to process these data. This choice is based upon previous cell ID 2330 and load balancing factors. For example, if previous cell ID 2330 indicates that the previous software cell of the MPEG data stream to which the software cell belongs was sent to APU 2510 for processing, then the present software cell normally also will be sent to APU 2510 for processing. In step 2642, APU 2508 issues a synchronize write command to write the MPEG data to sandbox 2520. Since this sandbox previously was set to the blocking state, the MPEG data, in step 2644, automatically is read from sandbox 2520 to the local storage of APU 2510. In step 2646, APU 2510 processes the MPEG data in its local storage to generate video data. In step 2648, APU 2510 writes the video data to sandbox 2522. In step 2650, APU 2510 issues a synchronize read command to sandbox 2520 to prepare this sandbox to receive additional MPEG data. In step 2652, APU 2510 processes a resident termination. This processing causes this APU to enter the reserved state during which the APU waits to process additional MPEG data in the MPEG data stream.
  • Other dedicated structures can be established among a group of APUs and their associated sandboxes for processing other types of data. For example, as shown in FIG. 27, a dedicated group of APUs, e.g., APUs 2702, 2708 and 2714, can be established for performing geometric transformations upon three dimensional objects to generate two dimensional display lists. These two dimensional display lists can be further processed (rendered) by other APUs to generate pixel data. To perform this processing, sandboxes are dedicated to APUs 2702, 2708 and 2414 for storing the three dimensional objects and the display lists resulting from the processing of these objects. For example, source sandboxes 2704, 2710 and 2716 are dedicated to storing the three dimensional objects processed by, respectively, APU 2702, APU 2708 and APU 2714. In a similar manner, destination sandboxes 2706, 2712 and 2718 are dedicated to storing the display lists resulting from the processing of these three dimensional objects by, respectively, APU 2702, APU 2708 and APU 2714.
  • Coordinating APU 2720 is dedicated to receiving in its local storage the display lists from destination sandboxes 2706, 2712 and 2718. APU 2720 arbitrates among these display lists and sends them to other APUs for the rendering of pixel data.
  • The processors of system 101 also employ an absolute timer. The absolute timer provides a clock signal to the APUs and other elements of a PE which is both independent of, and faster than, the clock signal driving these elements. The use of this absolute timer is illustrated in FIG. 28.
  • As shown in this figure, the absolute timer establishes a time budget for the performance of tasks by the APUs. This time budget provides a time for completing these tasks which is longer than that necessary for the APUs' processing of the tasks. As a result, for each task, there is, within the time budget, a busy period and a standby period. All apulets are writ en for processing on the basis of this time budget regardless of the APUs' actual processing time or speed.
  • For example, for a particular APU of a PE, a particular task may be performed during busy period 2802 of time budget 2804. Since busy period 2802 is less than time budget 2804, a standby period 2806 occurs during the time budget. During this standby period, the APU goes into a sleep mode during which less power is consumed by the APU.
  • The results of processing a task are not expected by other APUs, or other elements of a PE, until a time budget 2804 expires. Using the time budget established by the absolute timer, therefore, the results of the APUs' processing always are coordinated regardless of the APUs' actual processing speeds.
  • In the future, the speed of processing by the APUs will become faster. The time budget established by the absolute timer, however, will remain the same. For example, as shown in FIG. 28, an APU in the future will execute a task in a shorter period and, therefore, will have a longer standby period. Busy period 2808, therefore, is shorter than busy period 2802, and standby period 2810 is longer than standby period 2806. However, since programs are written for processing on the basis of the same time budget established by the absolute timer, coordination of the results of processing among the APUs is maintained. As a result, faster APUs can process programs written for slower APUs without causing conflicts in the times at which the results of this processing are expected.
  • In lieu of an absolute timer to establish coordination among the APUs, the PU, or one or more designated APUs, can analyze the particular instructions or microcode being executed by an APU in processing an apulet for problems in the coordination of the APUs' parallel processing created by enhanced or different operating speeds. “No operation” (“NOOP” instructions can be inserted into the instructions and executed by some of the APUs to maintain the proper sequential completion of processing by the APUs expected by the apulet. By inserting these NOOPs into the instructions, the correct timing for the APUs' execution of all instructions can be maintained.
  • FIG. 29 is a diagram showing a processor that uses a vector register file, a shared data path, and instruction execution logic to process single instruction multiple data (SIMD) and scalar instructions. Attached processing unit (APU) 2900's architecture promotes programmability by exploiting compiler techniques to target data-parallel execution primitives. The architecture provides fast, simple primitives, which the compiler uses to implement higher-level idioms.
  • Over the past decade, microprocessors have become powerful enough to tackle previously intractable tasks and cheap enough to use in a range of new applications. Meanwhile, the volumes of data to process have ballooned. This phenomenon is evident in everything from consumer entertainment, which is transitioning from analog to digital media, to supercomputing applications, which are starting to address previously unsolvable computing problems involving massive data volumes.
  • To address this shift from control function to data processing, APU 2900 exploits data-level parallelism through a SIMD architecture with the integration of scalar and SIMD execution. In addition to improving the efficiency of many vectorization transformations, this approach reduces the area and complexity overhead that scalar processing imposes. Any complexity reduction directly translates into increased performance because it enables additional cores per given chip area.
  • Local store 2910 includes instructions that are fed into buffer 2915 in 128-byte increments. Buffer 2915 separates the instructions out into 64 byte increments (representing a first and second portion of a memory line), which are supplied to fetch 2920. The instructions proceed through a datapath that includes instruction line buffers 2930, issue/branch 2940, and vector register file 2950.
  • Instruction issue logic 2940 issues instruction for execution in bundles of up two instructions. Each instruction is four bytes wide and specifies up to three source operands to be provided by the vector register file 2950 to execution units 2960, 2970, 2980, and 2990. In order to process scalar computations correctly, the scalar data values are aligned with respect to the vector words stored in vector register file 2950 using a “preferred slot” mechanism (see FIG. 31 and corresponding text for further details).
  • Vector register file 2950 then provides source operands in 16 byte increments (regardless of whether the instruction is performing a computation corresponding to a scalar of SIMD computation in the source program), to an appropriate execution unit for further processing, such as vector floating point unit 2960, vector fixed point unit 2970, data formatting and permute unit 2980, and load/store unit 2990.
  • FIG. 30A is a diagram showing two vectors added together that do not require re-alignment. Execution unit 3020 adds vector 3000 to vector 3010 to produce vector 3030. Each of vectors 3000 and 3010 are 16 bytes in length, which are segmented into four elements, or “slots,” which are in four-byte increments. Vector 3000's slots include values x0, x1, x2, and x3. Similarly, vector 3010's slots include values y0, y1, y2, and y3. When added together, they produce vector 3030 which includes z0, z1, z2, and z3, where z0=x0+y0, z1=x1+y1, z2=x2+y2, and z3=x3+y3.
  • FIG. 30B is a diagram showing two vectors that include mis-aligned scalar operations. Vector 3040 includes data value x, which requires to be added to vector 3050's data value y. A problem arises when adding these two vectors because vector 3040's data value x resides in “slot 1” whereas vector 3050's data value Y resides in “slot 2.”
  • As a result, when execution unit 3060 adds vector 3040 to vector 3050, the resulting vector (vector 3070) does not include the correct data values. As can be seen, vector 3070 slot 1 equals x+n5 and vector 3070's slot 2 equals n2+y. Therefore, in order to add two vectors that include scalar operations, the invention described herein uses a “preferred slot” alignment mechanism (see FIG. 31 and corresponding text for further details).
  • FIG. 31 is a diagram showing scalar data words aligned in registers based upon a preferred slot mechanism. Many instructions require scalar operands, but in an architecture with only vector registers, it is not sufficient to specify a register containing a vector of multiple scalar values. As shown in FIG. 31, an exemplary vector is divided into four “slots” that include four bytes each, which are slot 0 3100, slot 1 3110, slot 2 3120, and slot 3 3130. To resolve scalar operand references, the APU architecture convention is to locate scalar operands in a vector's “preferred slot,” which as FIG. 31 shows, corresponds to the leftmost word element slot, consisting of bytes 0 to 3 (slot 0 3100).
  • Instructions using the preferred slot mechanism include 1) shift and rotate instructions operating across an entire quad-word that specify a shift amount, 2) memory load and store instructions that require an address, and 3) branch instructions that use the preferred slot for branch conditions (conditional branches) and branch addresses (register-indirect branches). Branch and link instructions also use the preferred slot mechanism to deposit a function return address in a return address register, which the cell application binary interface (ABI) allocates to vector register 0.
  • As can be seen in FIG. 31, in accordance with the preferred slot definition, when a scalar data item is one byte in length, such as that shown in register 0 3140, the byte resides in byte location 3. When a scalar data item is a half-word in length, such as that shown in register 1 3150, the half-word resides in byte locations 2-3. When a vector includes a 32 bit address, such as that shown in register 2 3160, the address resides in byte locations 0-3. When a scalar data item is one word in length, such as that shown in register 3 3170, the word resides in byte locations 0-3. When a scalar data item is two words in length, such as that shown in register 4 3180, the double word resides in byte locations 0-7. And, when a scalar data item is four words in length, such as that shown in register 5 3190, the quad word resides in byte locations 0-15.
  • The preferred slot is an expected location for scalar parameters to APU instructions. In one embodiment, scalar computations may occur in any slot. The preferred slot also serves as a software abstraction in the ABI to identify the location of scalar parameters on function calls and as function return values. In addition, interprocedural register allocation may choose alternative locations to pass scalar values across function call boundaries.
  • Since the APU architecture uses only vector instruction forms, the scalar nature of an instruction can be inferred only from how the compiler uses that instruction. Meaning, the compiler selects a slot position in a vector in which to perform intermediate computations and from which to retrieve the result. The hardware is unaware of this use and always performs the specified operation across all slots. Removing explicit scalar indication allows the software to perform scalar operations in any element slots of a vector. The compiler may optimize alignment handling and eliminate previously compulsory scalar data alignment to the preferred slot. Unifying instruction encoding in this way to provide the same instruction forms for scalar and SIMD computations allows more opcode bits available to encode operations with up to four distinct operands from a 128-entry register file.
  • FIG. 32A is a diagram showing scalar data values included in vectors that are rotated or shifted to a preferred slot before being added together. Vector 3200 includes data value x located in its “slot 1,” and vector 3220 includes data value y located in its “slot 2.” In order to add x to y, the data values included in vectors 3200 and 3200 proceed through a rotation process, which results in vectors 3210 and 3230, respectively.
  • As can be seen, vector 3210 now includes data value x in its preferred slot (slot 0), and vector 3230 now includes data value y also in its preferred slot (slot 0). As such, vector 3210 may be added to vector 3230, which produces vector 3240. Vector 3240 includes data value z, which equals x+y. In one embodiment, when data value x and y are in the same slot before rotation (e.g., slot 2), the vectors may be added together, and the resultant vector may be rotated to place the summation of x+y in the preferred slot.
  • In accordance with a preferred code generation method, a compiler rotates or shifts scalar data items in a common slot position. In one embodiment, this is the preferred slot. In accordance with another embodiment, the preferred slot is chosen to be the leftmost word slot, allowing the ability to rotate words into the preferred slot with a single quadword rotate instruction using low-order address bits (stored in the preferred slot of a vector register) to specify the rotate count for word data. Those skilled in the art will appreciate the ability to adapt concepts of the preferred slot to other locations within a vector, and appropriate alignment rotate or shift sequences accordingly. Those skilled in the art will also understand the use of other instruction sequences, such as those including but not limited to a vector permute instruction.
  • FIG. 32B is a diagram showing a read-modify-write sequence to store a scalar data via a quadword-oriented storage interface. To process scalar operations, an APU uses a compiler-generated layering sequence for memory accesses when it merges scalar data into memory. The APU inserts a scalar element into a quadword by using a shuffle instruction to route bytes of data from the two input registers.
  • To implement the read-modify-write sequence, the APU also supports a “generate controls for insertion” instruction, which generates a control word to steer the shuffle instruction to insert a byte, halfword or word element into a position the memory address specifies. As can be seen in FIG. 32B, vector 3250 includes data value z, which is inserted in vector 3260's “slot 3” (shown in vector 3290). Control word 3270 instructs shuffle 3280 as to where to insert vector 3250 into vector 3260.
  • In accordance with a code preferred code generation method, the compiler generates 1) an instruction to insert a scalar data value in a vector, 2) a load instruction to load an aligned vector from memory, 3) a shuffle instruction to insert the scalar data item to be stored into the vector retrieved from memory under control of the control word, and 4) a store instruction to store the aligned vector to memory.
  • FIG. 33A is a diagram showing an instruction that adds two data values. Instruction 3300 includes addition opcode in bits 0-10, which instructs an APU to add the operand stored in register RA to the operand stored in register RB, and place the result in register RT such that:
    RT0:3←RA0:3+RB0:3
    RT4:7←RA4:7+RB4:7
    RT8:11←RA8:11+RB8:11
    RT12:15←RA12:15+RB12:15
  • In accordance with the specification format for the APU architecture, the following notations, functions and symbols are used:
      • RT, RA, RB, . . . : Registers referred to by the RT, RA, RB specifiers referred to by the instruction word;
      • I10: Bit string corresponding to the I10 field of the instruction being processed;
      • I16, D, E, . . . : Other named fields as specified in the instruction specification correspond to the value of the specified field in the instruction;
      • LSLR: Value of the local store limit register;
      • PC: Current instruction's address;
      • x0:3: Superscript indicates a byte range of the expression being superscripted;
      • ←: Assignment;
      • +: Addition;
      • ∥: Concatenation of bit strings;
      • &: Logical AND;
      • RepLeftBit(bitsting, integer): Replicate the leftmost bit of the bitstring to widen the argument to a bit string with integer bits;
      • LocStor(address,integer): Access the local store and return integer consecutive bytes starting at the specified address—those skilled in the art will understand that in another embodiment, a local store access can be replaced by an access to any memory hierarchy;
      • 0xHEXDIGITS: Indicates a hexadecimal number with hexadecimal digits HEXDIGITS (0 . . . 9 and A . . . F);
      • 0bBINDIGITS: Indicates a binary number with binary digits BINDIGITS (0 and 1);
  • Those and other features of the APU specification will be further clarified by consulting an exemplary APU implementation as provided by the Cell SPU in accordance with the “Cell SPU specification V1.0” and incorporated herein by reference.
  • FIG. 33B is a flowchart showing steps taken in reading an entire vector and operating on the entire vector, e.g., to implement the vector addition instruction of FIG. 33A. Instruction execution commences at 3310, whereupon logic reads at least one entire vector operand from a vector register file at step 3320. For example, the instruction may specify that two vectors be added in accordance with the instruction shown in FIG. 33A.
  • At step 3330, processing operates on the entire vector. Using the example shown in FIG. 33A, processing adds the operand included in register RA to the operand included in register RB by performing an addition of the data elements in respective slots, and places the result in register RT. Processing then writes the entire vector back to memory (at step 3340) and ends at 3350.
  • FIG. 34A is a diagram showing an instruction that loads a data value to a register file. Instruction 3400 includes load opcode in bits 0-7, which instructs an APU to add a signed value included in the I10 field (with four zero bits appended) to the value in register RA's preferred slot, while forcing the rightmost four bits of the sum to zero to compute a memory address:
    LSA←(RepLeftBit(I10∥0b0000,32)+RA0:3)&LSLR&0xFFFFFFF0
    RT←LocStor(LSA, 16)
  • In one embodiment, this address is further formatted by masking it with the contents specified by a local store limit register. The resultant address is used to access a local store (or other memory) and the sixteen bytes at the local store address are placed into register RT (see FIGS. 34B, 35, and corresponding text for further details).
  • FIG. 34B is a diagram showing steps taken in loading a data value into a register file location. Processing commences at 3410, whereupon processing reads an address base register at 3420 (specified by the RA field shown in FIG. 34A). At step 3430, processing selects the address field (located in the preferred slot of the vector register specified by the RB field shown in FIG. 34A) and, at step 3440, processing selects an address displacement (located in the I10 field shown in FIG. 34A).
  • Processing generates an address at step 3450 by adding the base address stored in the specified slot of register RA to the displacement I10, and formats the address under control of a local store limit register (LSLR), which identifies the local storage area's ending and specifies which address bits to use. At step 3470, processing accesses memory corresponding to the formatted address, selects data words (step 3480), and stores the data words in a register file (step 3490). Processing ends at 3495 (see FIG. 35 and corresponding text for further details).
  • FIG. 35 is a diagram showing an apparatus corresponding to the execution of a load instruction. Instruction word register 3500 provides an integer (located in FIG. 34A's I10 field) as displacement. The displacement is formatted by formatting logic 3520. Instruction word register 3500 also provides control information to control logic 3510, which instructs multiplexer 3550 to select the formatted displacement generated by formatting logic 3520 for D-form memory instructions, or an address offset contained in the preferred slot of a specified index vector register (3540).
  • Those skilled in the art will appreciate that in one embodiment, different displacement sizes may be supported, and displacement formatting is performed under control of control logic 3510 to select a specific displacement format based on the instruction's opcode. Vector fields that are not required (such as slots 1, 2, 3 of vector registers 3540 and 3555) are labeled as “DC”, which means “don't care”. In parts of the logic flow, bits corresponding to DC values are not implemented.
  • Multiplexer 3557 selects an address base from one of a preferred slot of a specified vector register storing the base address for D-form loads (i.e., those using a register+displacement addressing format) and X-form loads (i.e., those using a register+register addressing format), 0 for A-form loads (i.e., those specifying an absolute address in their displacement field), and IAR 3556 for R-form loads (i.e., those using a instruction address+displacement addressing format). Vector 3555 includes a base address in the preferred slot (the vector operand is specified by FIG. 34A's RA field).
  • Adder 3559 adds the output of multiplexer 3550, which provides an address offset, to the output of multiplexer 3557, which provides an address base. Address formatting 3570 receives the generated address, and formats the address based upon local store limit register (LSLR) 3560. LSLR 3560 specifies which bits of the generated address to use as an actual address. The resulting address is stored in data address register 3575.
  • Once formatted, processing accesses local store 3580 through data address register 3575 and selects a quadword with selection logic 3590. In turn, processing stores the quadword to a vector register file entry that is specified by the RT field shown in FIG. 34A.
  • FIG. 36A is a diagram showing an instruction that stores a quadword. Instruction 3600 includes quadword store opcode in bits 0-10, which instructs an APU to generate an address by adding register RA's preferred slot value to register RB's preferred slot value while forcing the sum's rightmost four bits to zero. The contents of register RT are then stored at the generated local store address such that:
    LSA←(RA0:3+RB0:3) & LSLR & 0xFFFFFFF0
    LocStor(LSA,16)←RT
  • FIG. 36B is a diagram showing an apparatus for executing a quadword store instruction. Vector 3630 includes an address offset in its preferred slot. Vector operand 3630 is provided by a vector register file entry that is specified by instruction 3600's RB field shown in FIG. 36A.
  • Instruction word register 3610 provides control information to control logic 3615, which instructs multiplexer 3640 to select an address offset based on an instruction form. Multiplexer 3640 selects either the formatted displacement generated by formatting logic 3620 for D-form memory instructions, or an address offset contained in the preferred slot of a specified index vector register (3630).
  • Multiplexer 3658 selects an address base from one of a preferred slot of a specified vector register 3650 storing the base address for D-form loads (i.e., those using a register+displacement addressing format) and X-form loads (i.e., those using a register+register addressing format), 0 for A-form loads (i.e., those specifying an absolute address in their displacement field), and IAR 3656 for R-form loads (i.e., those using a instruction address + displacement addressing format). Vector 3650 includes a base address in the preferred slot. The vector operand is specified by instruction 3600's RA field shown in FIG. 36A.
  • Adder 3665 adds multiplexer 3658's output, which provides an address base, to multiplexer 3640's output. Address formatting 3670 receives the generated address, and formats the address based upon local store limit register (LSLR) 3660, storing the result in data address register DAR 3680.
  • Once formatted, processing stores store value 3655 in memory at the address specified by DAR 3680 in one of a local store, a memory hierarchy, or store queue using data address register 3680. Store value 3655 is the value of a vector register file entry specified by instruction 3600's RT field shown in FIG. 36A.
  • FIG. 37A is a diagram showing an instruction that performs a branch relative and set link instruction. Instruction 3700 includes branch relative and set link instruction opcode in bits 0-8. The address of the target instruction, which is computed by adding the value of the I16 field, extended on the right with two zero bits whose result is treated as a signed quantity, to the address of the branch relative and set link instruction. The preferred slot of register RT is set to the address of the byte following the branch relative and set link instruction, and the remaining slots of register RT are set to zero such that:
    RT0:3←(PC+4) & LSLR
    RT4:15←0
    PC←(PC+RepLeftBit(I16 ∥0b00,32)) & LSLR
  • FIG. 37B is a flowchart showing steps taken in performing a branch relative and set link instruction. Processing commences at 3710, whereupon processing selects an instruction address at step 3715. At step 3720, processing formats a link address vector and, at step 3725, processing writes to the vector registers.
  • In step 3726, processing formats and selects a displacement value (corresponding to instruction 3700's I16 value shown in FIG. 37A). At step 3727, processing generates an address and, at step 3728, formats the address under control of a local store limit register LSLR. Processing initiates instruction execution logic from the computed address at step 3729, and ends at 3730.
  • FIG. 37C is a diagram showing an apparatus for executing the “set link” function of a branch relative and set link operation (corresponding to steps 3715 through 3725 shown in FIG. 37B), which is performed in parallel to a “branch relative” function in accordance with the apparatus shown in FIG. 38B (corresponding to steps 3726 through 3729 shown in FIG. 37B).
  • Instruction address register (IAR+4) 3760 includes a branch instruction address incremented by four to indicate the address of the next instruction following the branch instruction. This value may be derived from the output of the IAR incrementing logic 3865 (shown in FIG. 38B), which is present in instruction fetch issue logic 2940 (shown in FIG. 29). Link address 3770 includes the value of IAR+4 register 3760 along with ninety-six other bits to form a 16B vector value to be written to the vector register file. In accordance with one embodiment, these ninety-six bits are defined to be “0”.
  • In yet another embodiment, all four slots receive a copy of the IAR+4 link value. In another embodiment, they correspond the prior value of these bits in the RT register. In accordance with another embodiment, they correspond to the value of bits 0 to 95 of the RT register prior to instruction execution (i.e., the leftmost 96 b of the RT register), which allows the implementation of a history of the last four link addresses in a single vector register. In accordance with yet another embodiment, they have an undefined value. Those skilled in the art will be able to derive yet other values to define these bits within the scope of the present invention.
  • Instruction word register 3740 provides control information to control logic 3750, which instructs result multiplexer 3780 to select link address 3770. In turn, link address 3770 is stored in a vector register file, whereby the preferred slot of the register file entry specified by instruction 3600's RT field shown in FIG. 36A is set to the address of the byte following the branch relative and set link instruction.
  • FIG. 38A is a diagram showing an instruction that performs a branch indirect instruction. Instruction 3800 includes branch indirect instruction opcode in bits 0-10. Execution proceeds with the instruction addressed by register RA's preferred slot. The rightmost two bits of the value in register RA are ignored and assumed to be zero. Interrupts may be enabled or disabled with the E or D feature bits, which are located in bits 12 and 13, respectively, such that:
    PC←RA0:3 & LSLR & 0xFFFFFFFC
    if (E=0 and D=0) int. enable status is not modified
    if (E=1 and D=0) enable interrupts at target
    if (E=0 and D=1) disable interrupts at target
    if (E=1 and D=1) reserved
  • FIG. 38B is a diagram showing an apparatus that executes a branch indirect instruction. The components described herein are also used to perform branch operations of other branch instructions, such as those of the “branch relative and set link” instruction shown in FIG. 37A and corresponding to steps 3726 through 3729 shown in FIG. 37B. The components may also perform a “branch conditional” instruction, which is shown in FIGS. 39A and 39B, and corresponding to step 3960 shown in FIG. 39C.
  • Vector 3840, which is received from a vector register file, includes a base address in its preferred slot. The base address is a value corresponding to the vector register file entry specified by instruction 3800's RA field shown in FIG. 38A, which corresponds to a target address for register indirect branches. Instruction word register 3810 provides bits corresponding to a displacement field which is formatted by formatting logic 3825, such as that which is included in instruction 3700's I16 field shown in FIG. 37A. The displacement formatting is added to instruction address register 3830, resulting in a branch address that corresponds to R-form branches (PC-relative branches).
  • Instruction word register 3810 also provides control information to control logic 3820, which instructs multiplexer 3850 to select between multiple address forms, such as a register-indirect specified address (vector 3840) for indirect branches, or computed PC-relative branch address computed by adder 3835 for R-form branches. Multiplexer 3850 may select addresses corresponding to yet other addressing forms, such as an absolute address (not shown). Multiplexer 3850 may also select a sequential next instruction address computed by adder 3835 if no branch instruction is present.
  • Multiplexer 3850's selection feeds into instruction fetch address register (IFAR) 3860. When processing does not branch, processing proceeds through loop 3865 whereupon processing increments and processes the next instruction address. IFAR 3860's output feeds into address formatting 3880, which is formatted using LSLR 3870. Once formatted, the formatted address is passed to memory hierarchy. A number of memory hierarchies may be employed, including ones corresponding to a traditional cache-based main memory hierarchy or a novel local store based memory hierarchy using DMA engines to transfer instructions streams from and to main memory.
  • FIG. 39A is a diagram showing an instruction that performs a branch if not zero word instruction. Instruction 3900 includes branch if not zero word instruction opcode in bits 0-8. During this instruction, processing examines register RT's preferred slot and branches to a target if the preferred slot value is not zero. The address of the branch target is computed by appending two zero bits to the value of instruction 3900's I16 field, extending it on the left with copies of the most-significant bit, and adding it to the value of the instruction counter such that:
    If RT0:3!=0 then
    PC←(PC+RepLeftBit(I16∥0b00)) & LSLR & 0xFFFFFFFC
    else
    PC←(PC+4) & LSLR
    End
  • FIG. 39B is a diagram showing an instruction that performs a branch if zero halfword instruction. Instruction 3900 includes branch if zero halfword instruction opcode in bits 0-8. During this instruction, processing examines register RT's preferred slot and branches to a target if the low-order half-word value in the preferred slot is not zero. The address of the branch target is computed by appending two zero bits to the value of instruction 3900's I16 field, extending it on the left with copies of the most-significant bit, and adding it to the value of the instruction counter such that:
    If RT2:3!=0 then
    PC←(PC+RepLeftBit(I16∥0b00)) & LSLR & 0xFFFFFFFC
    else
    PC←(PC+4) & LSLR
    End
  • FIG. 39C is a flowchart showing steps taken in performing conditional branch instructions. Processing commences at 3920, whereupon processing reads a vector register at step 3930. At step 3940, processing computes decision inputs and, at step 3950, processing computes a decision under control of a decision width indicator and/or a condition indicator.
  • Processing, at step 3960, computes a target address, and at step 3970, processing transfers control if the decision indicates by updating the IFAR. Processing ends at 3980.
  • FIG. 40 is a diagram showing an apparatus for executing a conditional branch instruction. Instruction word register 4000 provides displacement formatting 4010, such as that which is included in instruction 3900's or 3910's I16 field shown in FIGS. 39A and 39B, respectively. The displacement formatting is added to instruction address register 4020, resulting in a branch address that feeds into multiplexer 4060.
  • Vector 4030, which is received from a vector register file, includes the value corresponding to the vector register file entry specified by instruction 3900's or 3910's RT field shown in FIGS. 39A and 39B, respectively. Vector 4030's preferred slot is examined via zero detect logic 4040, whose results are fed into branch decision logic 4050. Branch decision logic 4050 uses the results to determine whether to have multiplexer 4060 select between the branch target address and the sequential next instruction address generated by an address incrementer. Those skilled in the art will appreciate that yet other decision logic may be used that corresponds to the zero-detect logic of blocks 4040 and 4050 within the scope of the present invention and that the exemplary embodiment described herein is non-limiting.
  • Multiplexer 4060's output feeds into instruction fetch address register (IFAR) 4070. When processing does not branch, processing proceeds through loop 4075 whereupon processing increments and processes the next instruction address. When processing does branch, IFAR 4070's output feeds into address formatting 4090, which is formatted using LSLR 4080. Once formatted, the formatted address is passed to memory hierarchy.
  • In accordance with another aspect of the present embodiment, at least one compare instruction is implemented. In accordance with a preferred embodiment, at least one compare instruction operates on a plurality of slot values that generate a data mask in each slot that corresponds to “all 0” when the condition is not true, and corresponds to “all 1” when the condition is true.
  • In accordance with another embodiment, the data mask vector registered by the compare instruction feeds a select instruction. In another embodiment, the data mask provides a condition input to conditional branch instructions, such as those in accordance with the instructions shown in FIGS. 39A and 39B.
  • In accordance with another embodiment, a minimal set of branch instructions are implemented, such as a first “compare for equality,” and a second “compare for ordering” (e.g., “compare greater than”). In this embodiment:
    • “compare for not equal” is performed by generating a code sequence for a false result of the “compare for equality”;
    • “compare A for less than B” is implemented by generating a code sequence testing;
    • “compare B greater than A” is implemented by inverting the A and B operands to the compare instruction;
    • “compare A greater-or-equal B” is implemented by generating code to test for a false result of “compare B greater than A”; and
    • “compare A less-or-equal B” is implemented by generating code to test for a false result of “compare A greater than B.”
  • In at least one embodiment, two test for ordering, in accordance with comparison of signed and unsigned numbers, are provided.
  • While particular embodiments of the present invention have been shown and described, it will be obvious to those skilled in the art that, based upon the teachings herein, that changes and modifications may be made without departing from this invention and its broader aspects. Therefore, the appended claims are to encompass within their scope all such changes and modifications as are within the true spirit and scope of this invention. Furthermore, it is to be understood that the invention is solely defined by the appended claims. It will be understood by those with skill in the art that if a specific number of an introduced claim element is intended, such intent will be explicitly recited in the claim, and in the absence of such recitation no such limitation is present.

Claims (20)

1. A microprocessor comprising:
a shared data path that processes a vector register, wherein the vector register is selected from a plurality of vector registers included in a vector register file, and wherein each of the vector registers in the vector register file stores one of two types of data at a point in time, wherein the first type of data is parallel data and the second type of data is scalar data, the parallel data corresponding to data-parallel processing of an input program, and the scalar data corresponding to processing of a single data value of the input program; and
instruction execution logic coupled to the shared data path, the instruction execution logic processing the selected vector register in its entirety.
2. The microprocessor of claim 1 wherein the vector register file further comprises:
a plurality of read data access ports, wherein each of the plurality of read data access ports require reading from the selected vector register in its entirety in response to a read request; and
a plurality of write data access ports, wherein each of the plurality of write data access ports require writing to the selected vector register in its entirety in response to a write request.
3. The microprocessor of claim 2 wherein the instruction execution logic is adapted to:
execute a memory access instruction, wherein the memory access instruction performs reading address information from one of the plurality of read data access ports.
4. The microprocessor of claim 3 wherein the memory access instruction uses an address generated by adding a data address to a data address offset, the data address included in a preferred slot of the selected vector register, which is read using a first read data access port included in the plurality of read data access ports, and the data address offset included in a preferred slot of a second vector register included in the plurality of vector registers, which is read using a second read data access port included in the plurality of read data access ports.
5. The microprocessor of claim 2 wherein the instruction execution logic executes a data formatting instruction to insert at least one byte of data stored in the selected vector register into a second vector included in the plurality of vector registers, and wherein data formatting information corresponds to a relative position of at least one byte of information relative to a memory address of a vector that is stored in one of a local store and a memory, and wherein said first vector, second vector and the data formatting information are retrieved using the plurality of read data access ports.
6. The microprocessor of claim 2 further comprising:
branch execution logic that executes a branch to register instruction, wherein the branch execution logic retrieves a branch target address from a preferred slot of the selected vector register using one of the plurality of read data access ports.
7. The microprocessor of claim 2 further comprising:
branch execution logic that executes a conditional branch wherein a branch condition is retrieved by testing a condition stored in the preferred slot of the selected vector register using one of the plurality of read data access ports.
8. The microprocessor of claim 2 further comprising:
branch execution logic that executes a branch and link instruction wherein a link address is stored in the selected vector register using one of the plurality of write data access ports.
9. The microprocessor of claim 8 wherein the vector register file includes a plurality of slots, and wherein a write of link information includes:
an address in a first slot included in the plurality of slots; and
wherein the remaining plurality of slots include values that are selected from the group consisting of a zero value, a predefined value, and an undefined value.
10. The microprocessor of claim 9 wherein the microprocessor performs a code sequence that implements a function call return by executing a branch to register with a register specification corresponding to a specified register of a link instruction.
11. The microprocessor of claim 2 wherein a select instruction performs a bitwise select between two data values under control of a selection word stored in the selected vector register using one of the plurality of read ports.
12. The microprocessor of claim 1 wherein a rotate or shift instruction is performed under control of a count specified in a preferred slot, the count or shift being adapted to ignore high-order bits of the count.
13. The microprocessor of claim 12 wherein the rotate or shift instruction is used to implement a load and align sequence of a scalar word with a two instruction sequence comprising:
a first load instruction receiving an address to load an aligned vector word by ignoring a set of low order bits corresponding to a vector length; and
a second rotate or shift instruction receiving the address to align the scalar word by performing a rotate specified by the address, and ignoring high-order bits of the address that do not correspond to a vector length.
14. The microprocessor of claim 13 wherein data formatting information is used to extract data included in an entire vector register that is included in the plurality of vector registers.
15. The microprocessor of claim 1 wherein a data access instruction specifies an address in a local store operatively coupled to the microprocessor.
16. The microprocessor of claim 1 wherein the microprocessor executes an instruction to generate a data vector in the vector register file, wherein a first data word included in the data vector is used for additional computation, and at least one word in the data vector is not used for additional computation.
17. The microprocessor of claim 1 wherein a preferred slot is specified as a location to obtain a single data word from the selected vector register for instructions requiring a single data word input.
18. The microprocessor of claim 17 wherein the preferred slot is located at a leftmost word element slot included in each of the plurality of vector registers.
19. The microprocessor of claim 1 wherein each of the plurality of vector registers stores one of a plurality of data types at a point in time.
20. A computer-implemented method comprising:
selecting a vector register from a plurality of vector registers included in a vector register file, wherein each of the vector registers in the vector register file stores one of two types of data at a point in time, wherein the first type of data is parallel data and the second type of data is scalar data, the parallel data corresponding to data-parallel processing of an input program, and the scalar data corresponding to processing of a single data value of the input program; and
processing the data included in the selected vector register in its entirety, wherein the processing includes obtaining the scalar data from a predefined range of bytes included in the selected vector register.
US11/461,554 2001-03-22 2006-08-01 System and Method for Executing Instructions Utilizing a Preferred Slot Alignment Mechanism Abandoned US20070186077A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/461,554 US20070186077A1 (en) 2001-03-22 2006-08-01 System and Method for Executing Instructions Utilizing a Preferred Slot Alignment Mechanism

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/816,004 US7233998B2 (en) 2001-03-22 2001-03-22 Computer architecture and software cells for broadband networks
US11/461,554 US20070186077A1 (en) 2001-03-22 2006-08-01 System and Method for Executing Instructions Utilizing a Preferred Slot Alignment Mechanism

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/816,004 Continuation-In-Part US7233998B2 (en) 2001-03-22 2001-03-22 Computer architecture and software cells for broadband networks

Publications (1)

Publication Number Publication Date
US20070186077A1 true US20070186077A1 (en) 2007-08-09

Family

ID=25219414

Family Applications (11)

Application Number Title Priority Date Filing Date
US09/816,004 Expired - Lifetime US7233998B2 (en) 2001-03-22 2001-03-22 Computer architecture and software cells for broadband networks
US10/670,824 Expired - Fee Related US7392511B2 (en) 2001-03-22 2003-09-25 Dynamically partitioning processing across plurality of heterogeneous processors
US11/050,038 Abandoned US20050138325A1 (en) 2001-03-22 2005-02-03 Multi-chip module with third dimension interconnect
US11/065,707 Expired - Fee Related US7496673B2 (en) 2001-03-22 2005-02-24 SIMD-RISC microprocessor architecture
US11/065,537 Expired - Fee Related US7509457B2 (en) 2001-03-22 2005-02-24 Non-homogeneous multi-processor system with shared memory
US11/171,757 Abandoned US20050268048A1 (en) 2001-03-22 2005-06-30 System and method for using a plurality of heterogeneous processors in a common computer system
US11/461,554 Abandoned US20070186077A1 (en) 2001-03-22 2006-08-01 System and Method for Executing Instructions Utilizing a Preferred Slot Alignment Mechanism
US11/716,845 Expired - Fee Related US7720982B2 (en) 2001-03-22 2007-03-12 Computer architecture and software cells for broadband networks
US11/841,852 Abandoned US20070288701A1 (en) 2001-03-22 2007-08-20 System and Method for Using a Plurality of Heterogeneous Processors in a Common Computer System
US12/049,323 Abandoned US20080256275A1 (en) 2001-03-22 2008-03-15 Multi-Chip Module With Third Dimension Interconnect
US12/116,628 Expired - Fee Related US8091078B2 (en) 2001-03-22 2008-05-07 Dynamically partitioning processing across a plurality of heterogeneous processors

Family Applications Before (6)

Application Number Title Priority Date Filing Date
US09/816,004 Expired - Lifetime US7233998B2 (en) 2001-03-22 2001-03-22 Computer architecture and software cells for broadband networks
US10/670,824 Expired - Fee Related US7392511B2 (en) 2001-03-22 2003-09-25 Dynamically partitioning processing across plurality of heterogeneous processors
US11/050,038 Abandoned US20050138325A1 (en) 2001-03-22 2005-02-03 Multi-chip module with third dimension interconnect
US11/065,707 Expired - Fee Related US7496673B2 (en) 2001-03-22 2005-02-24 SIMD-RISC microprocessor architecture
US11/065,537 Expired - Fee Related US7509457B2 (en) 2001-03-22 2005-02-24 Non-homogeneous multi-processor system with shared memory
US11/171,757 Abandoned US20050268048A1 (en) 2001-03-22 2005-06-30 System and method for using a plurality of heterogeneous processors in a common computer system

Family Applications After (4)

Application Number Title Priority Date Filing Date
US11/716,845 Expired - Fee Related US7720982B2 (en) 2001-03-22 2007-03-12 Computer architecture and software cells for broadband networks
US11/841,852 Abandoned US20070288701A1 (en) 2001-03-22 2007-08-20 System and Method for Using a Plurality of Heterogeneous Processors in a Common Computer System
US12/049,323 Abandoned US20080256275A1 (en) 2001-03-22 2008-03-15 Multi-Chip Module With Third Dimension Interconnect
US12/116,628 Expired - Fee Related US8091078B2 (en) 2001-03-22 2008-05-07 Dynamically partitioning processing across a plurality of heterogeneous processors

Country Status (7)

Country Link
US (11) US7233998B2 (en)
EP (2) EP2296090B1 (en)
JP (2) JP2002366533A (en)
KR (2) KR100891063B1 (en)
CN (1) CN100412848C (en)
TW (1) TWI266200B (en)
WO (1) WO2002077845A1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070033369A1 (en) * 2005-08-02 2007-02-08 Fujitsu Limited Reconfigurable integrated circuit device
US20080114968A1 (en) * 2006-11-01 2008-05-15 Gonion Jeffry E Instructions for efficiently accessing unaligned vectors
US20080114969A1 (en) * 2006-11-01 2008-05-15 Gonion Jeffry E Instructions for efficiently accessing unaligned partial vectors
US20110161548A1 (en) * 2009-12-29 2011-06-30 International Business Machines Corporation Efficient Multi-Level Software Cache Using SIMD Vector Permute Functionality
GB2516995A (en) * 2013-12-18 2015-02-11 Imagination Tech Ltd Task execution in a SIMD processing unit
CN105204820A (en) * 2014-06-26 2015-12-30 英特尔公司 Instructions and logic to provide general purpose gf(256) simd cryptographic arithmetic functionality
TWI597620B (en) * 2007-12-28 2017-09-01 英特爾股份有限公司 Instructions and logic to provide general purpose gf (256) simd cryptographic arithmetic functionality
CN107408035A (en) * 2015-03-27 2017-11-28 英特尔公司 Apparatus and method for being communicated between thread journey

Families Citing this family (218)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6526491B2 (en) 2001-03-22 2003-02-25 Sony Corporation Entertainment Inc. Memory protection system and method for computer architecture for broadband networks
US6826662B2 (en) * 2001-03-22 2004-11-30 Sony Computer Entertainment Inc. System and method for data synchronization for a computer architecture for broadband networks
US7233998B2 (en) * 2001-03-22 2007-06-19 Sony Computer Entertainment Inc. Computer architecture and software cells for broadband networks
JP4193990B2 (en) * 2002-03-22 2008-12-10 ディーリング,マイケル,エフ. Scalable high-performance 3D graphics
US8015303B2 (en) * 2002-08-02 2011-09-06 Astute Networks Inc. High data rate stateful protocol processing
US8151278B1 (en) 2002-10-17 2012-04-03 Astute Networks, Inc. System and method for timer management in a stateful protocol processing system
US7596621B1 (en) * 2002-10-17 2009-09-29 Astute Networks, Inc. System and method for managing shared state using multiple programmed processors
US7814218B1 (en) 2002-10-17 2010-10-12 Astute Networks, Inc. Multi-protocol and multi-format stateful processing
US7225301B2 (en) * 2002-11-22 2007-05-29 Quicksilver Technologies External memory controller node
US8776050B2 (en) * 2003-08-20 2014-07-08 Oracle International Corporation Distributed virtual machine monitor for managing multiple virtual resources across multiple physical nodes
US20050044301A1 (en) * 2003-08-20 2005-02-24 Vasilevsky Alexander David Method and apparatus for providing virtual computing services
US20050071828A1 (en) * 2003-09-25 2005-03-31 International Business Machines Corporation System and method for compiling source code for multi-processor environments
US7516456B2 (en) * 2003-09-25 2009-04-07 International Business Machines Corporation Asymmetric heterogeneous multi-threaded operating system
US7549145B2 (en) * 2003-09-25 2009-06-16 International Business Machines Corporation Processor dedicated code handling in a multi-processor environment
US20050071578A1 (en) * 2003-09-25 2005-03-31 International Business Machines Corporation System and method for manipulating data with a plurality of processors
US7236998B2 (en) * 2003-09-25 2007-06-26 International Business Machines Corporation System and method for solving a large system of dense linear equations
US7475257B2 (en) * 2003-09-25 2009-01-06 International Business Machines Corporation System and method for selecting and using a signal processor in a multiprocessor system to operate as a security for encryption/decryption of data
US7146529B2 (en) * 2003-09-25 2006-12-05 International Business Machines Corporation System and method for processor thread acting as a system service processor
US7444632B2 (en) * 2003-09-25 2008-10-28 International Business Machines Corporation Balancing computational load across a plurality of processors
US7415703B2 (en) * 2003-09-25 2008-08-19 International Business Machines Corporation Loading software on a plurality of processors
US7523157B2 (en) * 2003-09-25 2009-04-21 International Business Machines Corporation Managing a plurality of processors as devices
US7496917B2 (en) * 2003-09-25 2009-02-24 International Business Machines Corporation Virtual devices using a pluarlity of processors
US7478390B2 (en) * 2003-09-25 2009-01-13 International Business Machines Corporation Task queue management of virtual devices using a plurality of processors
US7318218B2 (en) * 2003-09-25 2008-01-08 International Business Machines Corporation System and method for processor thread for software debugging
US7389508B2 (en) * 2003-09-25 2008-06-17 International Business Machines Corporation System and method for grouping processors and assigning shared memory space to a group in heterogeneous computer environment
US7321958B2 (en) * 2003-10-30 2008-01-22 International Business Machines Corporation System and method for sharing memory by heterogeneous processors
US7793276B2 (en) * 2003-11-14 2010-09-07 Intel Corporation Apparatus and method for automatically parallelizing network applications through pipelining transformation
US7856632B2 (en) * 2004-01-29 2010-12-21 Klingman Edwin E iMEM ASCII architecture for executing system operators and processing data operators
US7823161B2 (en) * 2004-01-29 2010-10-26 Klingman Edwin E Intelligent memory device with variable size task architecture
US7984442B2 (en) * 2004-01-29 2011-07-19 Klingman Edwin E Intelligent memory device multilevel ASCII interpreter
US7908603B2 (en) * 2004-01-29 2011-03-15 Klingman Edwin E Intelligent memory with multitask controller and memory partitions storing task state information for processing tasks interfaced from host processor
US8108870B2 (en) 2004-01-29 2012-01-31 Klingman Edwin E Intelligent memory device having ASCII-named task registers mapped to addresses of a task
US7882504B2 (en) * 2004-01-29 2011-02-01 Klingman Edwin E Intelligent memory device with wakeup feature
TW200532466A (en) * 2004-02-03 2005-10-01 Sony Corp Information processing device, information processing method, information processing system and information processing program of accessible media
JP2005235019A (en) 2004-02-20 2005-09-02 Sony Corp Network system, distributed processing method and information processor
US8028292B2 (en) 2004-02-20 2011-09-27 Sony Computer Entertainment Inc. Processor task migration over a network in a multi-processor system
US20090006156A1 (en) * 2007-01-26 2009-01-01 Herbert Dennis Hunt Associating a granting matrix with an analytic platform
US7565653B2 (en) 2004-02-20 2009-07-21 Sony Computer Entertainment Inc. Methods and apparatus for processor task migration in a multi-processor system
US7614053B2 (en) 2004-02-20 2009-11-03 Sony Computer Entertainment Inc. Methods and apparatus for task management in a multi-processor system
JP2005242598A (en) * 2004-02-26 2005-09-08 Sony Corp Information processing system and method, and computer program
JP4586526B2 (en) 2004-02-27 2010-11-24 ソニー株式会社 Information processing apparatus, information processing method, information processing system, and information processing program
JP4325438B2 (en) 2004-03-01 2009-09-02 ソニー株式会社 Information processing system, information processing method, and computer program
CN100418074C (en) * 2004-03-05 2008-09-10 菲尼萨公司 Hierarchical and byte-configurable memory in an optical transceiver
US8224639B2 (en) 2004-03-29 2012-07-17 Sony Computer Entertainment Inc. Methods and apparatus for achieving thermal management using processing task scheduling
JP4855655B2 (en) * 2004-06-15 2012-01-18 株式会社ソニー・コンピュータエンタテインメント Processing management apparatus, computer system, distributed processing method, and computer program
JP2006004008A (en) * 2004-06-15 2006-01-05 Sony Computer Entertainment Inc Processing control unit, computer system, distributed processing method, and computer program
JP3805344B2 (en) * 2004-06-22 2006-08-02 株式会社ソニー・コンピュータエンタテインメント Processor, information processing apparatus and processor control method
JP4465598B2 (en) 2004-07-05 2010-05-19 ソニー株式会社 Integrated circuit, processing control method therefor, and program
JP4552540B2 (en) * 2004-07-09 2010-09-29 ソニー株式会社 Content recording apparatus, content reproducing apparatus, content recording method, content reproducing method, and program
JP2006031480A (en) * 2004-07-16 2006-02-02 Sony Corp Information processing system, information processing method, and computer program thereof
JP4599923B2 (en) 2004-07-16 2010-12-15 ソニー株式会社 Information processing system, information processing method, and computer program
JP2006033646A (en) 2004-07-20 2006-02-02 Sony Corp Information processing system, information processing method, and computer program
JP2006031525A (en) 2004-07-20 2006-02-02 Sony Corp Information processor, information processing method and program
US7363397B2 (en) * 2004-08-26 2008-04-22 International Business Machines Corporation System and method for DMA controller with multi-dimensional line-walking functionality
US7240137B2 (en) * 2004-08-26 2007-07-03 International Business Machines Corporation System and method for message delivery across a plurality of processors
JPWO2006025255A1 (en) * 2004-08-30 2008-05-08 松下電器産業株式会社 Client terminal device for executing multi-participant application, group formation method, and group formation program
JPWO2006025322A1 (en) * 2004-08-30 2008-05-08 松下電器産業株式会社 Recording device
JP2006079280A (en) 2004-09-08 2006-03-23 Sony Corp Information processing system and method, information processor, information processing method and program
US7240182B2 (en) * 2004-09-16 2007-07-03 International Business Machines Corporation System and method for providing a persistent function server
US8001294B2 (en) * 2004-09-28 2011-08-16 Sony Computer Entertainment Inc. Methods and apparatus for providing a compressed network in a multi-processing system
US20060070069A1 (en) * 2004-09-30 2006-03-30 International Business Machines Corporation System and method for sharing resources between real-time and virtualizing operating systems
US7290112B2 (en) * 2004-09-30 2007-10-30 International Business Machines Corporation System and method for virtualization of processor resources
JP2007334379A (en) 2004-10-05 2007-12-27 Matsushita Electric Ind Co Ltd Processing device
US7506325B2 (en) 2004-10-07 2009-03-17 International Business Machines Corporation Partitioning processor resources based on memory usage
US20060080661A1 (en) * 2004-10-07 2006-04-13 International Business Machines Corporation System and method for hiding memory latency
EP1805627B1 (en) * 2004-10-15 2011-02-16 Sony Computer Entertainment Inc. Methods and apparatus for supporting multiple configurations in a multi-processor system
US7512699B2 (en) * 2004-11-12 2009-03-31 International Business Machines Corporation Managing position independent code using a software framework
US8020141B2 (en) * 2004-12-06 2011-09-13 Microsoft Corporation Operating-system process construction
US7512936B2 (en) * 2004-12-17 2009-03-31 Sap Aktiengesellschaft Code diversification
US7689814B2 (en) 2004-12-20 2010-03-30 Sony Computer Entertainment Inc. Methods and apparatus for disabling error countermeasures in a processing system
US7644255B2 (en) * 2005-01-13 2010-01-05 Sony Computer Entertainment Inc. Method and apparatus for enable/disable control of SIMD processor slices
US7337291B2 (en) * 2005-01-14 2008-02-26 Microsoft Corporation Software memory access control
JP2008527465A (en) * 2005-01-19 2008-07-24 松下電器産業株式会社 Electronic circuit
US20060184296A1 (en) * 2005-02-17 2006-08-17 Hunter Engineering Company Machine vision vehicle wheel alignment systems
US20080162877A1 (en) * 2005-02-24 2008-07-03 Erik Richter Altman Non-Homogeneous Multi-Processor System With Shared Memory
US8239238B2 (en) * 2005-03-21 2012-08-07 Microsoft Corporation Methods and apparatus for encoding a work item type definition
US8849968B2 (en) * 2005-06-20 2014-09-30 Microsoft Corporation Secure and stable hosting of third-party extensions to web services
US8037474B2 (en) * 2005-09-27 2011-10-11 Sony Computer Entertainment Inc. Task manager with stored task definition having pointer to a memory address containing required code data related to the task for execution
US7522168B2 (en) * 2005-09-27 2009-04-21 Sony Computer Entertainment Inc. Cell processor task and data management
US7659899B2 (en) * 2005-08-08 2010-02-09 Via Technologies, Inc. System and method to manage data processing stages of a logical graphics pipeline
US7659898B2 (en) * 2005-08-08 2010-02-09 Via Technologies, Inc. Multi-execution resource graphics processor
US20070030280A1 (en) * 2005-08-08 2007-02-08 Via Technologies, Inc. Global spreader and method for a parallel graphics processor
US20070030277A1 (en) * 2005-08-08 2007-02-08 Via Technologies, Inc. Method for processing vertex, triangle, and pixel graphics data packets
US7421566B2 (en) * 2005-08-12 2008-09-02 International Business Machines Corporation Implementing instruction set architectures with non-contiguous register file specifiers
US20070038984A1 (en) 2005-08-12 2007-02-15 Gschwind Michael K Methods for generating code for an architecture encoding an extended register specification
US7694107B2 (en) * 2005-08-18 2010-04-06 Hewlett-Packard Development Company, L.P. Dynamic performance ratio proportionate distribution of threads with evenly divided workload by homogeneous algorithm to heterogeneous computing units
US7506123B1 (en) 2005-09-27 2009-03-17 Sony Computer Entertainment Inc. Method and system for performing memory copy function on a cell processor
US7975269B2 (en) * 2005-09-27 2011-07-05 Sony Computer Entertainment Inc. Parallel processor methods and apparatus
US8141076B2 (en) * 2005-09-27 2012-03-20 Sony Computer Entertainment Inc. Cell processor methods and apparatus
US7734827B2 (en) * 2005-09-27 2010-06-08 Sony Computer Entertainment, Inc. Operation of cell processors
US8316220B2 (en) * 2005-09-27 2012-11-20 Sony Computer Entertainment Inc. Operating processors over a network
US20070094435A1 (en) * 2005-10-25 2007-04-26 Fry Walter G Computer docking system and method
US20070094495A1 (en) * 2005-10-26 2007-04-26 Microsoft Corporation Statically Verifiable Inter-Process-Communicative Isolated Processes
US8074231B2 (en) 2005-10-26 2011-12-06 Microsoft Corporation Configuration of isolated extensions and device drivers
JP2007156987A (en) * 2005-12-07 2007-06-21 Toshiba Corp Software component and software component management system
US8595747B2 (en) * 2005-12-29 2013-11-26 Sony Computer Entertainment Inc. Efficient task scheduling by assigning fixed registers to scheduler
US7725682B2 (en) * 2006-01-10 2010-05-25 International Business Machines Corporation Method and apparatus for sharing storage and execution resources between architectural units in a microprocessor using a polymorphic function unit
US8622837B2 (en) 2006-03-20 2014-01-07 Sony Computer Entertainment America Llc Managing game metrics and authorizations
US8010953B2 (en) * 2006-04-04 2011-08-30 International Business Machines Corporation Method for compiling scalar code for a single instruction multiple data (SIMD) execution engine
US8904151B2 (en) 2006-05-02 2014-12-02 International Business Machines Corporation Method and apparatus for the dynamic identification and merging of instructions for execution on a wide datapath
EP2030111A4 (en) * 2006-06-22 2010-09-22 Nordnav Technologies Ab Software-based spread spectrum signal processing
US8032898B2 (en) * 2006-06-30 2011-10-04 Microsoft Corporation Kernel interface with categorized kernel objects
US8132169B2 (en) * 2006-07-21 2012-03-06 International Business Machines Corporation System and method for dynamically partitioning an application across multiple processing elements in a heterogeneous processing environment
US7987464B2 (en) * 2006-07-25 2011-07-26 International Business Machines Corporation Logical partitioning and virtualization in a heterogeneous architecture
US7529849B2 (en) * 2006-07-27 2009-05-05 International Business Machines Corporation Reduction of message flow between bus-connected consumers and producers
US8028290B2 (en) 2006-08-30 2011-09-27 International Business Machines Corporation Multiple-core processor supporting multiple instruction set architectures
US20080126761A1 (en) * 2006-09-26 2008-05-29 Fontenot Nathan D Method and apparatus for scheduling optimization
US7934179B2 (en) * 2006-11-20 2011-04-26 Et International, Inc. Systems and methods for logic verification
US11244727B2 (en) * 2006-11-29 2022-02-08 Rambus Inc. Dynamic memory rank configuration
US9390158B2 (en) * 2007-01-26 2016-07-12 Information Resources, Inc. Dimensional compression using an analytic platform
US9262503B2 (en) 2007-01-26 2016-02-16 Information Resources, Inc. Similarity matching of products based on multiple classification schemes
US20090006788A1 (en) * 2007-01-26 2009-01-01 Herbert Dennis Hunt Associating a flexible data hierarchy with an availability condition in a granting matrix
US8160984B2 (en) * 2007-01-26 2012-04-17 Symphonyiri Group, Inc. Similarity matching of a competitor's products
US10621203B2 (en) * 2007-01-26 2020-04-14 Information Resources, Inc. Cross-category view of a dataset using an analytic platform
US8504598B2 (en) 2007-01-26 2013-08-06 Information Resources, Inc. Data perturbation of non-unique values
US20090006309A1 (en) 2007-01-26 2009-01-01 Herbert Dennis Hunt Cluster processing of an aggregated dataset
US7747634B2 (en) * 2007-03-08 2010-06-29 Microsoft Corporation Rich data tunneling
US8789063B2 (en) 2007-03-30 2014-07-22 Microsoft Corporation Master and subordinate operating system kernels for heterogeneous multiprocessor systems
US20080244507A1 (en) * 2007-03-30 2008-10-02 Microsoft Corporation Homogeneous Programming For Heterogeneous Multiprocessor Systems
JP4913685B2 (en) * 2007-07-04 2012-04-11 株式会社リコー SIMD type microprocessor and control method of SIMD type microprocessor
CN101369233A (en) * 2007-08-14 2009-02-18 国际商业机器公司 Program compiling method and compiler
US8156307B2 (en) 2007-08-20 2012-04-10 Convey Computer Multi-processor system having at least one processor that comprises a dynamically reconfigurable instruction set
US9015399B2 (en) 2007-08-20 2015-04-21 Convey Computer Multiple data channel memory module architecture
US8095735B2 (en) 2008-08-05 2012-01-10 Convey Computer Memory interleave for heterogeneous computing
US8122229B2 (en) * 2007-09-12 2012-02-21 Convey Computer Dispatch mechanism for dispatching instructions from a host processor to a co-processor
US8561037B2 (en) 2007-08-29 2013-10-15 Convey Computer Compiler for generating an executable comprising instructions for a plurality of different instruction sets
US9710384B2 (en) * 2008-01-04 2017-07-18 Micron Technology, Inc. Microprocessor architecture having alternative memory access paths
CN101398803B (en) 2007-09-28 2011-04-06 国际商业机器公司 Management data movement method and cell wideband engine processor using the method
US8296743B2 (en) * 2007-12-17 2012-10-23 Intel Corporation Compiler and runtime for heterogeneous multiprocessor systems
US7916295B2 (en) * 2008-09-03 2011-03-29 Macronix International Co., Ltd. Alignment mark and method of getting position reference for wafer
US8755515B1 (en) 2008-09-29 2014-06-17 Wai Wu Parallel signal processing system and method
US8438404B2 (en) 2008-09-30 2013-05-07 International Business Machines Corporation Main processing element for delegating virtualized control threads controlling clock speed and power consumption to groups of sub-processing elements in a system such that a group of sub-processing elements can be designated as pseudo main processing element
US8732716B2 (en) 2008-09-30 2014-05-20 International Business Machines Corporation Virtualization across physical partitions of a multi-core processor (MCP)
US8205066B2 (en) * 2008-10-31 2012-06-19 Convey Computer Dynamically configured coprocessor for different extended instruction set personality specific to application program with shared memory storing instructions invisibly dispatched from host processor
US20100115233A1 (en) * 2008-10-31 2010-05-06 Convey Computer Dynamically-selectable vector register partitioning
US20100153934A1 (en) * 2008-12-12 2010-06-17 Peter Lachner Prefetch for systems with heterogeneous architectures
JP5167410B2 (en) * 2009-01-09 2013-03-21 株式会社日立製作所 Storage system having a plurality of microprocessors, and processing sharing method in the storage system
US8667476B1 (en) * 2009-01-20 2014-03-04 Adaptmicrosys LLC Instruction grouping and ungrouping apparatus and method for an adaptive microprocessor system
US8881157B2 (en) * 2009-09-11 2014-11-04 Empire Technology Development Llc Allocating threads to cores based on threads falling behind thread completion target deadline
US9189282B2 (en) * 2009-04-21 2015-11-17 Empire Technology Development Llc Thread-to-core mapping based on thread deadline, thread demand, and hardware characteristics data collected by a performance counter
US9569270B2 (en) * 2009-04-21 2017-02-14 Empire Technology Development Llc Mapping thread phases onto heterogeneous cores based on execution characteristics and cache line eviction counts
US20110066830A1 (en) * 2009-09-11 2011-03-17 Andrew Wolfe Cache prefill on thread migration
KR101603202B1 (en) * 2009-09-21 2016-03-14 삼성전자주식회사 Device and method for data relocatable remote procedure call in heterogeneous multiprocessor system on chip
US8423745B1 (en) 2009-11-16 2013-04-16 Convey Computer Systems and methods for mapping a neighborhood of data to general registers of a processing element
US9043769B2 (en) * 2009-12-28 2015-05-26 Hyperion Core Inc. Optimization of loops and data flow sections in multi-core processor environment
US20110202845A1 (en) * 2010-02-17 2011-08-18 Anthony Jon Mountjoy System and method for generating and distributing three dimensional interactive content
US9645854B2 (en) * 2010-12-15 2017-05-09 Advanced Micro Devices, Inc. Dynamic work partitioning on heterogeneous processing devices
US9235458B2 (en) 2011-01-06 2016-01-12 International Business Machines Corporation Methods and systems for delegating work objects across a mixed computer environment
US9052968B2 (en) * 2011-01-17 2015-06-09 International Business Machines Corporation Methods and systems for linking objects across a mixed computer environment
US9038088B2 (en) * 2011-03-10 2015-05-19 Nec Laboratories America, Inc. Load balancing on hetrogenous processing cluster based on exceeded load imbalance factor threshold determined by total completion time of multiple processing phases
US8914515B2 (en) 2011-10-28 2014-12-16 International Business Machines Corporation Cloud optimization using workload analysis
CN103999063B (en) 2011-12-16 2016-10-05 国际商业机器公司 The Memory Sharing of processor
US10430190B2 (en) 2012-06-07 2019-10-01 Micron Technology, Inc. Systems and methods for selectively controlling multithreaded execution of executable code segments
US20140068581A1 (en) * 2012-08-30 2014-03-06 International Business Machines Corporation Optimized division of work among processors in a heterogeneous processing system
US8938796B2 (en) 2012-09-20 2015-01-20 Paul Case, SR. Case secure computer architecture
US20140189666A1 (en) * 2012-12-27 2014-07-03 Scott A. Krig Automatic pipeline composition
US9329900B2 (en) 2012-12-28 2016-05-03 Intel Corporation Hetergeneous processor apparatus and method
US9448829B2 (en) 2012-12-28 2016-09-20 Intel Corporation Hetergeneous processor apparatus and method
US9672046B2 (en) 2012-12-28 2017-06-06 Intel Corporation Apparatus and method for intelligently powering heterogeneous processor components
US9639372B2 (en) * 2012-12-28 2017-05-02 Intel Corporation Apparatus and method for heterogeneous processors mapping to virtual cores
US8909219B2 (en) 2013-01-17 2014-12-09 Qualcomm Incorporated Methods and apparatus for providing unified wireless communication through efficient memory management
US9578664B1 (en) 2013-02-07 2017-02-21 Sprint Communications Company L.P. Trusted signaling in 3GPP interfaces in a network function virtualization wireless communication system
US9727345B2 (en) 2013-03-15 2017-08-08 Intel Corporation Method for booting a heterogeneous system and presenting a symmetric core view
US9600346B2 (en) 2013-07-10 2017-03-21 International Business Machines Corporation Thread scheduling across heterogeneous processing elements with resource mapping
KR101529877B1 (en) * 2013-07-26 2015-06-18 서울시립대학교 산학협력단 Methods and system for remote data operations
US20150095842A1 (en) 2013-09-30 2015-04-02 Microsoft Corporation Extendable blade sequence along pannable canvas direction
CN107408331B (en) * 2014-04-04 2021-06-18 通用电子有限公司 System and method for configuring remote control functions of a portable device
WO2016090554A1 (en) * 2014-12-09 2016-06-16 Intel Corporation System and method for execution of application code compiled according to two instruction set architectures
WO2016154795A1 (en) 2015-03-27 2016-10-06 Intel Corporation Efficient address translation
US9565168B1 (en) * 2015-05-05 2017-02-07 Sprint Communications Company L.P. System and method of a trusted computing operation mode
US9686240B1 (en) 2015-07-07 2017-06-20 Sprint Communications Company L.P. IPv6 to IPv4 data packet migration in a trusted security zone
US9749294B1 (en) 2015-09-08 2017-08-29 Sprint Communications Company L.P. System and method of establishing trusted operability between networks in a network functions virtualization environment
US10542115B1 (en) 2015-10-01 2020-01-21 Sprint Communications Company L.P. Securing communications in a network function virtualization (NFV) core network
US9811686B1 (en) 2015-10-09 2017-11-07 Sprint Communications Company L.P. Support systems interactions with virtual network functions in a trusted security zone
US9781016B1 (en) 2015-11-02 2017-10-03 Sprint Communications Company L.P. Dynamic addition of network function services
US9733911B2 (en) * 2015-11-11 2017-08-15 National Instruments Corporation Value transfer between program variables using dynamic memory resource mapping
US11513805B2 (en) * 2016-08-19 2022-11-29 Wisconsin Alumni Research Foundation Computer architecture with synergistic heterogeneous processors
US10250498B1 (en) 2016-10-03 2019-04-02 Sprint Communications Company L.P. Session aggregator brokering of data stream communication
US10365824B2 (en) 2017-04-24 2019-07-30 Advanced Micro Devices, Inc. Silent active page migration faults
US10310985B2 (en) 2017-06-26 2019-06-04 Ati Technologies Ulc Systems and methods for accessing and managing a computing system memory
US10318344B2 (en) * 2017-07-13 2019-06-11 Advanced Micro Devices, Inc. Predicting page migration granularity for heterogeneous memory systems
EP3662474B1 (en) * 2017-07-30 2023-02-22 NeuroBlade Ltd. A memory-based distributed processor architecture
US10348488B1 (en) 2017-08-25 2019-07-09 Sprint Communications Company L.P. Tiered distributed ledger technology (DLT) in a network function virtualization (NFV) core network
US11526278B2 (en) 2017-12-21 2022-12-13 Advanced Micro Devices, Inc. Adaptive page close prediction
US10691658B2 (en) 2018-05-09 2020-06-23 International Business Machines Corporation Automatically optimizing resource usage on a target database management system to increase workload performance
US11379155B2 (en) 2018-05-24 2022-07-05 Alibaba Group Holding Limited System and method for flash storage management using multiple open page stripes
US11816043B2 (en) 2018-06-25 2023-11-14 Alibaba Group Holding Limited System and method for managing resources of a storage device and quantifying the cost of I/O requests
CN108900639B (en) * 2018-08-10 2021-04-06 乾元云硕科技(深圳)有限公司 Cluster type cloud computing system
US11327929B2 (en) 2018-09-17 2022-05-10 Alibaba Group Holding Limited Method and system for reduced data movement compression using in-storage computing and a customized file system
US10915370B2 (en) * 2018-11-29 2021-02-09 International Business Machines Corporation Inter-host communication without data copy in disaggregated systems
US11061735B2 (en) 2019-01-02 2021-07-13 Alibaba Group Holding Limited System and method for offloading computation to storage nodes in distributed system
WO2020150728A1 (en) * 2019-01-18 2020-07-23 Quadric. Io, Inc. Systems and methods for virtually partitioning a machine perception and dense algorithm integrated circuit
US10860223B1 (en) 2019-07-18 2020-12-08 Alibaba Group Holding Limited Method and system for enhancing a distributed storage system by decoupling computation and network tasks
US11617282B2 (en) 2019-10-01 2023-03-28 Alibaba Group Holding Limited System and method for reshaping power budget of cabinet to facilitate improved deployment density of servers
US11449455B2 (en) 2020-01-15 2022-09-20 Alibaba Group Holding Limited Method and system for facilitating a high-capacity object storage system with configuration agility and mixed deployment flexibility
US11379447B2 (en) 2020-02-06 2022-07-05 Alibaba Group Holding Limited Method and system for enhancing IOPS of a hard disk drive system based on storing metadata in host volatile memory and data in non-volatile memory using a shared controller
US11449386B2 (en) 2020-03-20 2022-09-20 Alibaba Group Holding Limited Method and system for optimizing persistent memory on data retention, endurance, and performance for host memory
US11301173B2 (en) 2020-04-20 2022-04-12 Alibaba Group Holding Limited Method and system for facilitating evaluation of data access frequency and allocation of storage device resources
US11385833B2 (en) 2020-04-20 2022-07-12 Alibaba Group Holding Limited Method and system for facilitating a light-weight garbage collection with a reduced utilization of resources
US11281575B2 (en) 2020-05-11 2022-03-22 Alibaba Group Holding Limited Method and system for facilitating data placement and control of physical addresses with multi-queue I/O blocks
US11494115B2 (en) 2020-05-13 2022-11-08 Alibaba Group Holding Limited System method for facilitating memory media as file storage device based on real-time hashing by performing integrity check with a cyclical redundancy check (CRC)
US11461262B2 (en) 2020-05-13 2022-10-04 Alibaba Group Holding Limited Method and system for facilitating a converged computation and storage node in a distributed storage system
US11556277B2 (en) 2020-05-19 2023-01-17 Alibaba Group Holding Limited System and method for facilitating improved performance in ordering key-value storage with input/output stack simplification
US11507499B2 (en) 2020-05-19 2022-11-22 Alibaba Group Holding Limited System and method for facilitating mitigation of read/write amplification in data compression
US11263132B2 (en) 2020-06-11 2022-03-01 Alibaba Group Holding Limited Method and system for facilitating log-structure data organization
US11422931B2 (en) 2020-06-17 2022-08-23 Alibaba Group Holding Limited Method and system for facilitating a physically isolated storage unit for multi-tenancy virtualization
US11354200B2 (en) 2020-06-17 2022-06-07 Alibaba Group Holding Limited Method and system for facilitating data recovery and version rollback in a storage device
US11354233B2 (en) 2020-07-27 2022-06-07 Alibaba Group Holding Limited Method and system for facilitating fast crash recovery in a storage device
US11372774B2 (en) 2020-08-24 2022-06-28 Alibaba Group Holding Limited Method and system for a solid state drive with on-chip memory integration
US11847205B1 (en) 2020-10-26 2023-12-19 T-Mobile Innovations Llc Trusted 5G network function virtualization of virtual network function elements embedded on a system-on-chip
US11467995B2 (en) * 2020-12-01 2022-10-11 Micron Technology, Inc. Pin mapping for memory devices
US11487465B2 (en) 2020-12-11 2022-11-01 Alibaba Group Holding Limited Method and system for a local storage engine collaborating with a solid state drive controller
US11734115B2 (en) 2020-12-28 2023-08-22 Alibaba Group Holding Limited Method and system for facilitating write latency reduction in a queue depth of one scenario
US11416365B2 (en) 2020-12-30 2022-08-16 Alibaba Group Holding Limited Method and system for open NAND block detection and correction in an open-channel SSD
US11726699B2 (en) 2021-03-30 2023-08-15 Alibaba Singapore Holding Private Limited Method and system for facilitating multi-stream sequential read performance improvement with reduced read amplification
US11461173B1 (en) 2021-04-21 2022-10-04 Alibaba Singapore Holding Private Limited Method and system for facilitating efficient data compression based on error correction code and reorganization of data placement
US11476874B1 (en) 2021-05-14 2022-10-18 Alibaba Singapore Holding Private Limited Method and system for facilitating a storage server with hybrid memory for journaling and data storage
US11902129B1 (en) 2023-03-24 2024-02-13 T-Mobile Usa, Inc. Vendor-agnostic real-time monitoring of telecommunications networks

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4633389A (en) * 1982-02-03 1986-12-30 Hitachi, Ltd. Vector processor system comprised of plural vector processors
US4823286A (en) * 1987-02-12 1989-04-18 International Business Machines Corporation Pixel data path for high performance raster displays with all-point-addressable frame buffers
US5261113A (en) * 1988-01-25 1993-11-09 Digital Equipment Corporation Apparatus and method for single operand register array for vector and scalar data processing operations
US5423051A (en) * 1992-09-24 1995-06-06 International Business Machines Corporation Execution unit with an integrated vector operation capability
US5437042A (en) * 1992-10-02 1995-07-25 Compaq Computer Corporation Arrangement of DMA, interrupt and timer functions to implement symmetrical processing in a multiprocessor computer system
US5537606A (en) * 1995-01-31 1996-07-16 International Business Machines Corporation Scalar pipeline replication for parallel vector element processing
US5778241A (en) * 1994-05-05 1998-07-07 Rockwell International Corporation Space vector data path
US6006315A (en) * 1996-10-18 1999-12-21 Samsung Electronics Co., Ltd. Computer methods for writing a scalar value to a vector
US6192467B1 (en) * 1998-03-31 2001-02-20 Intel Corporation Executing partial-width packed data instructions
US20020032848A1 (en) * 2000-04-07 2002-03-14 Nintendo Co., Ltd. Method and apparatus for obtaining a scalar value directly from a vector register
US20030037221A1 (en) * 2001-08-14 2003-02-20 International Business Machines Corporation Processor implementation having unified scalar and SIMD datapath
US6530011B1 (en) * 1999-10-20 2003-03-04 Sandcraft, Inc. Method and apparatus for vector register with scalar values
US20040193838A1 (en) * 2003-03-31 2004-09-30 Patrick Devaney Vector instructions composed from scalar instructions
US20050021926A1 (en) * 2000-04-07 2005-01-27 Nintendo Co., Ltd. Method and apparatus for efficient loading and storing of vectors
US20050125647A1 (en) * 2003-12-09 2005-06-09 Arm Limited Endianess compensation within a SIMD data processing system
US7197625B1 (en) * 1997-10-09 2007-03-27 Mips Technologies, Inc. Alignment and ordering of vector elements for single instruction multiple data processing
US20070124722A1 (en) * 2005-11-29 2007-05-31 Gschwind Michael K Compilation for a SIMD RISC processor
US20070174825A1 (en) * 2006-01-25 2007-07-26 Eichenberger Alexandre E Apparatus and method for optimizing scalar code executed on a SIMD engine by alignment of SIMD slots
US20090150647A1 (en) * 2007-12-07 2009-06-11 Eric Oliver Mejdrich Processing Unit Incorporating Vectorizable Execution Unit

Family Cites Families (253)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US517972A (en) * 1894-04-10 Billiard-ball
US3576544A (en) * 1968-10-18 1971-04-27 Ibm Storage protection system
US4073005A (en) * 1974-01-21 1978-02-07 Control Data Corporation Multi-processor computer system
US4037214A (en) * 1976-04-30 1977-07-19 International Business Machines Corporation Key register controlled accessing system
JPS5412643A (en) 1977-06-30 1979-01-30 Hitachi Ltd Main memory protective device
JPS54146555A (en) 1978-05-09 1979-11-15 Nec Corp Data transfer system between processors
US4332009A (en) * 1980-01-21 1982-05-25 Mostek Corporation Memory protection system
JPS5812613B2 (en) 1980-02-08 1983-03-09 工業技術院長 Parallel data processing device
JPS5835295B2 (en) 1980-03-03 1983-08-02 オムロン株式会社 Data transfer method in master-slave system
US4430705A (en) * 1980-05-23 1984-02-07 International Business Machines Corp. Authorization mechanism for establishing addressability to information in another address space
JPS576952A (en) 1980-06-16 1982-01-13 Nippon Telegr & Teleph Corp <Ntt> Storage protecting system
JPS57176456A (en) 1981-04-22 1982-10-29 Fanuc Ltd Data processing system
EP0063626B1 (en) * 1981-04-28 1985-07-17 International Business Machines Corporation Bus arrangement for interconnectiong circuit chips
US4394727A (en) 1981-05-04 1983-07-19 International Business Machines Corporation Multi-processor task dispatching apparatus
AU542447B2 (en) * 1982-02-27 1985-02-21 Fujitsu Limited System for controlling key storage unit
JPS5958700A (en) * 1982-09-29 1984-04-04 Fujitsu Ltd Memory protection judge method
US4545016A (en) * 1983-01-07 1985-10-01 Tandy Corporation Memory management system
US4622631B1 (en) * 1983-12-30 1996-04-09 Recognition Int Inc Data processing system having a data coherence solution
US5159700A (en) * 1984-01-16 1992-10-27 Texas Instruments Incorporated Substrate with optical communication systems between chips mounted thereon and monolithic integration of optical I/O on silicon substrates
JPS61180352A (en) 1984-12-30 1986-08-13 Fujitsu Ltd Down-loading system for program
IT1184553B (en) * 1985-05-07 1987-10-28 Honeywell Inf Systems SYSTEM ARCHITECTURE WITH MULTIPLE PROCESSORS
US4972314A (en) * 1985-05-20 1990-11-20 Hughes Aircraft Company Data flow signal processor method and apparatus
US4945479A (en) * 1985-07-31 1990-07-31 Unisys Corporation Tightly coupled scientific processing system
US4732446A (en) * 1985-10-02 1988-03-22 Lamar Gipson Electrical circuit and optical data buss
CA1280829C (en) * 1985-11-13 1991-02-26 Toshio Matsumoto Main storage access control system for virtual computing function system
US4787057A (en) * 1986-06-04 1988-11-22 General Electric Company Finite element analysis method using multiprocessor for matrix manipulations with special handling of diagonal elements
JPS6319058A (en) 1986-07-11 1988-01-26 Fujitsu Ltd Memory device
JP2960415B2 (en) * 1987-05-22 1999-10-06 株式会社日立製作所 Memory protection method and device
JPS6412364U (en) 1987-07-11 1989-01-23
JPH0719704Y2 (en) 1987-07-31 1995-05-10 シャープ株式会社 Production control device for production line
US4862407A (en) * 1987-10-05 1989-08-29 Motorola, Inc. Digital signal processing apparatus
JP2594979B2 (en) * 1987-10-23 1997-03-26 株式会社日立製作所 Multi-processor system
JP2677589B2 (en) 1988-02-26 1997-11-17 株式会社東芝 Portable electronic device and IC chip
US5056000A (en) * 1988-06-21 1991-10-08 International Parallel Machines, Inc. Synchronized parallel processing with shared memory
JPH0212361A (en) 1988-06-29 1990-01-17 Fujitsu Ltd Parallel computer system using hierarchical bus
US4939682A (en) * 1988-07-15 1990-07-03 The Boeing Company Integrated electro-optic arithmetic/logic unit and method for making the same
US5179669A (en) * 1988-08-22 1993-01-12 At&T Bell Laboratories Multiprocessor interconnection and access arbitration arrangement
JP2837413B2 (en) 1988-08-24 1998-12-16 株式会社日立メディコ CT device having multiple terminal CPUs
EP0369052A1 (en) * 1988-11-17 1990-05-23 International Business Machines Corporation Data base access system
JPH02210542A (en) 1989-02-10 1990-08-21 Fujitsu Ltd Execution control system for virtual computer system
CA2011807C (en) * 1989-03-20 1999-02-23 Katsumi Hayashi Data base processing system using multiprocessor system
EP0424618A3 (en) * 1989-10-24 1992-11-19 International Business Machines Corporation Input/output system
JPH0640324B2 (en) * 1989-10-26 1994-05-25 インターナショナル・ビジネス・マシーンズ・コーポレーション Multiprocessor system and process synchronization method thereof
US5037173A (en) * 1989-11-22 1991-08-06 Texas Instruments Incorporated Optical interconnection network
US5179702A (en) * 1989-12-29 1993-01-12 Supercomputer Systems Limited Partnership System and method for controlling a highly parallel multiprocessor using an anarchy based scheduler for parallel execution thread scheduling
EP0509055A4 (en) * 1990-01-05 1994-07-27 Maspar Computer Corp Parallel processor memory system
EP0461926B1 (en) 1990-06-15 1998-09-02 Compaq Computer Corporation Multilevel inclusion in multilevel cache hierarchies
US5093879A (en) * 1990-06-22 1992-03-03 International Business Machines Corporation Electro-optical connectors
US5144691A (en) * 1990-07-20 1992-09-01 Cray Research, Inc. Computer signal interconnect apparatus
DE69131763T2 (en) * 1990-08-31 2000-03-09 Texas Instruments Inc Method for communication between processors of a multiprocessor system
EP0481735A3 (en) * 1990-10-19 1993-01-13 Array Technology Corporation Address protection circuit
US5765011A (en) * 1990-11-13 1998-06-09 International Business Machines Corporation Parallel processing system having a synchronous SIMD processing with processing elements emulating SIMD operation using individual instruction streams
US5630162A (en) * 1990-11-13 1997-05-13 International Business Machines Corporation Array processor dotted communication network based on H-DOTs
KR940004404B1 (en) * 1990-11-30 1994-05-25 삼성전자 주식회사 Nonvolatile semiconductor memory device
US5131054A (en) * 1991-01-09 1992-07-14 Thinking Machines Corporation Character recognition system using massively parallel computer that identifies a query character using degree of similarity with plurality of training characters of known identity
US5978831A (en) 1991-03-07 1999-11-02 Lucent Technologies Inc. Synchronous multiprocessor using tasks directly proportional in size to the individual processors rates
JPH04284754A (en) * 1991-03-14 1992-10-09 Fujitsu Ltd Atm exchange
JPH04288643A (en) 1991-03-18 1992-10-13 Nec Corp Memory mapping system for multi-processor system
US5440752A (en) * 1991-07-08 1995-08-08 Seiko Epson Corporation Microprocessor architecture with a switch network for data transfer between cache, memory port, and IOU
DE69232431T2 (en) 1991-07-12 2002-09-19 Matsushita Electric Ind Co Ltd Device for solving linear system of equations
US5519875A (en) * 1991-08-08 1996-05-21 Hitachi, Ltd. Distributed processing system for modules, each having modularized objects
US5630128A (en) * 1991-08-09 1997-05-13 International Business Machines Corporation Controlled scheduling of program threads in a multitasking operating system
US5185861A (en) 1991-08-19 1993-02-09 Sequent Computer Systems, Inc. Cache affinity scheduler
JPH0554009A (en) 1991-08-29 1993-03-05 Nec Eng Ltd Program load system
US5361370A (en) * 1991-10-24 1994-11-01 Intel Corporation Single-instruction multiple-data processor having dual-ported local memory architecture for simultaneous data transmission on local memory ports and global port
JP3364937B2 (en) 1991-11-29 2003-01-08 株式会社日立製作所 Parallel processing unit
US5359721A (en) * 1991-12-18 1994-10-25 Sun Microsystems, Inc. Non-supervisor mode cross address space dynamic linking
US5394547A (en) * 1991-12-24 1995-02-28 International Business Machines Corporation Data processing system and method having selectable scheduler
US5268973A (en) * 1992-01-21 1993-12-07 The University Of Texas System Wafer-scale optical bus
JPH05242057A (en) 1992-02-27 1993-09-21 Sanyo Electric Co Ltd Method for starting multi-processor system
JPH05324589A (en) * 1992-03-25 1993-12-07 Nippon Sheet Glass Co Ltd Parallel computer device and optical coupling device
JPH0612333A (en) 1992-06-25 1994-01-21 Hitachi Ltd Storage protection system of information processor
JPH0644110A (en) 1992-07-23 1994-02-18 Fuji Xerox Co Ltd Installing method
JPH08506198A (en) 1993-01-22 1996-07-02 ユニバーシティ コーポレイション フォーアトモスフェリック リサーチ Multi-pipeline multi-processor system
JP2826028B2 (en) * 1993-01-28 1998-11-18 富士通株式会社 Distributed memory processor system
EP0610583A1 (en) * 1993-02-08 1994-08-17 International Business Machines Corporation Multizone relocation facility computer memory system
US5619671A (en) * 1993-04-19 1997-04-08 International Business Machines Corporation Method and apparatus for providing token controlled access to protected pages of memory
US5438513A (en) * 1993-11-19 1995-08-01 Chrysler Corporation Automotive electronics test system
US5487146A (en) * 1994-03-08 1996-01-23 Texas Instruments Incorporated Plural memory access address generation employing guide table entries forming linked list
US5560030A (en) 1994-03-08 1996-09-24 Texas Instruments Incorporated Transfer processor with transparency
JP3639323B2 (en) * 1994-03-31 2005-04-20 富士通株式会社 Simultaneous linear equation calculation processing method and computer using memory distributed parallel computer
US5692193A (en) 1994-03-31 1997-11-25 Nec Research Institute, Inc. Software architecture for control of highly parallel computer systems
JPH07287064A (en) 1994-04-20 1995-10-31 Mitsubishi Electric Corp Radar signal processor
US5513337A (en) * 1994-05-25 1996-04-30 Intel Corporation System for protecting unauthorized memory accesses by comparing base memory address with mask bits and having attribute bits for identifying access operational mode and type
US5535419A (en) * 1994-05-27 1996-07-09 Advanced Micro Devices Sytem and method for merging disk change data from a floppy disk controller with data relating to an IDE drive controller
DE19580738T1 (en) * 1994-05-31 1996-08-22 Fujitsu Ltd System and method for executing jobs between different operating systems
JPH0895757A (en) 1994-09-19 1996-04-12 Internatl Business Mach Corp <Ibm> Method and apparatus for loading of master server program for microkernel data processing system
US5754817A (en) 1994-09-29 1998-05-19 Intel Corporation Execution in place of a file stored non-contiguously in a non-volatile memory
US5548798A (en) * 1994-11-10 1996-08-20 Intel Corporation Method and apparatus for solving dense systems of linear equations with an iterative method that employs partial multiplications using rank compressed SVD basis matrices of the partitioned submatrices of the coefficient matrix
JP3493772B2 (en) 1994-11-18 2004-02-03 富士ゼロックス株式会社 Control software specification change system
JPH08161283A (en) 1994-12-07 1996-06-21 Sony Corp Plural processor systems
US5754436A (en) * 1994-12-22 1998-05-19 Texas Instruments Incorporated Adaptive power management processes, circuits and systems
JPH08180018A (en) 1994-12-26 1996-07-12 Toshiba Corp Distributed processing system and distributed processing method
JPH08212178A (en) 1995-02-08 1996-08-20 Hitachi Ltd Parallel computer
JP2731742B2 (en) 1995-02-28 1998-03-25 甲府日本電気株式会社 Parallel computer with cluster configuration
CA2170468A1 (en) 1995-02-28 1996-08-29 Noriyuki Ando Multi-processor system with virtually addressable communication registers and controlling method thereof
JPH08249261A (en) 1995-03-08 1996-09-27 Mitsubishi Electric Corp Message management system
DE19508723A1 (en) * 1995-03-10 1996-09-12 Siemens Ag Multi-user data processing system with memory protection
KR100325658B1 (en) * 1995-03-17 2002-08-08 가부시끼가이샤 히다치 세이사꾸쇼 Processor
US5850534A (en) * 1995-06-05 1998-12-15 Advanced Micro Devices, Inc. Method and apparatus for reducing cache snooping overhead in a multilevel cache system
US5819065A (en) * 1995-06-28 1998-10-06 Quickturn Design Systems, Inc. System and method for emulating memory
US5956509A (en) * 1995-08-18 1999-09-21 Microsoft Corporation System and method for performing remote requests with an on-line service network
US6331856B1 (en) * 1995-11-22 2001-12-18 Nintendo Co., Ltd. Video game system with coprocessor providing high speed efficient 3D graphics and digital audio signal processing
FR2742248B1 (en) * 1995-12-06 1998-01-23 Thomson Multimedia Sa METHOD FOR PROCESSING DATA IN MATRIX NETWORKS IN A MOTION ESTIMATION SYSTEM
JP3786993B2 (en) * 1995-12-14 2006-06-21 株式会社日立製作所 Data storage unit and data storage device using the unit
US6886167B1 (en) * 1995-12-27 2005-04-26 International Business Machines Corporation Method and system for migrating an object between a split status and a merged status
US6088783A (en) * 1996-02-16 2000-07-11 Morton; Steven G DPS having a plurality of like processors controlled in parallel by an instruction word, and a control processor also controlled by the instruction word
JPH09198361A (en) 1996-01-23 1997-07-31 Kofu Nippon Denki Kk Multi-processor system
US5729712A (en) * 1996-01-26 1998-03-17 Unisys Corporation Smart fill system for multiple cache network
US6446070B1 (en) * 1998-02-26 2002-09-03 Sun Microsystems, Inc. Method and apparatus for dynamic distributed computing over a network
JP3926866B2 (en) * 1996-05-10 2007-06-06 株式会社ソニー・コンピュータエンタテインメント Information processing apparatus, information processing method, and drawing system
JPH09311839A (en) 1996-05-21 1997-12-02 Hitachi Ltd Data sharing system
US5940870A (en) * 1996-05-21 1999-08-17 Industrial Technology Research Institute Address translation for shared-memory multiprocessor clustering
US5787309A (en) * 1996-05-23 1998-07-28 International Business Machines Corporation Apparatus for protecting storage blocks from being accessed by unwanted I/O programs using I/O program keys and I/O storage keys having M number of bits
US5900019A (en) * 1996-05-23 1999-05-04 International Business Machines Corporation Apparatus for protecting memory storage blocks from I/O accesses
US5724551A (en) * 1996-05-23 1998-03-03 International Business Machines Corporation Method for managing I/O buffers in shared storage by structuring buffer table having entries include storage keys for controlling accesses to the buffers
AT1751U1 (en) * 1996-09-30 1997-10-27 Kuehn Eva COORDINATION SYSTEM
JPH10126771A (en) 1996-10-15 1998-05-15 Toshiba Corp Image data sending rate controlling method and image data transfer method in image data transfer system
US5745500A (en) * 1996-10-22 1998-04-28 The United States Of America As Represented By The Secretary Of The Army Built-in self testing for the identification of faulty integrated circuit chips in a multichip module
US6513057B1 (en) * 1996-10-28 2003-01-28 Unisys Corporation Heterogeneous symmetric multi-processing system
US5835775A (en) * 1996-12-12 1998-11-10 Ncr Corporation Method and apparatus for executing a family generic processor specific application
US5961628A (en) * 1997-01-28 1999-10-05 Samsung Electronics Co., Ltd. Load and store unit for a vector processor
JP3421526B2 (en) * 1997-02-14 2003-06-30 モトローラ株式会社 Data processing device
US6424988B2 (en) * 1997-02-19 2002-07-23 Unisys Corporation Multicomputer system
US6192514B1 (en) * 1997-02-19 2001-02-20 Unisys Corporation Multicomputer system
US6289434B1 (en) * 1997-02-28 2001-09-11 Cognigine Corporation Apparatus and method of implementing systems on silicon using dynamic-adaptive run-time reconfigurable circuits for processing multiple, independent data and control streams of varying rates
DE19710504C2 (en) * 1997-03-13 2001-06-13 Siemens Ag Optical-electrical module
JP3739888B2 (en) * 1997-03-27 2006-01-25 株式会社ソニー・コンピュータエンタテインメント Information processing apparatus and method
JP3681026B2 (en) * 1997-03-27 2005-08-10 株式会社ソニー・コンピュータエンタテインメント Information processing apparatus and method
US6212605B1 (en) * 1997-03-31 2001-04-03 International Business Machines Corporation Eviction override for larx-reserved addresses
GB9707861D0 (en) * 1997-04-18 1997-06-04 Certicom Corp Arithmetic processor
JPH1139215A (en) 1997-05-22 1999-02-12 Matsushita Electric Ind Co Ltd Cache memory and its control method
JPH10334055A (en) 1997-06-03 1998-12-18 Sony Corp Multiprocessor system
JP3490256B2 (en) 1997-06-12 2004-01-26 三菱電機株式会社 Agent method
US5892966A (en) * 1997-06-27 1999-04-06 Sun Microsystems, Inc. Processor complex for executing multimedia functions
US6360303B1 (en) * 1997-09-30 2002-03-19 Compaq Computer Corporation Partitioning memory shared by multiple processors of a distributed processing system
US6567839B1 (en) * 1997-10-23 2003-05-20 International Business Machines Corporation Thread switch control in a multithreaded processor system
US6209065B1 (en) * 1997-10-24 2001-03-27 Compaq Computer Corporation Mechanism for optimizing generation of commit-signals in a distributed shared-memory system
US6542926B2 (en) * 1998-06-10 2003-04-01 Compaq Information Technologies Group, L.P. Software partitioned multi-processor system with flexible resource sharing levels
US6199179B1 (en) * 1998-06-10 2001-03-06 Compaq Computer Corporation Method and apparatus for failure recovery in a multi-processor computer system
US6965974B1 (en) * 1997-11-14 2005-11-15 Agere Systems Inc. Dynamic partitioning of memory banks among multiple agents
US6173389B1 (en) * 1997-12-04 2001-01-09 Billions Of Operations Per Second, Inc. Methods and apparatus for dynamic very long instruction word sub-instruction selection for execution time parallelism in an indirect very long instruction word processor
US6128724A (en) * 1997-12-11 2000-10-03 Leland Stanford Junior University Computation using codes for controlling configurable computational circuit
KR100257046B1 (en) * 1998-03-03 2000-05-15 윤종용 An intelligent input/output controller for interface function switching
US6105111A (en) * 1998-03-31 2000-08-15 Intel Corporation Method and apparatus for providing a cache management technique
US6473897B1 (en) * 1998-04-13 2002-10-29 Intel Corporation Method and apparatus for generating multiple processor-specific code segments in a single executable
US6049668A (en) * 1998-04-13 2000-04-11 Intel Corporation Method and apparatus for supporting multiple processor-specific code segments in a single executable
US6167430A (en) * 1998-05-12 2000-12-26 Unisys Corporation Multicomputer with distributed directory and operating system
US6292822B1 (en) * 1998-05-13 2001-09-18 Microsoft Corporation Dynamic load balancing among processors in a parallel computer
US6088511A (en) * 1998-05-13 2000-07-11 Microsoft Corporation Nested parallel 2D Delaunay triangulation method
US6292935B1 (en) 1998-05-29 2001-09-18 Intel Corporation Method for fast translation of java byte codes into efficient native processor code
US6336187B1 (en) * 1998-06-12 2002-01-01 International Business Machines Corp. Storage system with data-dependent security
US6209066B1 (en) * 1998-06-30 2001-03-27 Sun Microsystems, Inc. Method and apparatus for memory allocation in a multi-threaded virtual machine
JP3224782B2 (en) 1998-08-03 2001-11-05 インターナショナル・ビジネス・マシーンズ・コーポレーション Process sharing dynamic change method and computer
US6415353B1 (en) * 1998-10-01 2002-07-02 Monolithic System Technology, Inc. Read/write buffers for complete hiding of the refresh of a semiconductor memory and method of operating same
US6643708B1 (en) * 1998-10-29 2003-11-04 International Business Machines Corporation Systems, methods and computer program products for chaining Java servlets across networks
US6480818B1 (en) * 1998-11-13 2002-11-12 Cray Inc. Debugging techniques in a multithreaded environment
US6714217B2 (en) 1998-12-18 2004-03-30 Sprint Communication Company, L.P. System and method for providing a graphical user interface to, for building, and/or for monitoring a telecommunication network
US6381693B2 (en) * 1998-12-31 2002-04-30 Intel Corp. Arrangements having firmware support for different processor types
US6381659B2 (en) * 1999-01-19 2002-04-30 Maxtor Corporation Method and circuit for controlling a first-in-first-out (FIFO) buffer using a bank of FIFO address registers capturing and saving beginning and ending write-pointer addresses
JP3790060B2 (en) 1999-01-29 2006-06-28 株式会社山武 Arithmetic processing unit
US6341338B1 (en) * 1999-02-04 2002-01-22 Sun Microsystems, Inc. Protocol for coordinating the distribution of shared memory
US6308252B1 (en) * 1999-02-04 2001-10-23 Kabushiki Kaisha Toshiba Processor method and apparatus for performing single operand operation and multiple parallel operand operation
JP4123621B2 (en) * 1999-02-16 2008-07-23 株式会社日立製作所 Main memory shared multiprocessor system and shared area setting method thereof
US6480941B1 (en) * 1999-02-23 2002-11-12 International Business Machines Corporation Secure partitioning of shared memory based multiprocessor system
US6647208B1 (en) * 1999-03-18 2003-11-11 Massachusetts Institute Of Technology Hybrid electronic/optical switch system
US6728961B1 (en) * 1999-03-31 2004-04-27 International Business Machines Corporation Method and system for dynamically load balancing a process over a plurality of peer machines
US6845498B1 (en) * 1999-05-11 2005-01-18 Microsoft Corporation Method and apparatus for sharing data files among run time environment applets in an integrated circuit card
US6477170B1 (en) * 1999-05-21 2002-11-05 Advanced Micro Devices, Inc. Method and apparatus for interfacing between systems operating under different clock regimes with interlocking to prevent overwriting of data
US6463521B1 (en) 1999-06-23 2002-10-08 Sun Microsystems, Inc. Opcode numbering for meta-data encoding
DE60039554D1 (en) * 1999-06-25 2008-09-04 Canon Res Ct France S A Distributed management of data objects in a communication network
US6446218B1 (en) * 1999-06-30 2002-09-03 B-Hub, Inc. Techniques for maintaining fault tolerance for software programs in a clustered computer system
US6467012B1 (en) * 1999-07-08 2002-10-15 International Business Machines Corporation Method and apparatus using a distributed system structure to support bus-based cache-coherence protocols for symmetric multiprocessors
US7124170B1 (en) 1999-08-20 2006-10-17 Intertrust Technologies Corp. Secure processing unit systems and methods
US6668317B1 (en) * 1999-08-31 2003-12-23 Intel Corporation Microengine for parallel processor architecture
US6578068B1 (en) * 1999-08-31 2003-06-10 Accenture Llp Load balancer in environment services patterns
US6401175B1 (en) * 1999-10-01 2002-06-04 Sun Microsystems, Inc. Shared write buffer for use by multiple processor units
JP2001167066A (en) * 1999-12-08 2001-06-22 Nec Corp Inter-processor communication method and multiprocessor system
US6577311B1 (en) * 1999-12-16 2003-06-10 Picture Iq Corporation Techniques for automatically providing a high-resolution rendering of a low resolution digital image in a distributed network
US6564328B1 (en) * 1999-12-23 2003-05-13 Intel Corporation Microprocessor with digital power throttle
US6694380B1 (en) * 1999-12-27 2004-02-17 Intel Corporation Mapping requests from a processing unit that uses memory-mapped input-output space
JP2001195230A (en) * 2000-01-14 2001-07-19 Mitsubishi Electric Corp Plotting system and semiconductor integrated circuit for performing plotting arithmetic operation
US7137115B2 (en) * 2000-01-25 2006-11-14 Fujitsu Limited Method for controlling multithreading
US6694336B1 (en) * 2000-01-25 2004-02-17 Fusionone, Inc. Data transfer and synchronization system
JP3543942B2 (en) * 2000-03-02 2004-07-21 株式会社ソニー・コンピュータエンタテインメント Image generation device
TW501045B (en) * 2000-03-03 2002-09-01 Sony Computer Entertainment Inc Entertainment device and computer system having exchangeability
JP2001319243A (en) * 2000-03-03 2001-11-16 Sony Computer Entertainment Inc Image generator, method for switching geometry processing form in the same, recording medium, computer program, and semiconductor device
US6631439B2 (en) * 2000-03-08 2003-10-07 Sun Microsystems, Inc. VLIW computer processing architecture with on-chip dynamic RAM
US7080234B2 (en) * 2000-03-08 2006-07-18 Sun Microsystems, Inc. VLIW computer processing architecture having the problem counter stored in a register file register
US6988181B2 (en) * 2000-03-08 2006-01-17 Sun Microsystems, Inc. VLIW computer processing architecture having a scalable number of register files
US6738967B1 (en) * 2000-03-14 2004-05-18 Microsoft Corporation Compiling for multiple virtual machines targeting different processor architectures
US6578155B1 (en) * 2000-03-16 2003-06-10 International Business Machines Corporation Data processing system with adjustable clocks for partitioned synchronous interfaces
US6799207B1 (en) * 2000-04-10 2004-09-28 International Business Machines Corporation Method and system for downloading software managed trees in a network processing system
US20030188045A1 (en) * 2000-04-13 2003-10-02 Jacobson Michael B. System and method for distributing storage controller tasks
US6684390B1 (en) * 2000-04-17 2004-01-27 Koninklijke Philips Electronics N.V. Multi-processor JAVA subsystem
US6950848B1 (en) * 2000-05-05 2005-09-27 Yousefi Zadeh Homayoun Database load balancing for multi-tier computer systems
US7103664B1 (en) * 2000-05-31 2006-09-05 International Business Machines Corporation Method, system and program products for ordering lists of service addresses to provide load balancing of a clustered environment
US6791555B1 (en) * 2000-06-23 2004-09-14 Micron Technology, Inc. Apparatus and method for distributed memory control in a graphics processing system
JP4640880B2 (en) 2000-07-14 2011-03-02 国立大学法人東京工業大学 Microprocessor system
US6892298B2 (en) * 2000-07-26 2005-05-10 Times N Systems, Inc. Load/store micropacket handling system
US7681018B2 (en) * 2000-08-31 2010-03-16 Intel Corporation Method and apparatus for providing large register address space while maximizing cycletime performance for a multi-threaded register file set
US6704926B1 (en) 2000-09-28 2004-03-09 International Business Machines Corporation Bimodal Java just-in-time complier
JP2002207685A (en) * 2000-10-12 2002-07-26 Sony Computer Entertainment Inc Virtual world system, server computer and information processor
US6895479B2 (en) * 2000-11-15 2005-05-17 Texas Instruments Incorporated Multicore DSP device having shared program memory with conditional write protection
US6433043B1 (en) * 2000-11-28 2002-08-13 Transitions Optical, Inc. Removable imbibition composition of photochromic compound and kinetic enhancing additive
US6779049B2 (en) * 2000-12-14 2004-08-17 International Business Machines Corporation Symmetric multi-processing system with attached processing units being able to access a shared memory without being structurally configured with an address translation mechanism
US6865631B2 (en) * 2000-12-14 2005-03-08 International Business Machines Corporation Reduction of interrupts in remote procedure calls
US7020871B2 (en) * 2000-12-21 2006-03-28 Intel Corporation Breakpoint method for parallel hardware threads in multithreaded processor
US6748501B2 (en) * 2000-12-30 2004-06-08 International Business Machines Corporation Microprocessor reservation mechanism for a hashed address system
US6751753B2 (en) 2001-02-27 2004-06-15 Sun Microsystems, Inc. Method, system, and program for monitoring system components
US7165108B2 (en) * 2001-03-19 2007-01-16 Sun Microsystems, Inc. Method and apparatus for providing application specific strategies to a JAVA platform including load balancing policies
US6779045B2 (en) * 2001-03-21 2004-08-17 Intel Corporation System and apparatus for increasing the number of operations per transmission for a media management system
US6990598B2 (en) * 2001-03-21 2006-01-24 Gallitzin Allegheny Llc Low power reconfigurable systems and methods
JP3716753B2 (en) * 2001-03-21 2005-11-16 日本電気株式会社 Transaction load balancing method, method and program between computers of multiprocessor configuration
US6809734B2 (en) * 2001-03-22 2004-10-26 Sony Computer Entertainment Inc. Resource dedication system and method for a computer architecture for broadband networks
US7233998B2 (en) * 2001-03-22 2007-06-19 Sony Computer Entertainment Inc. Computer architecture and software cells for broadband networks
US6826662B2 (en) * 2001-03-22 2004-11-30 Sony Computer Entertainment Inc. System and method for data synchronization for a computer architecture for broadband networks
US7093104B2 (en) 2001-03-22 2006-08-15 Sony Computer Entertainment Inc. Processing modules for computer architecture for broadband networks
US6966015B2 (en) 2001-03-22 2005-11-15 Micromuse, Ltd. Method and system for reducing false alarms in network fault management systems
US6526491B2 (en) * 2001-03-22 2003-02-25 Sony Corporation Entertainment Inc. Memory protection system and method for computer architecture for broadband networks
US6922726B2 (en) * 2001-03-23 2005-07-26 International Business Machines Corporation Web accessibility service apparatus and method
US6578128B1 (en) * 2001-03-29 2003-06-10 Emc Corporation Address management for a shared memory region on a multi-processor controller board
US6966057B2 (en) 2001-03-30 2005-11-15 Intel Corporation Static compilation of instrumentation code for debugging support
US7210022B2 (en) 2001-05-15 2007-04-24 Cloudshield Technologies, Inc. Apparatus and method for interconnecting a processor to co-processors using a shared memory as the communication interface
JP2003033576A (en) * 2001-05-18 2003-02-04 Sony Computer Entertainment Inc Entertainment system, communication system, communication program, computer readable recording medium having stored communication program and communication method
US20030056207A1 (en) * 2001-06-06 2003-03-20 Claudius Fischer Process for deploying software from a central computer system to remotely located devices
KR100441115B1 (en) 2001-06-27 2004-07-19 주식회사 인터와이즈 Java Compile-On-Demand Service System for Accelerating Processing Speed of Java Program on Data Processing System And Method Thereof
US7178145B2 (en) * 2001-06-29 2007-02-13 Emc Corporation Queues for soft affinity code threads and hard affinity code threads for allocation of processors to execute the threads in a multi-processor system
US6862608B2 (en) * 2001-07-17 2005-03-01 Storage Technology Corporation System and method for a distributed shared memory
US20030074650A1 (en) * 2001-10-17 2003-04-17 Tankut Akgul Debugger operating system for embedded systems
JP2003140912A (en) 2001-10-29 2003-05-16 Sony Corp Data processing system, data processing method, and storage medium
JP3673245B2 (en) * 2002-06-28 2005-07-20 株式会社東芝 Information processing apparatus and power control method for the same
US7093258B1 (en) * 2002-07-30 2006-08-15 Unisys Corporation Method and system for managing distribution of computer-executable program threads between central processing units in a multi-central processing unit computer system
US7167916B2 (en) * 2002-08-30 2007-01-23 Unisys Corporation Computer OS dispatcher operation with virtual switching queue and IP queues
JP2004103347A (en) * 2002-09-09 2004-04-02 Sumitomo Wiring Syst Ltd Connector
US7127709B2 (en) 2002-09-25 2006-10-24 Microsoft Corporation System and method for jointly managing dynamically generated code and data
WO2004046950A1 (en) * 2002-11-15 2004-06-03 Infineon Technologies Ag Mailbox interface between processors
US7043579B2 (en) * 2002-12-05 2006-05-09 International Business Machines Corporation Ring-topology based multiprocessor data access bus
US7080242B2 (en) * 2002-12-19 2006-07-18 Hewlett-Packard Development Company, L.P. Instruction set reconciliation for heterogeneous symmetric-multiprocessor systems
US7146542B2 (en) 2002-12-20 2006-12-05 Hewlett-Packard Development Company, L.P. Method and apparatus for diagnosis and repair of computer devices and device drivers
US8423976B2 (en) * 2003-03-13 2013-04-16 Northrop Grumman Corporation Extreme pipeline and optimized reordering technology
US7114042B2 (en) * 2003-05-22 2006-09-26 International Business Machines Corporation Method to provide atomic update primitives in an asymmetric heterogeneous multiprocessor environment
US6981072B2 (en) * 2003-06-05 2005-12-27 International Business Machines Corporation Memory management in multiprocessor system
US7133978B1 (en) * 2003-06-19 2006-11-07 Xilinx, Inc. Method and apparatus for processing data stored in a memory shared among a plurality of processors
US7472112B2 (en) 2003-06-23 2008-12-30 Microsoft Corporation Distributed query engine pipeline method and system
US7134007B2 (en) * 2003-06-30 2006-11-07 Intel Corporation Method for sharing firmware across heterogeneous processor architectures
US7200689B2 (en) * 2003-07-31 2007-04-03 International Business Machines Corporation Cacheable DMA
US20050028148A1 (en) * 2003-08-01 2005-02-03 Sun Microsystems, Inc. Method for dynamic recompilation of a program
US7478390B2 (en) * 2003-09-25 2009-01-13 International Business Machines Corporation Task queue management of virtual devices using a plurality of processors
US6981074B2 (en) * 2003-10-14 2005-12-27 Broadcom Corporation Descriptor-based load balancing
US7321958B2 (en) * 2003-10-30 2008-01-22 International Business Machines Corporation System and method for sharing memory by heterogeneous processors
EP1805627B1 (en) * 2004-10-15 2011-02-16 Sony Computer Entertainment Inc. Methods and apparatus for supporting multiple configurations in a multi-processor system
US20060179277A1 (en) * 2005-02-04 2006-08-10 Flachs Brian K System and method for instruction line buffer holding a branch target buffer

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4633389A (en) * 1982-02-03 1986-12-30 Hitachi, Ltd. Vector processor system comprised of plural vector processors
US4823286A (en) * 1987-02-12 1989-04-18 International Business Machines Corporation Pixel data path for high performance raster displays with all-point-addressable frame buffers
US5261113A (en) * 1988-01-25 1993-11-09 Digital Equipment Corporation Apparatus and method for single operand register array for vector and scalar data processing operations
US5423051A (en) * 1992-09-24 1995-06-06 International Business Machines Corporation Execution unit with an integrated vector operation capability
US5437042A (en) * 1992-10-02 1995-07-25 Compaq Computer Corporation Arrangement of DMA, interrupt and timer functions to implement symmetrical processing in a multiprocessor computer system
US5778241A (en) * 1994-05-05 1998-07-07 Rockwell International Corporation Space vector data path
US5537606A (en) * 1995-01-31 1996-07-16 International Business Machines Corporation Scalar pipeline replication for parallel vector element processing
US6006315A (en) * 1996-10-18 1999-12-21 Samsung Electronics Co., Ltd. Computer methods for writing a scalar value to a vector
US7197625B1 (en) * 1997-10-09 2007-03-27 Mips Technologies, Inc. Alignment and ordering of vector elements for single instruction multiple data processing
US6192467B1 (en) * 1998-03-31 2001-02-20 Intel Corporation Executing partial-width packed data instructions
US6530011B1 (en) * 1999-10-20 2003-03-04 Sandcraft, Inc. Method and apparatus for vector register with scalar values
US20050021926A1 (en) * 2000-04-07 2005-01-27 Nintendo Co., Ltd. Method and apparatus for efficient loading and storing of vectors
US20020032848A1 (en) * 2000-04-07 2002-03-14 Nintendo Co., Ltd. Method and apparatus for obtaining a scalar value directly from a vector register
US20030037221A1 (en) * 2001-08-14 2003-02-20 International Business Machines Corporation Processor implementation having unified scalar and SIMD datapath
US20040193838A1 (en) * 2003-03-31 2004-09-30 Patrick Devaney Vector instructions composed from scalar instructions
US20050125647A1 (en) * 2003-12-09 2005-06-09 Arm Limited Endianess compensation within a SIMD data processing system
US20070124722A1 (en) * 2005-11-29 2007-05-31 Gschwind Michael K Compilation for a SIMD RISC processor
US20070174825A1 (en) * 2006-01-25 2007-07-26 Eichenberger Alexandre E Apparatus and method for optimizing scalar code executed on a SIMD engine by alignment of SIMD slots
US20090150647A1 (en) * 2007-12-07 2009-06-11 Eric Oliver Mejdrich Processing Unit Incorporating Vectorizable Execution Unit

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070033369A1 (en) * 2005-08-02 2007-02-08 Fujitsu Limited Reconfigurable integrated circuit device
US20080114968A1 (en) * 2006-11-01 2008-05-15 Gonion Jeffry E Instructions for efficiently accessing unaligned vectors
US20080114969A1 (en) * 2006-11-01 2008-05-15 Gonion Jeffry E Instructions for efficiently accessing unaligned partial vectors
US7620797B2 (en) * 2006-11-01 2009-11-17 Apple Inc. Instructions for efficiently accessing unaligned vectors
US7624251B2 (en) * 2006-11-01 2009-11-24 Apple Inc. Instructions for efficiently accessing unaligned partial vectors
TWI597620B (en) * 2007-12-28 2017-09-01 英特爾股份有限公司 Instructions and logic to provide general purpose gf (256) simd cryptographic arithmetic functionality
US10148426B2 (en) 2007-12-28 2018-12-04 Intel Corporation Method and apparatus for efficiently implementing the advanced encryption standard
US10050778B2 (en) 2007-12-28 2018-08-14 Intel Corporation Method and apparatus for efficiently implementing the advanced encryption standard
US20110161548A1 (en) * 2009-12-29 2011-06-30 International Business Machines Corporation Efficient Multi-Level Software Cache Using SIMD Vector Permute Functionality
US8862827B2 (en) 2009-12-29 2014-10-14 International Business Machines Corporation Efficient multi-level software cache using SIMD vector permute functionality
US9250961B2 (en) 2013-12-18 2016-02-02 Imagination Technologies Limited Task execution in a SIMD processing unit
US9513963B2 (en) 2013-12-18 2016-12-06 Imagination Technologies Limited Task execution in a SIMD processing unit with parallel groups of processing lanes
GB2516995B (en) * 2013-12-18 2015-08-19 Imagination Tech Ltd Task execution in a SIMD processing unit
GB2516995A (en) * 2013-12-18 2015-02-11 Imagination Tech Ltd Task execution in a SIMD processing unit
US10311539B2 (en) 2013-12-18 2019-06-04 Imagination Technologies Limited Task execution in a SIMD processing unit with parallel groups of processing lanes
US10679319B2 (en) * 2013-12-18 2020-06-09 Imagination Technologies Limited Task execution in a SIMD processing unit with parallel groups of processing lanes
US11189004B2 (en) * 2013-12-18 2021-11-30 Imagination Technologies Limited Task execution in a SIMD processing unit with parallel groups of processing lanes
US11734788B2 (en) 2013-12-18 2023-08-22 Imagination Technologies Limited Task execution in a SIMD processing unit with parallel groups of processing lanes
CN105204820A (en) * 2014-06-26 2015-12-30 英特尔公司 Instructions and logic to provide general purpose gf(256) simd cryptographic arithmetic functionality
CN107408035A (en) * 2015-03-27 2017-11-28 英特尔公司 Apparatus and method for being communicated between thread journey

Also Published As

Publication number Publication date
US20050160097A1 (en) 2005-07-21
EP2296090B1 (en) 2013-02-13
EP1370968B1 (en) 2011-11-16
JP2002366533A (en) 2002-12-20
KR20080108588A (en) 2008-12-15
US20050138325A1 (en) 2005-06-23
EP2296090A3 (en) 2011-03-23
EP2296090A2 (en) 2011-03-16
US20070168538A1 (en) 2007-07-19
US8091078B2 (en) 2012-01-03
TWI266200B (en) 2006-11-11
KR20030085037A (en) 2003-11-01
EP1370968A4 (en) 2010-03-03
US20050081181A1 (en) 2005-04-14
JP4455822B2 (en) 2010-04-21
KR100891063B1 (en) 2009-03-31
US7392511B2 (en) 2008-06-24
US7496673B2 (en) 2009-02-24
JP2003271570A (en) 2003-09-26
US20070288701A1 (en) 2007-12-13
US20080256275A1 (en) 2008-10-16
US7233998B2 (en) 2007-06-19
US20050268048A1 (en) 2005-12-01
KR100959748B1 (en) 2010-05-25
US7720982B2 (en) 2010-05-18
US20080250414A1 (en) 2008-10-09
US7509457B2 (en) 2009-03-24
US20020138637A1 (en) 2002-09-26
WO2002077845A1 (en) 2002-10-03
EP1370968A1 (en) 2003-12-17
US20060190614A1 (en) 2006-08-24
CN100412848C (en) 2008-08-20
CN1494690A (en) 2004-05-05

Similar Documents

Publication Publication Date Title
US20070186077A1 (en) System and Method for Executing Instructions Utilizing a Preferred Slot Alignment Mechanism
US7444632B2 (en) Balancing computational load across a plurality of processors
US7748006B2 (en) Loading software on a plurality of processors
US20050071828A1 (en) System and method for compiling source code for multi-processor environments
US7921151B2 (en) Managing a plurality of processors as devices
US7549145B2 (en) Processor dedicated code handling in a multi-processor environment
US7516456B2 (en) Asymmetric heterogeneous multi-threaded operating system
US7478390B2 (en) Task queue management of virtual devices using a plurality of processors
US8549521B2 (en) Virtual devices using a plurality of processors
KR100847982B1 (en) Resource dedication system and method for a computer architecture for broadband networks
EP1805626B1 (en) External data interface in a computer architecture for broadband networks
US20060179277A1 (en) System and method for instruction line buffer holding a branch target buffer
KR100981033B1 (en) Method and apparatus for interfacing a processor to a coprocessor
US20080162877A1 (en) Non-Homogeneous Multi-Processor System With Shared Memory
JP2005322240A (en) Method and system for access for register with index

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GSCHWIND, MICHAEL K.;HOFSTEE, HARM P.;HOPKINS, MARTIN E.;AND OTHERS;REEL/FRAME:018040/0241;SIGNING DATES FROM 20060731 TO 20060801

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION