US20080206671A1 - Novel polymers and photoresist compositions - Google Patents

Novel polymers and photoresist compositions Download PDF

Info

Publication number
US20080206671A1
US20080206671A1 US12/072,790 US7279008A US2008206671A1 US 20080206671 A1 US20080206671 A1 US 20080206671A1 US 7279008 A US7279008 A US 7279008A US 2008206671 A1 US2008206671 A1 US 2008206671A1
Authority
US
United States
Prior art keywords
groups
photoacid generator
group
polymer
photoacid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US12/072,790
Other versions
US7838199B2 (en
Inventor
James Thackeray
Roger Nassar
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials LLC
Original Assignee
Rohm and Haas Electronic Materials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials LLC filed Critical Rohm and Haas Electronic Materials LLC
Priority to US12/072,790 priority Critical patent/US7838199B2/en
Assigned to ROHM AND HAAS ELECTRONIC MATERIALS LLC reassignment ROHM AND HAAS ELECTRONIC MATERIALS LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: NASSAR, ROGER A., THACKERAY, JAMES W.
Publication of US20080206671A1 publication Critical patent/US20080206671A1/en
Priority to US12/951,933 priority patent/US9983477B2/en
Application granted granted Critical
Publication of US7838199B2 publication Critical patent/US7838199B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0047Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/106Binder containing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/106Binder containing
    • Y10S430/111Polymer of unsaturated acid or ester

Definitions

  • the present invention relates to new polymers that comprise units that contain one or more photoacid generator groups and photoresists that comprise such polymers.
  • Preferred polymers of the invention are suitable for use in photoresists imaged at short wavelengths such as sub-250 nm or sub-200 nm, particularly 248 nm and 193 nm.
  • Photoresists are photosensitive films used for transfer of images to a substrate.
  • a coating layer of a photoresist is formed on a substrate and the photoresist layer is then exposed through a photomask to a source of activating radiation.
  • the photomask has areas that are opaque to activating radiation and other areas that are transparent to activating radiation. Exposure to activating radiation provides a photoinduced chemical transformation of the photoresist coating to thereby transfer the pattern of the photomask to the photoresist-coated substrate.
  • the photoresist is developed to provide a relief image that permits selective processing of a substrate.
  • polymers of the invention include higher order polymers that comprise (i) one or more covalently linked photoacid generator groups and (ii) one or more photoacid-labile groups.
  • terpolymers, tetrapolymers and pentapolymers are particularly preferred, i.e. polymers that contain three, four and five distinct repeat units respectively.
  • the invention includes blends of distinct polymers, where (i) a first polymer comprises one or more covalently linked photoacid generator groups and (ii) a second polymer (distinct from the first polymer) does not comprise photoacid generator groups.
  • a first polymer comprises one or more covalently linked photoacid generator groups
  • a second polymer differct from the first polymer
  • at least one of the first and second polymers comprises photoacid-labile groups.
  • the second resin that does not comprise photoacid generator groups does contain photoacid-labile groups.
  • polymers that comprise a covalently linked ionic photoacid generator group, such as a sulfonium group or iodonium group.
  • polymers that comprise a covalently linked non-ionic photoacid generator group, such as imidosulfonates; oxime sulfonates; N-oxyimidosulfonates; disulfones; N-sulfonyloxyimides; nitrobenzyl compounds; and halogenated compounds.
  • a covalently linked non-ionic photoacid generator group such as imidosulfonates; oxime sulfonates; N-oxyimidosulfonates; disulfones; N-sulfonyloxyimides; nitrobenzyl compounds; and halogenated compounds.
  • photoresist compositions of the invention may be free of any resins that comprise silicon (Si) substitution.
  • a polymer may comprise one or more repeat units that comprise carbon alicyclic groups such as adamantyl or norbornyl; aromatic groups such as naphthyl, hydroxyl naphthyl, phenol, phenyl, or anthracenyl; lactone such as gamma butyrolactone; cyano groups such as provided by polymerization of acrylonitrile or methacrylonitrile; hydroxyl; carboxy; sulfonamide; photoacid-labile groups such as photoacid-labile esters or acetals as may be provided by polymerization of an acrylate ester e.g. t-butyl acrylate or t-butylacrtlaye or an acetal formed by reaction of ethyl vinyl ether with a hydroxyl group.
  • carbon alicyclic groups such as adamantyl or norbornyl
  • aromatic groups such as naphthyl, hydroxyl naphthyl, phenol,
  • preferred polymers may suitably comprise repeat units produced by polymerization of one or more reagents selected from the group of:
  • an electron deficient reagent or a reagent with one or more electron-withdrawing groups such as an anhydride including maleic anhydride or itaconic anhydride, or a halogenated olefin, particularly a fluorinated olefin such as tetrafluoroethylene (TFE) and the like;
  • a comparatively electron rich reagent such as olefinic monomers not including an electron withdrawing group within one, two or three carbons of a vinyl group
  • a comparatively electron rich reagent such as olefinic monomers not including an electron withdrawing group within one, two or three carbons of a vinyl group
  • an unsaturated alicyclic e.g. endo- or exocyclic carbon-carbon double bond
  • acyclic alkyl group suitably having from 3 to about 20 carbons, more typically 4 to about 20 carbons such as norbornene, cyclohexene, vinyl adamantyl and the like
  • an unsaturated vinyl heteroalicyclic or heteroacyclic particularly oxygen or sulfur heteroalicyclic and heteroalicyclic
  • a polymerized cyclic ether e.g. a tetrahydrofuran group fused to a polymer backbone
  • cyclic thioether alkoxy e.g. having 1 to about 12 carbon atoms, and
  • an acrylate (which includes substituted acrylates such as methacrylates), particularly acrylates that have a photoacid-labile group such as t-butyl acrylate, t-butyl methacrylate, adamantyl acrylate, adamantyl methacrylate, and the like.
  • Photoacid generator groups may be incorporated (covalently linked) to a polymer structure by a variety of approaches.
  • a polymerizable monomer such as an acrylate monomer may include a photoacid generator moiety and such monomer may be reacted with other reagents to provide a polymer with repeat units that comprise the photoacid generator moiety.
  • photoacid generator groups may be grafted on to formed polymers, e.g. a photoacid generator compound may be grafted onto a hydroxyl group of repeat units of a polymer.
  • Polymers of the invention may be preferably employed in photoresists imaged at sub-200 nm wavelengths such as 193 nm, and thus preferably will be substantially free of any phenyl or other aromatic groups.
  • preferred polymers contain less than about 10 mole percent aromatic groups, more preferably less than about 5, 4, 3, 2 or 1 mole percent aromatic groups.
  • particularly preferred polymers for 193 nm imaging are completely free of aromatic groups.
  • Polymers of the invention also may be suitably utilized in resists imaged at higher wavelengths, such as 248 nm.
  • Such polymers suitably will contain aromatic groups such as provided by polymerization of a vinyl aromatic group, e.g. a vinylphenol, acetoxystyrene (where the acetoxy group can be de-blocked after polymerization to provide phenolic units), styrene, ⁇ -methylstyrene, vinyl naphthalene and the like.
  • the invention also includes negative-acting photoresists that comprise a polymer or polymer blend as disclosed herein.
  • Negative-acting photoresists will preferably comprise a crosslinker component e.g. an amine-based materials such as a melamine resin or benzaguanamine resin.
  • the invention also provides methods for forming relief images, including methods for forming a highly resolved relief image such as a pattern of lines where each line has essentially vertical sidewalls and a line width of 0.25 microns or less.
  • the invention further provides articles of manufacture comprising substrates such as a microelectronic wafer substrate, optoelectronic substrate or liquid crystal display or other flat panel display substrate having coated thereon a polymer, photoresist or resist relief image of the invention.
  • FIGS. 1 and 2 are scanning electron micrographs of photoresist relief images of Example 4 which follows.
  • Polymers of the invention may include a variety of repeat units.
  • Preferred polymers may comprise distinct repeat units, e.g. copolymers (at least two distinct repeat units in the polymer), terpolymers (three distinct repeat units), tetrapolymers (at least four distinct repeat units) and other higher order polymers.
  • Preferred polymerization reagents to provide a resin of the invention include an anhydride such as maleic anhydride; a lactone such as a butyrolactone; a fluorinated olefin such as tetrafluoroethylene; a carbon alicyclic group such as an optionally substituted norbornene or other cyclic olefin; a heteroalicyclic such as an optionally substituted dihydropyran; or an acrylate such as 2-methyladamantanyl methacrylate or 2-methyladamantanyl acrylate.
  • the term acrylate is inclusive of substituted acrylates such as methacrylates.
  • preferred polymers of the invention may contain i) repeat units with electron-withdrawing groups such as provided by polymerization of an anhydride or a fluorinated olefin and ii) repeat units of alicyclic groups (including carbon alicyclics i.e. the group has all carbon ring members and/or heteroalicyclic i.e. having one or more N, O or S atoms as ring members, preferably 1 or 2 oxygen or sulfur atoms as ring members) preferably where the alicyclic group is fused to the polymer backbone, e.g. the alicyclic ring has at least two carbon ring members that comprise the polymer backbone.
  • Preferred fused carbon alicyclic groups are provided by polymerization of cyclic olefin (endocyclic double bond) compounds such as optionally substituted norbornene groups.
  • an oxygen heteroalicyclic group preferably will be present in a polymer together with polymerized carbon alicyclic compounds such as optionally substituted norbornene.
  • carbon alicyclic group means each ring member of the non-aromatic group is carbon.
  • the carbon alicyclic group can have one or more endocyclic carbon-carbon double bonds, provided the ring is not aromatic.
  • heteroalicyclic group means at least one ring member of the non-aromatic cyclic group is other than carbon, e.g. N, O or S, typically one or two oxygen or sulfur atoms.
  • the heteroalicyclic group can have one or more endocyclic carbon-carbon double bonds, provided the ring is not aromatic.
  • An oxygen heteroalicyclic group means that the group has at least one, and typically only one, oxygen ring atoms.
  • Preferred alicyclic polymer units carbon alicyclic or heteroalicyclic may be substituted, e.g. by heteroalkyl groups such as ethers (alkoxy) preferably having 1 to about 10 carbon atoms, alkylthio preferably having 1 to about 10 carbon atoms, alkylsulfinyl preferably 1 to about 10 carbon atoms, alkylsulfonyl preferably having 1 to about 10 carbon atoms; optionally substituted alkyl groups including C 1-20 alkyl; esters including esters having from 2 to about 20 carbons; and the like.
  • heteroalkyl groups such as ethers (alkoxy) preferably having 1 to about 10 carbon atoms, alkylthio preferably having 1 to about 10 carbon atoms, alkylsulfinyl preferably 1 to about 10 carbon atoms, alkylsulfonyl preferably having 1 to about 10 carbon atoms; optionally substituted alkyl groups including C 1-20 alkyl; esters
  • a resin may comprise repeat units that are provided by reacting polymerization reagents (e.g. unsaturated monomers) that comprise 1) an acrylate compound such as may provide a photoacid-labile group to the formed polymer (e.g. t-butyl acrylate; t-butyl methacrylate; adamantylacrylate, etc.); 2) an anhydride such as maleic anhydride; and/or 3) unsaturated carbon alicyclic compound such as optionally substituted norbornene and/or an unsaturated heteroalicyclic compound such as an optionally substituted dihydropyran.
  • polymerization reagents e.g. unsaturated monomers
  • an acrylate compound such as may provide a photoacid-labile group to the formed polymer
  • an anhydride such as maleic anhydride
  • unsaturated carbon alicyclic compound such as optionally substituted norbornene and/or an unsaturated heteroalicyclic compound such as an optionally substituted
  • a phenolic resin that contains acid-labile groups that can provide a chemically amplified positive resist particularly suitable for imaging at 248 nm Particularly preferred resins of this class include: i) polymers that contain polymerized units of a vinyl phenol and an alkyl acrylate, where the polymerized alkyl acrylate units can undergo a deblocking reaction in the presence of photoacid.
  • exemplary alkyl acrylates that can undergo a photoacid-induced deblocking reaction include e.g.
  • t-butyl acrylate, t-butyl methacrylate, methyladamantyl acrylate, methyl adamantyl methacrylate, and other non-cyclic alkyl and alicyclic acrylates that can undergo a photoacid-induced reaction such as polymers in U.S. Pat. Nos. 6,042,997 and 5,492,793; ii) polymers that contain polymerized units of a vinyl phenol, an optionally substituted vinyl phenyl (e.g. styrene) that does not contain a hydroxy or carboxy ring substituent, and an alkyl acrylate such as those deblocking groups described with polymers i) above, such as polymers described in U.S.
  • resins of this class include: i) polymers that contain polymerized units of a non-aromatic cyclic olefin (endocyclic double bond) such as an optionally substituted norbornene, such as polymers described in U.S. Pat. Nos. 5,843,624, and 6,048,664; ii) polymers that contain alkyl acrylate units such as e.g.
  • the heteroalicyclic unit is fused to the resin backbone, and further preferred is where the resin comprises a fused carbon alicyclic unit such as provided by polymerization of a norborene group and/or an anhydride unit such as provided by polymerization of a maleic anhydride or itaconic anhydride.
  • Such resins are disclosed in PCT/US01/14914 and U.S. application Ser. No. 09/567,634.
  • a resin that contains fluorine substitution e.g. as may be provided by polymerization of tetrafluoroethylene, a fluorinated aromatic group such as fluoro-styrene compound, and the like.
  • fluorine substitution e.g. as may be provided by polymerization of tetrafluoroethylene, a fluorinated aromatic group such as fluoro-styrene compound, and the like.
  • fluoropolymer e.g. as may be provided by polymerization of tetrafluoroethylene, a fluorinated aromatic group such as fluoro-styrene compound, and the like.
  • fluoropolymer e.g. as may be provided by polymerization of tetrafluoroethylene, a fluorinated aromatic group such as fluoro-styrene compound, and the like.
  • fluoropolymer e.g. as may be provided by polymerization of tetrafluoroethylene,
  • polymers suitably will include one or more units that comprise photoacid-labile moieties.
  • the photoacid-labile group may be a substituent of one or more of the above-mentioned units, such as a substituent of a polymerized vinyl alicyclic ether, vinyl alicyclic thioether or carbon alicyclic group.
  • the photoacid labile moiety also may be present as an additional polymer unit, e.g. as a polymerized alkyl acrylate or alkylmethacrylate, particularly an acrylate having an alicyclic moiety such as methyladamantyl acrylate or methyladamantyl methacrylate.
  • Preferred alicyclic photoacid-labile moieties are tertiary ester alicyclic hydrocarbon groups that have two or more fused or bridged rings.
  • Preferred tertiary ester groups include optionally substituted adamantyl, particularly methyl adamantyl as mentioned above; optionally substituted fencyl groups, particularly ethyl fencyl; optionally substituted pinnanyl; and optionally substituted tricyclo decanyl, particularly an alkyl-substituted tricyclo decanyl such as 8-ethyl-8-tricyclodecanyl e.g.
  • polymers of the invention preferably comprise contain one or more repeat units that comprise a photoacid-labile group.
  • the photoacid-labile group may be e.g. a substituent of a heteroalicyclic or carbon alicyclic ring member.
  • the photoacid-labile moiety will be a polymer repeat unit distinct from repeat units containing a heteroalicyclic group.
  • that distinct unit may be an acrylate or methacrylate that comprises a photoacid-labile ester group.
  • the photoacid-labile group also may be e.g. an acetal group such as many be provided by reaction of a vinyl ether with a hydroxy substituent of a polymer repeat unit.
  • Preferred photoacid-labile groups are ester groups, particularly esters that contain a tertiary alicyclic hydrocarbon ester moiety.
  • Preferred tertiary alicyclic hydrocarbon ester moieties are polycyclic groups such adamantyl, ethylfencyl or a tricyclo decanyl moiety.
  • References herein to a “tertiary alicyclic ester group” or other similar term indicate that a tertiary alicyclic ring carbon is covalently linked to the ester oxygen, i.e. C( ⁇ O)O-TR′ where T is a tertiary ring carbon of alicyclic group R′.
  • a tertiary ring carbon of the alicyclic moiety will be covalently linked to the ester oxygen, such as exemplified by the below-depicted specifically preferred polymers.
  • the tertiary carbon linked to the ester oxygen also can be exocyclic to the alicyclic ring, typically where the alicyclic ring is one of the substituents of the exocyclic tertiary carbon.
  • the tertiary carbon linked to the ester oxygen will be substituted by the alicyclic ring itself, and/or one, two or three alkyl groups having 1 to about 12 carbons, more typically 1 to about 8 carbons, even more typically 1, 2, 3 or 4 carbons.
  • the alicyclic group also preferably will not contain aromatic substitution.
  • the alicyclic groups may be suitably monocyclic, or polycyclic, particularly bicyclic or tricyclic groups.
  • Preferred alicyclic moieties e.g. group TR′ of C( ⁇ O)O-TR′
  • group TR′ of C( ⁇ O)O-TR′ Preferred alicyclic moieties of photoacid labile ester groups of polymers of the invention have rather large volume. It has been found that such bulky alicyclic groups can provide enhanced resolution when used in copolymers of the invention.
  • preferred alicyclic groups of photoacid labile ester groups will have a molecular volume of at least about 125 or about 130 ⁇ 3 , more preferably a molecular volume of at least about 135, 140, 150, 155, 160, 165, 170, 175, 180, 185, 190, 195, or 200 ⁇ 3 . Alicyclic groups larger than about 220 or 250 ⁇ 3 may be less preferred, in at least some applications.
  • References herein to molecular volumes designate volumetric size as determined by standard computer modeling, which provides optimized chemical bond lengths and angles. A preferred computer program for determining molecular volume as referred to herein is Alchemy 2000, available from Tripos. For a further discussion of computer-based determination of molecular size, see T Omote et al, Polymers for Advanced Technologies , volume 4, pp. 277-287.
  • Particularly preferred tertiary alicyclic groups of photoacid-labile units include the following, where the wavy line depicts a bond to the carboxyl oxygen of the ester group, and R is suitably optionally substituted alkyl, particularly C 1-8 alkyl such as methyl, ethyl, etc.
  • Polymers of the invention also may contain photoacid-labile groups that do not contain an alicyclic moiety.
  • polymers of the invention may contain photoacid-labile ester units, such as a photoacid-labile alkyl ester.
  • the carboxyl oxygen i.e. the carboxyl oxygen as underlined as follows: —C( ⁇ O) O
  • Branched photoacid-labile esters are generally preferred such as t-butyl and —C(CH 3 ) 2 CH(CH 3 ) 2 .
  • Polymers of the invention used in a chemically-amplified positive photoresist composition should contain a sufficient amount of photogenerated acid labile groups (e.g. esters and/or acetals) to enable formation of resist relief images as desired.
  • suitable amount of such acid labile groups will be at least 1 mole percent of total units of the polymer, more preferably about 2 to 30 mole percent, still more typically about 3 to 20 or 30 mole percent of total polymer units.
  • polymers of the invention may contain a heteroalicyclic or carbon alicyclic ring that that is preferably fused to a polymer backbone.
  • a fused heteroalicyclic ring unit preferably contains one or more oxygen and/or sulfur atoms.
  • a cyclic group is fused to a polymer backbone, it is meant that two ring members of the cyclic group, typically two adjacent carbon atoms of the cyclic group, are also part of the polymer backbone.
  • Such a fused ring can be provided by polymerizing a cyclic monomer that has an endocyclic double bond.
  • Preferred oxygen ring polymer units will be free of other hetero atoms such as sulfur (i.e. only oxygen and carbon ring members).
  • the oxygen ring unit will contain one or two oxygen ring atoms and may have one or more ring substituents.
  • Preferred polymers of the invention may contain at least about 2 to 5 mole percent of fused heteroalicyclic units based on total units of the polymer; more preferably from about 5 to 50 mole percent of fused heteroalicyclic units based on total units of the polymer; still more preferably from about 5 or 10 to about 40 or 50 percent of fused heteroalicyclic units based on total units of the polymer.
  • Preferred polymers of the invention may contain at least about 2 to 5 mole percent of carbon alicyclic units based on total units of the polymer; more preferably from about 5 to 50 mole percent of fused carbon alicyclic units based on total units of the polymer; still more preferably from about 5 or 10 to about 25 or 30 percent of fused carbon alicyclic units based on total units of the polymer.
  • polymers of the invention also may contain additional units such as cyano units, lactone units or anhydride units.
  • cyano units For example, acrylonitrile or methacrylonitrile may be polymerized to provide pendant cyano groups, or maleic anhydride may be polymerized to provide a fused anhydride unit.
  • reaction is conducted under an inert atmosphere (e.g., N 2 or argon) and at elevated temperatures such as about 70° C. or greater, although reaction temperatures may vary depending on the reactivity of the particular reagents employed and the boiling point of the reaction solvent (if a solvent is employed) as generally discussed above.
  • Suitable reaction solvents include e.g. tetrahydrofuran, dioxane, ethyl lactate, DMF and the like. Suitable reaction temperatures for any particular system can be readily determined empirically by those skilled in the art based on the present disclosure. A variety of free radical initiators may be employed.
  • azo compounds may be employed such as azo-bis-2,4-dimethylpentanenitrile.
  • Peroxides, peresters, peracids and persulfates also could be employed.
  • a chain transfer agent or other agent to control radical flux or concentration also may be used in the reaction.
  • Monomers that can be reacted to provide a desired polymer of the invention can be identified by those skilled in the art.
  • suitable monomers include e.g. methacrylate or acrylate that contains the appropriate group substitution (e.g. tertiary alicyclic, t-butyl, etc.) on the carboxy oxygen of the ester group.
  • Maleic anhydride is a preferred reagent to provide fused anhydride polymer units.
  • Itaconic anhydride also is a preferred reagent to provide anhydride polymer units, preferably where the itaconic anhydride has purified such as by extraction with chloroform prior to polymerization.
  • Vinyl lactones are also preferred reagents, such as alpha-butyrolactone.
  • a photoacid generator group e.g. an acrylate monomer containing a photoacid generator moiety such as the acrylate photoacid generator of Example 1 which follows
  • acrylate monomer containing a photoacid generator moiety such as the acrylate photoacid generator of Example 1 which follows
  • Other suitable and preferred reactive monomers and other reagents have been identified above.
  • Additional specifically preferred repeat units of polymers of the invention include hydroxyadamanyl groups (such as disclosed in U.S. patent publication Ser. No. 10/082,769) and cyanoadamantyl groups (such as disclosed in U.S. Patent Publication 2005/0208418). These groups may be present on a polymer that comprise a covalently linked photoacid generator group, or a polymer that is free of photoacid generator groups.
  • a polymer of the invention will have a weight average molecular weight (Mw) of about 800 or 1,000 to about 100,000, more preferably about 2,000 to about 30,000, still more preferably from about 2,000 to 15,000 or 20,000, with a molecular weight distribution (Mw/Mn) of about 3 or less, more preferably a molecular weight distribution of about 2 or less, even more preferably a molecular weight distribution of 1.5 or less or even 1.2 or 1 or less.
  • Mw weight average molecular weight
  • Mw/Mn molecular weight distribution
  • the synthetic methods of the invention can provide such low (narrow) molecular weight distributions.
  • Molecular weights (either Mw or Mn) of the polymers of the invention are suitably determined by gel permeation chromatography.
  • a first polymer comprises one or more covalently linked photoacid generator groups and (ii) a second polymer (distinct from the first polymer) that does not comprise photoacid generator groups.
  • a second polymer differs from the first polymer
  • at least one of the first and second polymers comprises photoacid-labile groups.
  • the second resin that does not comprise photoacid generator groups does contain photoacid-labile groups. It is also suitable for both the first and second polymer to comprise photoacid labile groups.
  • the first and second polymers may be present in varying amounts.
  • the weight ratio of the first polymer:second polymer in a photoresist or resin blend of the invention is suitably from 1:10 to 10:1, or from 1:5 to 5:1.
  • the multiple distinct polymers suitably may be same class of polymers (e.g., both acrylates, cyclic olefin polymer (e.g. norbornyl/anhydride polymers), fluoropolymers), or the polymers may be of distinct classes, e.g. the first polymer may contain acrylate groups and the second polymer may comprise polymerized norbornyl and maleic anhydride groups (with no acrylate groups).
  • the second polymer of the bend that does not contain photoacid generator groups may suitably comprise any of the repeat units or be of any of the polymer types as disclosed herein.
  • photoacid generator groups may be covalently linked to a resin in accordance with the invention.
  • ionic photoacid generator groups are covalently linked to a resin.
  • References to an ionic photoacid generator group indicate that the acid is present in a salt form, e.g. such as an onium salt where an acid (e.g. sulfonium or iodonium) is complexed with an anionic species such as a carboxylate or sulfonate anion.
  • an acid e.g. sulfonium or iodonium
  • an anionic species such as a carboxylate or sulfonate anion.
  • activating radiation e.g. 193 nm or 248 nm
  • the cationic moiety of an ionic photoacid generator group is covalently linked to a resin, but the anionic moiety is not covalently linked to the resin.
  • the anionic moiety of an ionic photoacid generator group is covalently linked to a resin, but the cationic moiety is not covalently linked to the resin.
  • both the anionic moiety and cationic moiety may be covalently linked to as resin.
  • non-ionic photoacid generator groups are covalently linked to a resin.
  • References to a non-ionic photoacid generator group indicate that the group does not include an acid group complexed as a salt. Rather, activating radiation (e.g. 193 m or 248 nm) will cause reaction of the group (e.g. bond-breaking reaction) to generate an acid moiety.
  • the acid moiety of a non-ionic photoacid generator group (which is “unmasked” by activating radiation) is covalently linked to a resin, while activating radiation liberates an acidic or non-acidic cleavage product (not covalently to the resin).
  • the acid moiety of a non-ionic photoacid generator group (which is “unmasked” by activating radiation such as 193 nm or 248 nm) is cleaved from a resin as a result of such photogeneration (i.e. no covalent linkage), while an acidic or non-acidic moiety of the photoacid generator group remains covalently linked to the resin.
  • no cleavage product is generated upon exposure of the non-ionic photoacid generator group to activating radiation such as 193 nm or 248 nm.
  • suitable ionic photoacid generator group may comprise one or more onium salts.
  • suitable onium salts include for example, halonium salts, quaternary ammonium, phosphonium and arsonium salts, sulfonium salts and sulfoxonium salts or selenium salts.
  • Onium salts have been described in the literature such as in U.S. Pat. Nos. 4,442,197; 4,603,101; and 4,624,912.
  • onium salts include iodonium salt photoacid generators, such as those compounds disclosed in published European application 0 708 368 A1.
  • Such salts include those represented by the following formula: where Ar 1 and Ar 2 each independently represents a substituted or unsubstituted aryl group.
  • a preferred example of the aryl group includes a C 6-14 monocyclic or a condensed ring aryl group.
  • Preferred examples of the substituent on the aryl group include an alkyl group, a haloalkyl group, a cycloalkyl group, an aryl group, an alkoxy group, a nitro group, a carboxyl group, an alkoxycarbonyl group, a hydroxyl group, mercapto group, and a halogen atom.
  • Sulfonium salts are particularly suitable ionic photoacid generators for PAG blends and resists of the invention, such as compounds of the following formula:
  • R 3 , R 4 and R 5 each independently represents a substituted or unsubstituted alkyl group or aryl group.
  • preferred examples of the substituted or unsubstituted alkyl group and aryl group include a C 6-14 aryl group, a C 1-5 alkyl group, and substituted derivatives thereof.
  • Preferred examples of the substituent on the alkyl group include a C 1-8 alkoxy group, a C 1-8 alkyl group, nitro group, carboxyl group, hydroxyl group, and a halogen atom.
  • Preferred examples of the substituent on the aryl group include a C 1-8 alkoxy group, carboxyl group, an alkoxycarbonyl group, a C 1-8 haloalkyl group, a C 5-8 cycloalkyl group and a C 1-8 alkylthio group.
  • Two of R 3 , R 4 and R 5 and Ar 1 and Ar 2 may be connected to each other via its single bond or a substituent.
  • Ionic photoacid generator groups may comprise a variety of complexing (anionic) groups including e.g. anions of the formula RSO 3 ⁇ where R is adamantane, alkyl (e.g. C 1-12 alkyl) and perfluoroalkyl such as perfluoro (C 1-12 alkyl), particularly perfluoro counter anions of perfluorooctanesulfonate, perfluorononanesulfonate and the like.
  • anionic anionic
  • Suitable non-ionic include imidosulfonates such as compounds of the following formula:
  • each R 1 and R 1′ are each independently hydrogen or C 1-12 alkyl, more preferably hydrogen or methyl; and R is as defined above, i.e. alkyl (e.g. C 1-12 alkyl), camphor, adamantane and other cycloalkyl typically having from 5 to about 12 ring members, and perfluoroalkyl such as perfluoro(C 1-12 alkyl), particularly perfluorinated groups such as perfluorooctanesulfonate, perfluorobutanesulfonate and the like.
  • a specifically preferred photoacid generator of this class is N-[(perfluorooctane sulfonyl)oxy]-5-norbornene-2,3-dicarboximide.
  • N-sulfonyloxyimide photoacid generators also are suitable non-ionic photoacid generator groups, including those N-sulfonyloxyimides disclosed in International application WO94/10608, such as compounds of the following formula: where the carbon atoms form a two carbon structure having a single, double or aromatic bond, or, alternatively, wherein they form a three carbon structure, that is, where the ring is instead a five member or six member ring;
  • Additional preferred imidosulfonates include groups such as those of the following formula: wherein R is camphor, adamantane, alkyl (e.g. C 1-12 alkyl) and perfluoroalkyl such as perfluoro(C 1-12 alkyl), particularly perfluorooctanesulfonate, perfluorononanesulfonate and the like.
  • R is camphor, adamantane, alkyl (e.g. C 1-12 alkyl) and perfluoroalkyl such as perfluoro(C 1-12 alkyl), particularly perfluorooctanesulfonate, perfluorononanesulfonate and the like.
  • a specifically preferred non-ionic photoacid generator group is N-[(perfluorooctanesulfonyl)oxy]-5-norbornene-2,3-dicarboximide.
  • Non-ionic photoacid generator groups includes additional sulfono groups such as disclosed in U.S. Pat. No. 5,558,976.
  • Representative examples of these photoacid generators include: where XaR suitably is phenyl optionally substituted by halogen, C 1-6 alkyl, C 1-6 alkoxy, or C 1-6 haloalkyl, R 7 is a straight-chain, branched or cyclic alkyl group having from 1 to 10 carbon atoms and Z is a sulfonyl group or a carbonyl group: where R is as defined above; and where R 22 is hydrogen, hydroxyl or a group represented by the formula X a RSO 2 O— where X a R is as defined above, and R 23 is a straight or branched alkyl group having from 1 to 5 carbon atoms or a group represented by the formula: where R 24 and R 30 are independently a hydrogen atom, a halogen atom, a straight chain or branched
  • Suitable non-ionic photoacid generator group include nitrobenzyl-based groups, including those disclosed in EPO published application No. EP 0 717 319 A1.
  • Suitable nitrobenzyl-based compounds include those of the following formula: where each R 1 , R 2 and R 3 are individually selected from the group consisting of hydrogen and lower alkyl group having from 1-4 carbon atoms; and R 4 and R 5 are individually selected from the group consisting of CF 3 and NO 2 and RX a is optionally substituted carbocyclic aryl, particularly optionally substituted phenyl such as phenyl where the 2, 3, and 4 position substituents are selected from hydrogen and C 1-4 alkyl and where the 5 and 6 ring positions are selected from CF 3 , NO 2 and SO3R′ where R′ of optionally substituted C 1-2 alkyl or aryl such as phenyl where such optional substituents may be C 1-4 alkyl, C 1-4 alkoxy, NO 2 or CF 3 .
  • Disulfone derivatives are also suitable non-ionic photoacid generator groups. Suitable groups are disclosed e.g. in published European application 0 708 368 A1.
  • Such materials may be represented by the following formula: Ar 3 —SO 2 —SO 2 —RX a wherein RX a is preferably as defined immediately above and Ar 3 represents a substituted or unsubstituted aryl group.
  • a preferred example of the aryl group includes a C 6-14 monocyclic or condensed-ring aryl group.
  • Preferred examples of the substituent on the aryl group include an alkyl group, a haloalkyl group, a cycloalkyl group, an aryl group, an alkoxy group, nitro group, carboxyl group, an alkoxycarbonyl group, hydroxyl group, mercapto group, and halogen.
  • Oxime sulfonate and N-oxyimidosulfonate photoacid generator groups such as disclosed in U.S. Pat. No. 6,482,567 also will be suitable for use in polymers of the invention.
  • photoacid generator groups that can generate ⁇ , ⁇ -difluoroalkyl sulfonic acid photoacid upon exposure to activating radiation, e.g. the photoacid generator groups of this type as disclosed in U.S. Pat. No. 6,849,374.
  • disulfone photoacid generator groups including disulfone photoacid generator groups that contain a diazo, substituted methylene or hydrazine moiety interposed between substituted sulfone groups, e.g. the disulfone photoacid generator groups as disclosed in U.S. Pat. No. 6,783,912.
  • Halogenated non-ionic, photoacid generating compounds are also suitable for use in blends and resists of the invention and include, for example, 1,1-bis[p-chlorophenyl]-2,2,2-trichloroethane (DDT); 1,1-bis[p-methoxyphenyl]-2,2,2-trichloroethane; 1,2,5,6,9,10-hexabromocyclodecane; 1,10-dibromodecane; 1,1-bis[p-chlorophenyl]-2,2-dichloroethane; 4,4-dichloro-2-(trichloromethyl) benzhydrol (Kelthane); hexachlorodimethyl sulfone; 2-chloro-6-(trichloromethyl) pyridine; o,o-diethyl-o-(3,5,6-trichloro-2-pyridyl)phosphorothionate; 1,2,3,4,5,6-hexachlorocyclohe
  • Acid generators are also disclosed in European Patent Application Nos. 0164248 and 0232972. Acid generators that are particularly preferred for deep U.V. exposure include 1,1-bis(p-chlorophenyl)-2,2,2-trichloroethane (DDT); 1,1-bis(p-methoxyphenol)-2,2,2-trichloroethane; 1,1-bis(chlorophenyl)-2,2,2 trichloroethanol; tris(1,2,3-methanesulfonyl)benzene; and tris(trichloromethyl)triazine.
  • DDT 1,1-bis(p-chlorophenyl)-2,2,2-trichloroethane
  • 1,1-bis(p-methoxyphenol)-2,2,2-trichloroethane 1,1-bis(chlorophenyl)-2,2,2 trichloroethanol
  • tris(1,2,3-methanesulfonyl)benzene and tris(trichlor
  • photoresist compositions of the invention may suitably non-polymeric photoacid generator compounds in addition to a polymer that comprises one or more covalently linked photoacid generator groups.
  • Suitable photoacid generator compounds for such combined use are disclosed e.g. in U.S. Pat. Nos. 6,482,567; 6,783,912; 6,849,374; and 6,458,506.
  • a photoresist of the invention should comprise a sufficient amount of photoacid generator groups to enable generation of a relief image upon lithographic processing (exposure and development).
  • Such photoacid generator groups may be provided solely by photoacid generator groups covalently linked to a polymer of a photoresist, or a combination of photoacid generator groups covalently linked to a polymer and non-polymeric photoacid generator compounds present in the photoresist composition together with the polymeric photoacid generator.
  • total solids (all components except solvent carrier) of a photoresist may be at least 1, 2 or 3 weight percent photoacid generator groups, more typically total solids (all components except solvent carrier) of a photoresist may be at least 5, 8 or 10 weight percent photoacid generator groups. It is in general not necessary to employ photoacid generator groups in excess of about 25, 30, 35 or 40 weight percent of total solids of a photoresist composition.
  • a preferred optional additive of resists of the invention is an added base, particularly tetrabutylammonium hydroxide (TBAH), or tetrabutylammonium lactate, which can enhance resolution of a developed resist relief image.
  • TBAH tetrabutylammonium hydroxide
  • tetrabutylammonium lactate tetrabutylammonium lactate
  • a preferred added base is a hindered amine such as diazabicyclo undecene or diazabicyclononene.
  • the added base is suitably used in relatively small amounts, e.g. about 0.03 to 5 percent by weight relative to the total solids.
  • Photoresists of the invention also may contain other optional materials.
  • other optional additives include anti-striation agents, plasticizers, speed enhancers, etc.
  • Such optional additives typically will be present in minor concentrations in a photoresist composition except for fillers and dyes which may be present in relatively large concentrations, e.g., in amounts of from about 5 to 30 percent by weight of the total weight of a resist's dry components.
  • Negative-acting photoresists of the invention typically will contain a crosslinking component, preferably as a separate resist component.
  • Amine-based crosslinkers often will be preferred such as a melamine, e.g. the Cymel melamine resins.
  • Photoresistss of the invention can be readily prepared by those skilled in the art.
  • a photoresist composition of the invention can be prepared by dissolving the components of the photoresist in a suitable solvent such as, for example, ethyl lactate, ethylene glycol monomethyl ether, ethylene glycol monomethyl ether acetate, propylene glycol monomethyl ether; propylene glycol monomethyl ether acetate and 3-ethoxyethyl propionate.
  • a suitable solvent such as, for example, ethyl lactate, ethylene glycol monomethyl ether, ethylene glycol monomethyl ether acetate, propylene glycol monomethyl ether; propylene glycol monomethyl ether acetate and 3-ethoxyethyl propionate.
  • the solids content of the composition varies between about 5 and 35 percent by weight of the total weight of the photoresist composition.
  • the resin binder and photoactive components should be present in amounts sufficient to provide a film coating layer and formation
  • compositions of the invention are used in accordance with generally known procedures.
  • the liquid coating compositions of the invention are applied to a substrate such as by spinning, dipping, roller coating or other conventional coating technique.
  • spin coating the solids content of the coating solution can be adjusted to provide a desired film thickness based upon the specific spinning equipment utilized, the viscosity of the solution, the speed of the spinner and the amount of time allowed for spinning.
  • the resist compositions of the invention are suitably applied to substrates conventionally used in processes involving coating with photoresists.
  • the composition may be applied over silicon wafers or silicon wafers coated with silicon dioxide for the production of microprocessors and other integrated circuit components.
  • Aluminum-aluminum oxide, gallium arsenide, ceramic, quartz, copper, glass substrates and the like are also suitably employed.
  • Resists of the invention also may be applied over an antireflective layer, particularly an organic antireflective layer.
  • the photoresist Following coating of the photoresist onto a surface, it is dried by heating to remove the solvent until preferably the photoresist coating is tack free. Thereafter, it is imaged through a mask in conventional manner.
  • the exposure is sufficient to effectively activate the photoactive component of the photoresist system to produce a patterned image in the resist coating layer and, more specifically, the exposure energy typically ranges from about 1 to 100 mJ/cm 2 , dependent upon the exposure tool and the components of the photoresist composition.
  • coating layers of the resist compositions of the invention are preferably photoactivated by a short exposure wavelength, particularly a sub-300 and sub-200 nm exposure wavelength. As discussed above, 193 nm and 248 nm are particularly preferred exposure wavelengths. However, the resist compositions of the invention also may be suitably imaged at higher wavelengths.
  • the film layer of the composition is preferably baked at temperatures ranging from about 70° C. to about 160° C. Thereafter, the film is developed.
  • the exposed resist film is rendered positive working by employing a polar developer, preferably an aqueous based developer such as quaternary ammonium hydroxide solutions such as a tetra-alkyl ammonium hydroxide solution; various amine solutions preferably a 0.26 N tetramethylammonium hydroxide, such as ethyl amine, n-propyl amine, diethyl amine, di-n-propyl amine, triethyl amine, or methyldiethyl amine; alcohol amines such as diethanol amine or triethanol amine; cyclic amines such as pyrrole, pyridine, etc.
  • development is in accordance with procedures recognized in the art.
  • the developed substrate may be selectively processed on those areas bared of resist, for example by chemically etching or plating substrate areas bared of resist in accordance with procedures known in the art.
  • suitable etchants include a gas etchant, e.g. a halogen plasma etchant such as a chlorine or fluorine-based etchant such a Cl 2 or CF 4 /CHF 3 etchant applied as a plasma stream.
  • a gas etchant e.g. a halogen plasma etchant such as a chlorine or fluorine-based etchant such a Cl 2 or CF 4 /CHF 3 etchant applied as a plasma stream.
  • resist may be removed from the processed substrate using known stripping procedures.
  • the following four monomers in the following amounts are admixed in 24 ml of degassed acetonitrile: 4.63 grams of methyadamantylmethacrylate, 3.36 grams of alpha-butyrolactone methacerylate; 2.02 grams of cyanoadamanyl methacrylate; and 1.5 grams of the photoacid generator monomer prepared in Example 1 above.
  • the monomer mixture is then added over three hours to the initiator solution.
  • the initiator solution is submerged in 80° C. bath.
  • the mixture is refluxed for one additional hour.
  • the resultant polymer is precipitated by mixture with isopropanol, and the polymer is isolated by filtration and dried overnight at 40° C. under vacuum.
  • the polymer is dissolved in tetrahydrofuran (25-30 wt %), filter with 0.2 micron filter and then added to isopropanol slowly to provide a THF:isopropanol 1:10 v/v solution.
  • the precipitated polymer is isolated by filtration and dried overnight at 40° C. under vacuum.
  • a photoresist of the invention is prepared by mixing the following components with amount expressed as weight percents based on total weight of the resist composition: Resist components Amount (wt. % based on total solids) Resin with PAG 28.2 Basic additive 0.03 Surfactant 0.03
  • the resin is the polymer of Example 2 above.
  • the basic additive is triisopropanol amine.
  • the surfactant is Silwet (Dow Chemical). Those resist components were formulated at 16 wt. % solids in a solvent of 2-heptatone.
  • the formulated resist composition is spin coated onto HMDS vapor primed 4 inch silicon wafers and softbaked via a vacuum hotplate at 130° C. for 60 seconds.
  • the resist coating layer is exposed through a photomask at 193 nm using an ISI microstepper, and then the exposed coating layers are post-exposure baked (PEB) at about 130° C.
  • PEB post-exposure baked
  • the coated wafers are then treated with alkaline aqueous developer (0.26N aqueous tetramethylammonium hydroxide solution to develop the imaged resist layer and provide a relief image.
  • FIGS. 1 and 2 are scanning electron micrographs (SEMs) of a photoresist of the type of Example 2 imaged with patterned 193 nm radiation and developed as described in Example 2 above to provide 25 nm lines as depicted.

Abstract

The invention relates to new polymers that comprise units that contain one or more photoacid generator groups and photoresists that contain the polymers. Preferred polymers of the invention are suitable for use in photoresists imaged at short wavelengths such as sub-250 nm or sub-200 nm, particularly 248 nm and 193 nm.

Description

  • The present invention relates to new polymers that comprise units that contain one or more photoacid generator groups and photoresists that comprise such polymers. Preferred polymers of the invention are suitable for use in photoresists imaged at short wavelengths such as sub-250 nm or sub-200 nm, particularly 248 nm and 193 nm.
  • Photoresists are photosensitive films used for transfer of images to a substrate. A coating layer of a photoresist is formed on a substrate and the photoresist layer is then exposed through a photomask to a source of activating radiation. The photomask has areas that are opaque to activating radiation and other areas that are transparent to activating radiation. Exposure to activating radiation provides a photoinduced chemical transformation of the photoresist coating to thereby transfer the pattern of the photomask to the photoresist-coated substrate. Following exposure, the photoresist is developed to provide a relief image that permits selective processing of a substrate.
  • While currently available photoresists are suitable for many applications, current resists also can exhibit significant shortcomings, particularly in high performance applications such as formation of highly resolved sub-half micron and sub-quarter micron features. Certain efforts have been reported to covalently link a photoacid generator component to a resist resin. See U.S. Pat. No. 7,049,044.
  • We have now found novel polymers and photoresist compositions that comprise the polymers as a resin component.
  • In a first aspect, polymers of the invention include higher order polymers that comprise (i) one or more covalently linked photoacid generator groups and (ii) one or more photoacid-labile groups. In this aspect, terpolymers, tetrapolymers and pentapolymers are particularly preferred, i.e. polymers that contain three, four and five distinct repeat units respectively.
  • In a further aspect, the invention includes blends of distinct polymers, where (i) a first polymer comprises one or more covalently linked photoacid generator groups and (ii) a second polymer (distinct from the first polymer) does not comprise photoacid generator groups. Preferably, at least one of the first and second polymers comprises photoacid-labile groups. In certain preferred embodiments, the second resin that does not comprise photoacid generator groups does contain photoacid-labile groups.
  • In another aspect, polymers are provided that comprise a covalently linked ionic photoacid generator group, such as a sulfonium group or iodonium group.
  • In yet another aspect, polymers are provided that comprise a covalently linked non-ionic photoacid generator group, such as imidosulfonates; oxime sulfonates; N-oxyimidosulfonates; disulfones; N-sulfonyloxyimides; nitrobenzyl compounds; and halogenated compounds.
  • In a further aspect, photoresist compositions of the invention may be free of any resins that comprise silicon (Si) substitution.
  • In a yet further embodiment, a polymer may comprise one or more repeat units that comprise carbon alicyclic groups such as adamantyl or norbornyl; aromatic groups such as naphthyl, hydroxyl naphthyl, phenol, phenyl, or anthracenyl; lactone such as gamma butyrolactone; cyano groups such as provided by polymerization of acrylonitrile or methacrylonitrile; hydroxyl; carboxy; sulfonamide; photoacid-labile groups such as photoacid-labile esters or acetals as may be provided by polymerization of an acrylate ester e.g. t-butyl acrylate or t-butylacrtlaye or an acetal formed by reaction of ethyl vinyl ether with a hydroxyl group.
  • In another aspect, preferred polymers may suitably comprise repeat units produced by polymerization of one or more reagents selected from the group of:
  • 1) an electron deficient reagent or a reagent with one or more electron-withdrawing groups such as an anhydride including maleic anhydride or itaconic anhydride, or a halogenated olefin, particularly a fluorinated olefin such as tetrafluoroethylene (TFE) and the like;
  • 2) a comparatively electron rich reagent (such as olefinic monomers not including an electron withdrawing group within one, two or three carbons of a vinyl group), e.g. an unsaturated alicyclic (e.g. endo- or exocyclic carbon-carbon double bond); or acyclic alkyl group suitably having from 3 to about 20 carbons, more typically 4 to about 20 carbons such as norbornene, cyclohexene, vinyl adamantyl and the like; or an unsaturated vinyl heteroalicyclic or heteroacyclic (particularly oxygen or sulfur heteroalicyclic and heteroalicyclic) such as to provide a polymerized cyclic ether (e.g. a tetrahydrofuran group fused to a polymer backbone) or cyclic thioether, alkoxy e.g. having 1 to about 12 carbon atoms, and the like; and
  • 3) an acrylate (which includes substituted acrylates such as methacrylates), particularly acrylates that have a photoacid-labile group such as t-butyl acrylate, t-butyl methacrylate, adamantyl acrylate, adamantyl methacrylate, and the like.
  • Photoacid generator groups may be incorporated (covalently linked) to a polymer structure by a variety of approaches. For instance, a polymerizable monomer such as an acrylate monomer may include a photoacid generator moiety and such monomer may be reacted with other reagents to provide a polymer with repeat units that comprise the photoacid generator moiety. Alternatively, photoacid generator groups may be grafted on to formed polymers, e.g. a photoacid generator compound may be grafted onto a hydroxyl group of repeat units of a polymer.
  • Polymers of the invention may be preferably employed in photoresists imaged at sub-200 nm wavelengths such as 193 nm, and thus preferably will be substantially free of any phenyl or other aromatic groups. For example, preferred polymers contain less than about 10 mole percent aromatic groups, more preferably less than about 5, 4, 3, 2 or 1 mole percent aromatic groups. In certain aspects, particularly preferred polymers for 193 nm imaging are completely free of aromatic groups.
  • Polymers of the invention also may be suitably utilized in resists imaged at higher wavelengths, such as 248 nm. Such polymers suitably will contain aromatic groups such as provided by polymerization of a vinyl aromatic group, e.g. a vinylphenol, acetoxystyrene (where the acetoxy group can be de-blocked after polymerization to provide phenolic units), styrene, α-methylstyrene, vinyl naphthalene and the like.
  • The invention also includes negative-acting photoresists that comprise a polymer or polymer blend as disclosed herein. Negative-acting photoresists will preferably comprise a crosslinker component e.g. an amine-based materials such as a melamine resin or benzaguanamine resin.
  • The invention also provides methods for forming relief images, including methods for forming a highly resolved relief image such as a pattern of lines where each line has essentially vertical sidewalls and a line width of 0.25 microns or less. The invention further provides articles of manufacture comprising substrates such as a microelectronic wafer substrate, optoelectronic substrate or liquid crystal display or other flat panel display substrate having coated thereon a polymer, photoresist or resist relief image of the invention.
  • Other aspects of the invention are disclosed infra.
  • FIGS. 1 and 2 are scanning electron micrographs of photoresist relief images of Example 4 which follows.
  • As discussed above, we now provide new photoresist compositions that comprise a resin component that comprises one or more covalently linked photoacid generator groups.
  • Polymers
  • Polymers of the invention may include a variety of repeat units. Preferred polymers may comprise distinct repeat units, e.g. copolymers (at least two distinct repeat units in the polymer), terpolymers (three distinct repeat units), tetrapolymers (at least four distinct repeat units) and other higher order polymers.
  • Preferred polymerization reagents to provide a resin of the invention include an anhydride such as maleic anhydride; a lactone such as a butyrolactone; a fluorinated olefin such as tetrafluoroethylene; a carbon alicyclic group such as an optionally substituted norbornene or other cyclic olefin; a heteroalicyclic such as an optionally substituted dihydropyran; or an acrylate such as 2-methyladamantanyl methacrylate or 2-methyladamantanyl acrylate. As used herein, the term acrylate is inclusive of substituted acrylates such as methacrylates.
  • Thus, preferred polymers of the invention may contain i) repeat units with electron-withdrawing groups such as provided by polymerization of an anhydride or a fluorinated olefin and ii) repeat units of alicyclic groups (including carbon alicyclics i.e. the group has all carbon ring members and/or heteroalicyclic i.e. having one or more N, O or S atoms as ring members, preferably 1 or 2 oxygen or sulfur atoms as ring members) preferably where the alicyclic group is fused to the polymer backbone, e.g. the alicyclic ring has at least two carbon ring members that comprise the polymer backbone. Preferred fused carbon alicyclic groups are provided by polymerization of cyclic olefin (endocyclic double bond) compounds such as optionally substituted norbornene groups.
  • Additionally, an oxygen heteroalicyclic group preferably will be present in a polymer together with polymerized carbon alicyclic compounds such as optionally substituted norbornene.
  • As referred to herein, the term “carbon alicyclic group” means each ring member of the non-aromatic group is carbon. The carbon alicyclic group can have one or more endocyclic carbon-carbon double bonds, provided the ring is not aromatic.
  • As referred to herein, the term “heteroalicyclic group” means at least one ring member of the non-aromatic cyclic group is other than carbon, e.g. N, O or S, typically one or two oxygen or sulfur atoms. The heteroalicyclic group can have one or more endocyclic carbon-carbon double bonds, provided the ring is not aromatic. An oxygen heteroalicyclic group means that the group has at least one, and typically only one, oxygen ring atoms.
  • Preferred alicyclic polymer units carbon alicyclic or heteroalicyclic) may be substituted, e.g. by heteroalkyl groups such as ethers (alkoxy) preferably having 1 to about 10 carbon atoms, alkylthio preferably having 1 to about 10 carbon atoms, alkylsulfinyl preferably 1 to about 10 carbon atoms, alkylsulfonyl preferably having 1 to about 10 carbon atoms; optionally substituted alkyl groups including C1-20 alkyl; esters including esters having from 2 to about 20 carbons; and the like.
  • In certain preferred systems, a resin may comprise repeat units that are provided by reacting polymerization reagents (e.g. unsaturated monomers) that comprise 1) an acrylate compound such as may provide a photoacid-labile group to the formed polymer (e.g. t-butyl acrylate; t-butyl methacrylate; adamantylacrylate, etc.); 2) an anhydride such as maleic anhydride; and/or 3) unsaturated carbon alicyclic compound such as optionally substituted norbornene and/or an unsaturated heteroalicyclic compound such as an optionally substituted dihydropyran.
  • Also in certain preferred systems and resists of the invention, the following polymers are suitable:
  • 1) a phenolic resin that contains acid-labile groups that can provide a chemically amplified positive resist particularly suitable for imaging at 248 nm. Particularly preferred resins of this class include: i) polymers that contain polymerized units of a vinyl phenol and an alkyl acrylate, where the polymerized alkyl acrylate units can undergo a deblocking reaction in the presence of photoacid. Exemplary alkyl acrylates that can undergo a photoacid-induced deblocking reaction include e.g. t-butyl acrylate, t-butyl methacrylate, methyladamantyl acrylate, methyl adamantyl methacrylate, and other non-cyclic alkyl and alicyclic acrylates that can undergo a photoacid-induced reaction, such as polymers in U.S. Pat. Nos. 6,042,997 and 5,492,793; ii) polymers that contain polymerized units of a vinyl phenol, an optionally substituted vinyl phenyl (e.g. styrene) that does not contain a hydroxy or carboxy ring substituent, and an alkyl acrylate such as those deblocking groups described with polymers i) above, such as polymers described in U.S. Pat. No. 6,042,997; and iii) polymers that contain repeat units that comprise an acetal or ketal moiety that will react with photoacid, and optionally aromatic repeat units such as phenyl or phenolic groups; such polymers have been described in U.S. Pat. Nos. 5,929,176 and 6,090,526.
  • 2) a resin that is substantially or completely free of phenyl or other aromatic groups that can provide a chemically amplified positive resist particularly suitable for imaging at sub-200 nm wavelengths such as 193 nm. Particularly preferred resins of this class include: i) polymers that contain polymerized units of a non-aromatic cyclic olefin (endocyclic double bond) such as an optionally substituted norbornene, such as polymers described in U.S. Pat. Nos. 5,843,624, and 6,048,664; ii) polymers that contain alkyl acrylate units such as e.g. t-butyl acrylate, t-butyl methacrylate, methyladamantyl acrylate, methyl adamantyl methacrylate, and other non-cyclic alkyl and alicyclic acrylates; such polymers have been described in U.S. Pat. No. 6,057,083; European Published Applications EP01008913A1 and EP00930542A1; and U.S. patent application Ser. No. 09/143,462, and iii) polymers that contain polymerized anhydride units, particularly polymerized maleic anhydride and/or itaconic anhydride units, such as disclosed in European Published Application EP01008913A1 and U.S. Pat. No. 6,048,662.
  • 3) a resin that contains repeat units that contain a hetero atom, particularly oxygen and/or sulfur (but other than an anhydride, i.e. the unit does not contain a keto ring atom), and preferable are substantially or completely free of any aromatic units. Preferably, the heteroalicyclic unit is fused to the resin backbone, and further preferred is where the resin comprises a fused carbon alicyclic unit such as provided by polymerization of a norborene group and/or an anhydride unit such as provided by polymerization of a maleic anhydride or itaconic anhydride. Such resins are disclosed in PCT/US01/14914 and U.S. application Ser. No. 09/567,634.
  • 4) a resin that contains fluorine substitution (fluoropolymer), e.g. as may be provided by polymerization of tetrafluoroethylene, a fluorinated aromatic group such as fluoro-styrene compound, and the like. Examples of such resins are disclosed e.g. in PCT/US99/21912.
  • As discussed, for use in positive-acting photoresist compositions, polymers suitably will include one or more units that comprise photoacid-labile moieties. The photoacid-labile group may be a substituent of one or more of the above-mentioned units, such as a substituent of a polymerized vinyl alicyclic ether, vinyl alicyclic thioether or carbon alicyclic group. The photoacid labile moiety also may be present as an additional polymer unit, e.g. as a polymerized alkyl acrylate or alkylmethacrylate, particularly an acrylate having an alicyclic moiety such as methyladamantyl acrylate or methyladamantyl methacrylate. Preferred alicyclic photoacid-labile moieties are tertiary ester alicyclic hydrocarbon groups that have two or more fused or bridged rings. Preferred tertiary ester groups include optionally substituted adamantyl, particularly methyl adamantyl as mentioned above; optionally substituted fencyl groups, particularly ethyl fencyl; optionally substituted pinnanyl; and optionally substituted tricyclo decanyl, particularly an alkyl-substituted tricyclo decanyl such as 8-ethyl-8-tricyclodecanyl e.g. as provided by polymerization of 8-ethyl-8-tricyclodecanyl acrylate and 8-ethyl-8-tricyclodecanyl methacrylate. Additional alicyclic ester groups also will be suitable, including additional bicyclic, tricyclic and other polycyclic moieties.
  • As discussed above, polymers of the invention preferably comprise contain one or more repeat units that comprise a photoacid-labile group. The photoacid-labile group may be e.g. a substituent of a heteroalicyclic or carbon alicyclic ring member. Alternatively, and generally preferred, the photoacid-labile moiety will be a polymer repeat unit distinct from repeat units containing a heteroalicyclic group. Preferably, that distinct unit may be an acrylate or methacrylate that comprises a photoacid-labile ester group. The photoacid-labile group also may be e.g. an acetal group such as many be provided by reaction of a vinyl ether with a hydroxy substituent of a polymer repeat unit.
  • Preferred photoacid-labile groups are ester groups, particularly esters that contain a tertiary alicyclic hydrocarbon ester moiety. Preferred tertiary alicyclic hydrocarbon ester moieties are polycyclic groups such adamantyl, ethylfencyl or a tricyclo decanyl moiety. References herein to a “tertiary alicyclic ester group” or other similar term indicate that a tertiary alicyclic ring carbon is covalently linked to the ester oxygen, i.e. C(═O)O-TR′ where T is a tertiary ring carbon of alicyclic group R′. In at least many cases, preferably a tertiary ring carbon of the alicyclic moiety will be covalently linked to the ester oxygen, such as exemplified by the below-depicted specifically preferred polymers. However, the tertiary carbon linked to the ester oxygen also can be exocyclic to the alicyclic ring, typically where the alicyclic ring is one of the substituents of the exocyclic tertiary carbon. Typically, the tertiary carbon linked to the ester oxygen will be substituted by the alicyclic ring itself, and/or one, two or three alkyl groups having 1 to about 12 carbons, more typically 1 to about 8 carbons, even more typically 1, 2, 3 or 4 carbons. The alicyclic group also preferably will not contain aromatic substitution. The alicyclic groups may be suitably monocyclic, or polycyclic, particularly bicyclic or tricyclic groups.
  • Preferred alicyclic moieties (e.g. group TR′ of C(═O)O-TR′) of photoacid labile ester groups of polymers of the invention have rather large volume. It has been found that such bulky alicyclic groups can provide enhanced resolution when used in copolymers of the invention.
  • More particularly, preferred alicyclic groups of photoacid labile ester groups will have a molecular volume of at least about 125 or about 130 Å3, more preferably a molecular volume of at least about 135, 140, 150, 155, 160, 165, 170, 175, 180, 185, 190, 195, or 200 Å3. Alicyclic groups larger than about 220 or 250 Å3 may be less preferred, in at least some applications. References herein to molecular volumes designate volumetric size as determined by standard computer modeling, which provides optimized chemical bond lengths and angles. A preferred computer program for determining molecular volume as referred to herein is Alchemy 2000, available from Tripos. For a further discussion of computer-based determination of molecular size, see T Omote et al, Polymers for Advanced Technologies, volume 4, pp. 277-287.
    Figure US20080206671A1-20080828-C00001
  • Particularly preferred tertiary alicyclic groups of photoacid-labile units include the following, where the wavy line depicts a bond to the carboxyl oxygen of the ester group, and R is suitably optionally substituted alkyl, particularly C1-8 alkyl such as methyl, ethyl, etc.
  • Polymers of the invention also may contain photoacid-labile groups that do not contain an alicyclic moiety. For example, polymers of the invention may contain photoacid-labile ester units, such as a photoacid-labile alkyl ester. Generally, the carboxyl oxygen (i.e. the carboxyl oxygen as underlined as follows: —C(═O)O) of the photoacid-labile ester will be covalently linked to the quaternary carbon. Branched photoacid-labile esters are generally preferred such as t-butyl and —C(CH3)2CH(CH3)2.
  • Polymers of the invention used in a chemically-amplified positive photoresist composition should contain a sufficient amount of photogenerated acid labile groups (e.g. esters and/or acetals) to enable formation of resist relief images as desired. For instance, suitable amount of such acid labile groups will be at least 1 mole percent of total units of the polymer, more preferably about 2 to 30 mole percent, still more typically about 3 to 20 or 30 mole percent of total polymer units.
  • As mentioned, polymers of the invention may contain a heteroalicyclic or carbon alicyclic ring that that is preferably fused to a polymer backbone. A fused heteroalicyclic ring unit preferably contains one or more oxygen and/or sulfur atoms. As indicated above, by stating herein that a cyclic group is fused to a polymer backbone, it is meant that two ring members of the cyclic group, typically two adjacent carbon atoms of the cyclic group, are also part of the polymer backbone. Such a fused ring can be provided by polymerizing a cyclic monomer that has an endocyclic double bond.
  • Preferred oxygen ring polymer units will be free of other hetero atoms such as sulfur (i.e. only oxygen and carbon ring members). Typically, the oxygen ring unit will contain one or two oxygen ring atoms and may have one or more ring substituents.
  • Preferred polymers of the invention may contain at least about 2 to 5 mole percent of fused heteroalicyclic units based on total units of the polymer; more preferably from about 5 to 50 mole percent of fused heteroalicyclic units based on total units of the polymer; still more preferably from about 5 or 10 to about 40 or 50 percent of fused heteroalicyclic units based on total units of the polymer.
  • Preferred polymers of the invention may contain at least about 2 to 5 mole percent of carbon alicyclic units based on total units of the polymer; more preferably from about 5 to 50 mole percent of fused carbon alicyclic units based on total units of the polymer; still more preferably from about 5 or 10 to about 25 or 30 percent of fused carbon alicyclic units based on total units of the polymer.
  • As discussed above, polymers of the invention also may contain additional units such as cyano units, lactone units or anhydride units. For example, acrylonitrile or methacrylonitrile may be polymerized to provide pendant cyano groups, or maleic anhydride may be polymerized to provide a fused anhydride unit.
  • In the synthesis of the invention, if conducted as a free radial addition, preferably the reaction is conducted under an inert atmosphere (e.g., N2 or argon) and at elevated temperatures such as about 70° C. or greater, although reaction temperatures may vary depending on the reactivity of the particular reagents employed and the boiling point of the reaction solvent (if a solvent is employed) as generally discussed above. Suitable reaction solvents include e.g. tetrahydrofuran, dioxane, ethyl lactate, DMF and the like. Suitable reaction temperatures for any particular system can be readily determined empirically by those skilled in the art based on the present disclosure. A variety of free radical initiators may be employed. For example, azo compounds may be employed such as azo-bis-2,4-dimethylpentanenitrile. Peroxides, peresters, peracids and persulfates also could be employed. A chain transfer agent or other agent to control radical flux or concentration also may be used in the reaction.
  • Monomers that can be reacted to provide a desired polymer of the invention can be identified by those skilled in the art. For example, to provide photoacid-labile units, suitable monomers include e.g. methacrylate or acrylate that contains the appropriate group substitution (e.g. tertiary alicyclic, t-butyl, etc.) on the carboxy oxygen of the ester group. Maleic anhydride is a preferred reagent to provide fused anhydride polymer units. Itaconic anhydride also is a preferred reagent to provide anhydride polymer units, preferably where the itaconic anhydride has purified such as by extraction with chloroform prior to polymerization. Vinyl lactones are also preferred reagents, such as alpha-butyrolactone. To incorporate a photoacid generator group into a polymer, a monomer containing photoacid generator groups (e.g. an acrylate monomer containing a photoacid generator moiety such as the acrylate photoacid generator of Example 1 which follows) can be co-polymerized with one or more other monomers. Other suitable and preferred reactive monomers and other reagents have been identified above.
  • Additional specifically preferred repeat units of polymers of the invention include hydroxyadamanyl groups (such as disclosed in U.S. patent publication Ser. No. 10/082,769) and cyanoadamantyl groups (such as disclosed in U.S. Patent Publication 2005/0208418). These groups may be present on a polymer that comprise a covalently linked photoacid generator group, or a polymer that is free of photoacid generator groups.
  • Preferably a polymer of the invention will have a weight average molecular weight (Mw) of about 800 or 1,000 to about 100,000, more preferably about 2,000 to about 30,000, still more preferably from about 2,000 to 15,000 or 20,000, with a molecular weight distribution (Mw/Mn) of about 3 or less, more preferably a molecular weight distribution of about 2 or less, even more preferably a molecular weight distribution of 1.5 or less or even 1.2 or 1 or less. The synthetic methods of the invention can provide such low (narrow) molecular weight distributions. Molecular weights (either Mw or Mn) of the polymers of the invention are suitably determined by gel permeation chromatography.
  • As discussed above, in one aspect of the invention blends of distinct polymers are provided where (i) a first polymer comprises one or more covalently linked photoacid generator groups and (ii) a second polymer (distinct from the first polymer) that does not comprise photoacid generator groups. Preferably, at least one of the first and second polymers comprises photoacid-labile groups. In certain preferred embodiments, the second resin that does not comprise photoacid generator groups does contain photoacid-labile groups. It is also suitable for both the first and second polymer to comprise photoacid labile groups.
  • In this aspect, the first and second polymers may be present in varying amounts. For example, the weight ratio of the first polymer:second polymer in a photoresist or resin blend of the invention is suitably from 1:10 to 10:1, or from 1:5 to 5:1.
  • Also in this aspect, the multiple distinct polymers suitably may be same class of polymers (e.g., both acrylates, cyclic olefin polymer (e.g. norbornyl/anhydride polymers), fluoropolymers), or the polymers may be of distinct classes, e.g. the first polymer may contain acrylate groups and the second polymer may comprise polymerized norbornyl and maleic anhydride groups (with no acrylate groups). The second polymer of the bend that does not contain photoacid generator groups may suitably comprise any of the repeat units or be of any of the polymer types as disclosed herein.
  • Photoacid Generator Groups
  • As discussed above, a variety of photoacid generator groups may be covalently linked to a resin in accordance with the invention.
  • In one aspect, ionic photoacid generator groups are covalently linked to a resin. References to an ionic photoacid generator group indicate that the acid is present in a salt form, e.g. such as an onium salt where an acid (e.g. sulfonium or iodonium) is complexed with an anionic species such as a carboxylate or sulfonate anion. Upon exposure to activating radiation (e.g. 193 nm or 248 nm), the ionic complex is dissociated to provide the active acid species.
  • In one embodiment of such ionic photoacid generator groups, the cationic moiety of an ionic photoacid generator group is covalently linked to a resin, but the anionic moiety is not covalently linked to the resin.
  • In a further embodiment of such ionic photoacid generator groups, the anionic moiety of an ionic photoacid generator group is covalently linked to a resin, but the cationic moiety is not covalently linked to the resin.
  • In a yet further embodiment of such ionic photoacid generator groups, both the anionic moiety and cationic moiety may be covalently linked to as resin.
  • In another aspect, non-ionic photoacid generator groups are covalently linked to a resin. References to a non-ionic photoacid generator group indicate that the group does not include an acid group complexed as a salt. Rather, activating radiation (e.g. 193 m or 248 nm) will cause reaction of the group (e.g. bond-breaking reaction) to generate an acid moiety.
  • In one embodiment of such non-ionic photoacid generator groups, the acid moiety of a non-ionic photoacid generator group (which is “unmasked” by activating radiation) is covalently linked to a resin, while activating radiation liberates an acidic or non-acidic cleavage product (not covalently to the resin).
  • In further embodiment of such non-ionic photoacid generator groups, the acid moiety of a non-ionic photoacid generator group (which is “unmasked” by activating radiation such as 193 nm or 248 nm) is cleaved from a resin as a result of such photogeneration (i.e. no covalent linkage), while an acidic or non-acidic moiety of the photoacid generator group remains covalently linked to the resin.
  • In a yet further embodiment of such non-ionic photoacid generator groups, no cleavage product is generated upon exposure of the non-ionic photoacid generator group to activating radiation such as 193 nm or 248 nm.
  • As indicated, suitable ionic photoacid generator group may comprise one or more onium salts. Examples of suitable onium salts include for example, halonium salts, quaternary ammonium, phosphonium and arsonium salts, sulfonium salts and sulfoxonium salts or selenium salts. Onium salts have been described in the literature such as in U.S. Pat. Nos. 4,442,197; 4,603,101; and 4,624,912.
  • Generally preferred onium salts include iodonium salt photoacid generators, such as those compounds disclosed in published European application 0 708 368 A1. Such salts include those represented by the following formula:
    Figure US20080206671A1-20080828-C00002

    where Ar1 and Ar2 each independently represents a substituted or unsubstituted aryl group. A preferred example of the aryl group includes a C6-14 monocyclic or a condensed ring aryl group. Preferred examples of the substituent on the aryl group include an alkyl group, a haloalkyl group, a cycloalkyl group, an aryl group, an alkoxy group, a nitro group, a carboxyl group, an alkoxycarbonyl group, a hydroxyl group, mercapto group, and a halogen atom.
  • Sulfonium salts are particularly suitable ionic photoacid generators for PAG blends and resists of the invention, such as compounds of the following formula:
    Figure US20080206671A1-20080828-C00003
  • wherein R3, R4 and R5 each independently represents a substituted or unsubstituted alkyl group or aryl group. With regard to each of the above formulae, preferred examples of the substituted or unsubstituted alkyl group and aryl group include a C6-14 aryl group, a C1-5 alkyl group, and substituted derivatives thereof. Preferred examples of the substituent on the alkyl group include a C1-8 alkoxy group, a C1-8 alkyl group, nitro group, carboxyl group, hydroxyl group, and a halogen atom. Preferred examples of the substituent on the aryl group include a C1-8 alkoxy group, carboxyl group, an alkoxycarbonyl group, a C1-8 haloalkyl group, a C5-8 cycloalkyl group and a C1-8 alkylthio group. Two of R3, R4 and R5 and Ar1 and Ar2 may be connected to each other via its single bond or a substituent.
  • Ionic photoacid generator groups may comprise a variety of complexing (anionic) groups including e.g. anions of the formula RSO3 where R is adamantane, alkyl (e.g. C1-12 alkyl) and perfluoroalkyl such as perfluoro (C1-12alkyl), particularly perfluoro counter anions of perfluorooctanesulfonate, perfluorononanesulfonate and the like.
  • Suitable non-ionic include imidosulfonates such as compounds of the following formula:
    Figure US20080206671A1-20080828-C00004
  • wherein each R1 and R1′ are each independently hydrogen or C1-12 alkyl, more preferably hydrogen or methyl; and R is as defined above, i.e. alkyl (e.g. C1-12 alkyl), camphor, adamantane and other cycloalkyl typically having from 5 to about 12 ring members, and perfluoroalkyl such as perfluoro(C1-12alkyl), particularly perfluorinated groups such as perfluorooctanesulfonate, perfluorobutanesulfonate and the like. A specifically preferred photoacid generator of this class is N-[(perfluorooctane sulfonyl)oxy]-5-norbornene-2,3-dicarboximide.
  • N-sulfonyloxyimide photoacid generators also are suitable non-ionic photoacid generator groups, including those N-sulfonyloxyimides disclosed in International application WO94/10608, such as compounds of the following formula:
    Figure US20080206671A1-20080828-C00005

    where the carbon atoms form a two carbon structure having a single, double or aromatic bond, or, alternatively, wherein they form a three carbon structure, that is, where the ring is instead a five member or six member ring; XaR is —CnH2n+1 where n=1 to 8, —CnF2n+1 where n=1 to 8, a camphor substituent, -2(9,10-diethoxyanthracene), —(CH2)n-Z or —(CF2)n-Z where n=1 to 4 and where Z is H, C1-4 alkyl, a camphor substituent, -2-(9,10-diethoxyanthracene, or aryl such as phenyl; X and Y (1) form a cyclic or polycyclic ring which may contain one or more hetero atoms, or (2) form a fused aromatic ring, or (3) may be independently hydrogen, alkyl or aryl, or (4) may be attached to another sulfonyloxyimide containing residue, or (5) may be attached to a polymer chain or backbone, or alternatively, form
    Figure US20080206671A1-20080828-C00006

    where R1 is selected from the group consisting of H, acetyl, acetamido, alkyl having 1 to 4 carbons where m=1 to 3, NO2 where m=1 to 2, F where m=1 to 5, Cl where m=1 to 2, CF3 where m=1 to 2, and OCH3 where m=1 to 2, and where m may otherwise be from 1 to 5, and combinations thereof, and where X and Y (1) form a cyclic or polycyclic ring which may contain one or more hetero atoms, (2) form a fused aromatic ring, (3) may be independently H, alkyl or aryl, (4) may be attached to another sulfonyloxyimide containing residue, or (5) may be attached to a polymeric chain or backbone.
  • Additional preferred imidosulfonates include groups such as those of the following formula:
    Figure US20080206671A1-20080828-C00007

    wherein R is camphor, adamantane, alkyl (e.g. C1-12 alkyl) and perfluoroalkyl such as perfluoro(C1-12alkyl), particularly perfluorooctanesulfonate, perfluorononanesulfonate and the like. A specifically preferred non-ionic photoacid generator group is N-[(perfluorooctanesulfonyl)oxy]-5-norbornene-2,3-dicarboximide.
  • Another class of suitable non-ionic photoacid generator groups includes additional sulfono groups such as disclosed in U.S. Pat. No. 5,558,976. Representative examples of these photoacid generators include:
    Figure US20080206671A1-20080828-C00008

    where XaR suitably is phenyl optionally substituted by halogen, C1-6 alkyl, C1-6 alkoxy, or C1-6 haloalkyl, R7 is a straight-chain, branched or cyclic alkyl group having from 1 to 10 carbon atoms and Z is a sulfonyl group or a carbonyl group:
    Figure US20080206671A1-20080828-C00009

    where R is as defined above; and
    Figure US20080206671A1-20080828-C00010

    where R22 is hydrogen, hydroxyl or a group represented by the formula XaRSO2O— where XaR is as defined above, and R23 is a straight or branched alkyl group having from 1 to 5 carbon atoms or a group represented by the formula:
    Figure US20080206671A1-20080828-C00011

    where R24 and R30 are independently a hydrogen atom, a halogen atom, a straight chain or branched alkyl group having 1-5 carbon atoms, a straight chain or branched alkoxy group having 1-5 carbon atoms, or a group of the formula:
    Figure US20080206671A1-20080828-C00012

    where each R25 is independently a straight chain or branched alkyl group having 1-4 carbon atoms, a phenyl group, a substituted phenyl group or an aralkyl group; and R26 is a hydrogen atom, a halogen atom or a straight-chain, branched or cyclic alkyl group having 1-6 carbon atoms.
  • Additional suitable non-ionic photoacid generator group include nitrobenzyl-based groups, including those disclosed in EPO published application No. EP 0 717 319 A1. Suitable nitrobenzyl-based compounds include those of the following formula:
    Figure US20080206671A1-20080828-C00013

    where each R1, R2 and R3 are individually selected from the group consisting of hydrogen and lower alkyl group having from 1-4 carbon atoms; and R4 and R5 are individually selected from the group consisting of CF3 and NO2 and RXa is optionally substituted carbocyclic aryl, particularly optionally substituted phenyl such as phenyl where the 2, 3, and 4 position substituents are selected from hydrogen and C1-4 alkyl and where the 5 and 6 ring positions are selected from CF3, NO2 and SO3R′ where R′ of optionally substituted C1-2 alkyl or aryl such as phenyl where such optional substituents may be C1-4 alkyl, C1-4 alkoxy, NO2 or CF3.
  • Disulfone derivatives are also suitable non-ionic photoacid generator groups. Suitable groups are disclosed e.g. in published European application 0 708 368 A1.
  • Such materials may be represented by the following formula:
    Ar3—SO2—SO2—RXa
    wherein RXa is preferably as defined immediately above and Ar3 represents a substituted or unsubstituted aryl group. A preferred example of the aryl group includes a C6-14 monocyclic or condensed-ring aryl group. Preferred examples of the substituent on the aryl group include an alkyl group, a haloalkyl group, a cycloalkyl group, an aryl group, an alkoxy group, nitro group, carboxyl group, an alkoxycarbonyl group, hydroxyl group, mercapto group, and halogen.
  • Oxime sulfonate and N-oxyimidosulfonate photoacid generator groups such as disclosed in U.S. Pat. No. 6,482,567 also will be suitable for use in polymers of the invention.
  • Also suitable for covalent linkage to polymers of the invention will be photoacid generator groups that can generate α,α-difluoroalkyl sulfonic acid photoacid upon exposure to activating radiation, e.g. the photoacid generator groups of this type as disclosed in U.S. Pat. No. 6,849,374.
  • Further suitable for covalent linkage to polymers of the invention will be disulfone photoacid generator groups, including disulfone photoacid generator groups that contain a diazo, substituted methylene or hydrazine moiety interposed between substituted sulfone groups, e.g. the disulfone photoacid generator groups as disclosed in U.S. Pat. No. 6,783,912.
  • Halogenated non-ionic, photoacid generating compounds are also suitable for use in blends and resists of the invention and include, for example, 1,1-bis[p-chlorophenyl]-2,2,2-trichloroethane (DDT); 1,1-bis[p-methoxyphenyl]-2,2,2-trichloroethane; 1,2,5,6,9,10-hexabromocyclodecane; 1,10-dibromodecane; 1,1-bis[p-chlorophenyl]-2,2-dichloroethane; 4,4-dichloro-2-(trichloromethyl) benzhydrol (Kelthane); hexachlorodimethyl sulfone; 2-chloro-6-(trichloromethyl) pyridine; o,o-diethyl-o-(3,5,6-trichloro-2-pyridyl)phosphorothionate; 1,2,3,4,5,6-hexachlorocyclohexane; N(1,1-bis[p-chlorophenyl]-2,2,2-trichloroethyl)acetamide; tris[2,3-dibromopropyl]isocyanurate; 2,2-bis[p-chlorophenyl]-1,1-dichloroethylene; tris[trichloromethyl]s-triazine; and their isomers, analogs, homologs, and residual compounds. Suitable photoacid generators are also disclosed in European Patent Application Nos. 0164248 and 0232972. Acid generators that are particularly preferred for deep U.V. exposure include 1,1-bis(p-chlorophenyl)-2,2,2-trichloroethane (DDT); 1,1-bis(p-methoxyphenol)-2,2,2-trichloroethane; 1,1-bis(chlorophenyl)-2,2,2 trichloroethanol; tris(1,2,3-methanesulfonyl)benzene; and tris(trichloromethyl)triazine.
  • As discussed above, photoresist compositions of the invention may suitably non-polymeric photoacid generator compounds in addition to a polymer that comprises one or more covalently linked photoacid generator groups. Suitable photoacid generator compounds for such combined use are disclosed e.g. in U.S. Pat. Nos. 6,482,567; 6,783,912; 6,849,374; and 6,458,506.
  • A photoresist of the invention should comprise a sufficient amount of photoacid generator groups to enable generation of a relief image upon lithographic processing (exposure and development). Such photoacid generator groups may be provided solely by photoacid generator groups covalently linked to a polymer of a photoresist, or a combination of photoacid generator groups covalently linked to a polymer and non-polymeric photoacid generator compounds present in the photoresist composition together with the polymeric photoacid generator. In general, total solids (all components except solvent carrier) of a photoresist may be at least 1, 2 or 3 weight percent photoacid generator groups, more typically total solids (all components except solvent carrier) of a photoresist may be at least 5, 8 or 10 weight percent photoacid generator groups. It is in general not necessary to employ photoacid generator groups in excess of about 25, 30, 35 or 40 weight percent of total solids of a photoresist composition.
  • Other Resist Components
  • A preferred optional additive of resists of the invention is an added base, particularly tetrabutylammonium hydroxide (TBAH), or tetrabutylammonium lactate, which can enhance resolution of a developed resist relief image. For resists imaged at 193 nm, a preferred added base is a hindered amine such as diazabicyclo undecene or diazabicyclononene. The added base is suitably used in relatively small amounts, e.g. about 0.03 to 5 percent by weight relative to the total solids.
  • Photoresists of the invention also may contain other optional materials. For example, other optional additives include anti-striation agents, plasticizers, speed enhancers, etc. Such optional additives typically will be present in minor concentrations in a photoresist composition except for fillers and dyes which may be present in relatively large concentrations, e.g., in amounts of from about 5 to 30 percent by weight of the total weight of a resist's dry components.
  • Negative-acting photoresists of the invention typically will contain a crosslinking component, preferably as a separate resist component. Amine-based crosslinkers often will be preferred such as a melamine, e.g. the Cymel melamine resins.
  • Resist Preparation and Lithographic Processing
  • Photoresistss of the invention can be readily prepared by those skilled in the art. For example, a photoresist composition of the invention can be prepared by dissolving the components of the photoresist in a suitable solvent such as, for example, ethyl lactate, ethylene glycol monomethyl ether, ethylene glycol monomethyl ether acetate, propylene glycol monomethyl ether; propylene glycol monomethyl ether acetate and 3-ethoxyethyl propionate. Typically, the solids content of the composition varies between about 5 and 35 percent by weight of the total weight of the photoresist composition. The resin binder and photoactive components should be present in amounts sufficient to provide a film coating layer and formation of good quality latent and relief images. See the Example 3 which follows for exemplary preferred amounts of resist components.
  • The compositions of the invention are used in accordance with generally known procedures. The liquid coating compositions of the invention are applied to a substrate such as by spinning, dipping, roller coating or other conventional coating technique. When spin coating, the solids content of the coating solution can be adjusted to provide a desired film thickness based upon the specific spinning equipment utilized, the viscosity of the solution, the speed of the spinner and the amount of time allowed for spinning.
  • The resist compositions of the invention are suitably applied to substrates conventionally used in processes involving coating with photoresists. For example, the composition may be applied over silicon wafers or silicon wafers coated with silicon dioxide for the production of microprocessors and other integrated circuit components. Aluminum-aluminum oxide, gallium arsenide, ceramic, quartz, copper, glass substrates and the like are also suitably employed. Resists of the invention also may be applied over an antireflective layer, particularly an organic antireflective layer.
  • Following coating of the photoresist onto a surface, it is dried by heating to remove the solvent until preferably the photoresist coating is tack free. Thereafter, it is imaged through a mask in conventional manner. The exposure is sufficient to effectively activate the photoactive component of the photoresist system to produce a patterned image in the resist coating layer and, more specifically, the exposure energy typically ranges from about 1 to 100 mJ/cm2, dependent upon the exposure tool and the components of the photoresist composition.
  • As discussed above, coating layers of the resist compositions of the invention are preferably photoactivated by a short exposure wavelength, particularly a sub-300 and sub-200 nm exposure wavelength. As discussed above, 193 nm and 248 nm are particularly preferred exposure wavelengths. However, the resist compositions of the invention also may be suitably imaged at higher wavelengths.
  • Following exposure, the film layer of the composition is preferably baked at temperatures ranging from about 70° C. to about 160° C. Thereafter, the film is developed. The exposed resist film is rendered positive working by employing a polar developer, preferably an aqueous based developer such as quaternary ammonium hydroxide solutions such as a tetra-alkyl ammonium hydroxide solution; various amine solutions preferably a 0.26 N tetramethylammonium hydroxide, such as ethyl amine, n-propyl amine, diethyl amine, di-n-propyl amine, triethyl amine, or methyldiethyl amine; alcohol amines such as diethanol amine or triethanol amine; cyclic amines such as pyrrole, pyridine, etc. In general, development is in accordance with procedures recognized in the art.
  • Following development of the photoresist coating over the substrate, the developed substrate may be selectively processed on those areas bared of resist, for example by chemically etching or plating substrate areas bared of resist in accordance with procedures known in the art. For the manufacture of microelectronic substrates, e.g., the manufacture of silicon dioxide wafers, suitable etchants include a gas etchant, e.g. a halogen plasma etchant such as a chlorine or fluorine-based etchant such a Cl2 or CF4/CHF3 etchant applied as a plasma stream. After such processing, resist may be removed from the processed substrate using known stripping procedures.
  • All documents mentioned herein are incorporated herein by reference. The following non-limiting examples are illustrative of the invention.
  • EXAMPLE 1 Photoacid Generator Monomer Synthesis
  • 4-hydroxy-2,3,5,6-tetrafluoro benzenesulfonate was prepared as described in Gee et al., Tetrahedron Letters, 1999, 40, 1471. This benzenesulfonate was then reacted with methacrylic acid in trifluoroacetic acid and trifluoroacetic anhydride under a nitrogen atmosphere overnight to obtain sodium 4-methacyloxy 2,3,5,6-tetrafluorobenzenesulfonate [F4-MBS-Na] in 97% yield, characterized by 1H NMR (25° C., ppm) δ 7.42-7.92 (m, 15H), 6.45 (s, 1H), 6.12 (s, 1H), 2.03 (s, 3H); 13C (25° C., ppm) δ 162.8, 141.0, 136.3, 134.5, 133.1, 132.5, 131.3, 130.4, 128.7, 125.4, 124.2, and 17.9; 19F NMR (25° C., ppm, ext CF3COOH) δ −152.55 (m, 2F), −137.62 (m, 2F); Anal. Calcl. for C28H20F4O5S2: C, 58.33; H, 3.50; F, 13.18; O, 13.87; S, 11.12; Found C, 58.39; H, 3.34; F, 12.85; O, 13.83; S, 11.06.
  • EXAMPLE 2 Polymer Synthesis
  • 2.39 g of the free radial initiator V601 is admixed in 15 ml of degassed acetonitrile at room temperature.
  • Separately, the following four monomers in the following amounts are admixed in 24 ml of degassed acetonitrile: 4.63 grams of methyadamantylmethacrylate, 3.36 grams of alpha-butyrolactone methacerylate; 2.02 grams of cyanoadamanyl methacrylate; and 1.5 grams of the photoacid generator monomer prepared in Example 1 above.
  • The monomer mixture is then added over three hours to the initiator solution. When the first drop of the monomer mixture reaches the initiator solution, the initiator solution is submerged in 80° C. bath. Upon completion of addition, the mixture is refluxed for one additional hour. Then, the resultant polymer is precipitated by mixture with isopropanol, and the polymer is isolated by filtration and dried overnight at 40° C. under vacuum. After such drying, the polymer is dissolved in tetrahydrofuran (25-30 wt %), filter with 0.2 micron filter and then added to isopropanol slowly to provide a THF:isopropanol 1:10 v/v solution. The precipitated polymer is isolated by filtration and dried overnight at 40° C. under vacuum.
  • EXAMPLE 3 Photoresist Preparation and Lithographic Processing
  • A photoresist of the invention is prepared by mixing the following components with amount expressed as weight percents based on total weight of the resist composition:
    Resist components Amount (wt. % based on total solids)
    Resin with PAG 28.2
    Basic additive 0.03
    Surfactant 0.03
  • The resin is the polymer of Example 2 above. The basic additive is triisopropanol amine. The surfactant is Silwet (Dow Chemical). Those resist components were formulated at 16 wt. % solids in a solvent of 2-heptatone.
  • The formulated resist composition is spin coated onto HMDS vapor primed 4 inch silicon wafers and softbaked via a vacuum hotplate at 130° C. for 60 seconds. The resist coating layer is exposed through a photomask at 193 nm using an ISI microstepper, and then the exposed coating layers are post-exposure baked (PEB) at about 130° C. The coated wafers are then treated with alkaline aqueous developer (0.26N aqueous tetramethylammonium hydroxide solution to develop the imaged resist layer and provide a relief image.
  • EXAMPLE 4 Further Lithographic Processing
  • FIGS. 1 and 2 are scanning electron micrographs (SEMs) of a photoresist of the type of Example 2 imaged with patterned 193 nm radiation and developed as described in Example 2 above to provide 25 nm lines as depicted.

Claims (10)

1. A positive photoresist composition comprising:
a higher order polymer comprising (i) one or more covalently linked photoacid generator groups and (ii) one or more photoacid-labile groups.
2. A photoresist composition comprising:
(i) a first polymer comprises one or more covalently linked photoacid generator groups; and
(ii) a second polymer does not comprise photoacid generator groups.
3. The photoresist composition of claim 2 wherein the second polymer comprises photoacid-labile groups.
4. The photoresist composition of any one of claims 1 through 3 wherein the photoacid generator groups are ionic.
5. The photoresist composition of any one of claims 1 through 3 wherein the photoacid generator groups are non-ionic.
6. The photoresist composition of any one of claims 1 through 5 wherein the polymer comprising photoacid generator groups further comprises carbon alicyclic, heteroalicyclic, anhydride, lactone, naphthyl, hydroxyl and/or acrylate groups.
7. The method of any one of claims 1 or 2 wherein the polymer comprising photoacid generator groups further comprises polymerized units of 2-methyladamantanyl methacrylate, 2-methyladamantanyl acrylate, hydroxyadamantylacrylate, hydroxyadamantylmethacrylate, maleic anhydride, norbornene, 3,4-dihydropyran, optionally substituted phenyl or optionally substituted naphthyl.
8. A method for producing an electronic device, comprising:
applying a coating layer of the photoresist composition of any one of claims 1 through 7 on a substrate;
exposing the photoresist coating layer to patterned activating radiation; and
developing the exposed photoresist coating layer to provide a resist relief image.
9. A resin blend comprising:
(i) a first polymer comprises one or more covalently linked photoacid generator groups; and
(ii) a second polymer does not comprise photoacid generator groups.
10. A negative-acting photoresist composition comprising the resin blend of claim 9.
US12/072,790 2007-02-28 2008-02-28 Polymers and photoresist compositions Active US7838199B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US12/072,790 US7838199B2 (en) 2007-02-28 2008-02-28 Polymers and photoresist compositions
US12/951,933 US9983477B2 (en) 2007-02-28 2010-11-22 Polymers and photoresist compositions

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US90429807P 2007-02-28 2007-02-28
US12/072,790 US7838199B2 (en) 2007-02-28 2008-02-28 Polymers and photoresist compositions

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/951,933 Continuation US9983477B2 (en) 2007-02-28 2010-11-22 Polymers and photoresist compositions

Publications (2)

Publication Number Publication Date
US20080206671A1 true US20080206671A1 (en) 2008-08-28
US7838199B2 US7838199B2 (en) 2010-11-23

Family

ID=39716278

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/072,790 Active US7838199B2 (en) 2007-02-28 2008-02-28 Polymers and photoresist compositions
US12/951,933 Active 2028-05-27 US9983477B2 (en) 2007-02-28 2010-11-22 Polymers and photoresist compositions

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/951,933 Active 2028-05-27 US9983477B2 (en) 2007-02-28 2010-11-22 Polymers and photoresist compositions

Country Status (3)

Country Link
US (2) US7838199B2 (en)
JP (2) JP2008281990A (en)
KR (1) KR101612285B1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110159429A1 (en) * 2009-12-31 2011-06-30 Rohm And Haas Electronic Materials Llc Photosensitive compositions
EP2372456A2 (en) 2010-03-31 2011-10-05 Rohm and Haas Electronic Materials LLC Novel polymers and photoresist compositions
US20110287361A1 (en) * 2010-04-27 2011-11-24 Rohm And Haas Electronic Materials Llc Photoacid generators and photoresists comprising same
US20140093827A1 (en) * 2012-09-28 2014-04-03 Tokyo Ohka Kogyo Co., Ltd. Resist pattern formation method and resist composition
CN103885287A (en) * 2012-12-24 2014-06-25 乐凯华光印刷科技有限公司 Photosensitive composition with sulfonyl hydrazone-modified phenolic resin as acid-producing agent and application thereof
CN110870990A (en) * 2018-08-31 2020-03-10 台湾积体电路制造股份有限公司 System for dispensing liquid and method of eliminating bubbles from a liquid dispensing system
US11822251B2 (en) * 2016-02-09 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist with polar-acid-labile-group

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7838199B2 (en) * 2007-02-28 2010-11-23 Rohm And Haas Electronic Materials Llc Polymers and photoresist compositions
WO2010096615A2 (en) * 2009-02-19 2010-08-26 Brewer Science Inc. Acid-sensitive, developer-soluble bottom anti-reflective coatings
JP5708518B2 (en) * 2011-02-09 2015-04-30 信越化学工業株式会社 Resist material and pattern forming method using the same
US11613519B2 (en) 2016-02-29 2023-03-28 Rohm And Haas Electronic Materials Llc Photoacid-generating monomer, polymer derived therefrom, photoresist composition including the polymer, and method of forming a photoresist relief image using the photoresist composition
JP2018199616A (en) * 2018-07-13 2018-12-20 日本碍子株式会社 Honeycomb structure
US10907030B2 (en) 2019-01-31 2021-02-02 Bae Systems Controls Inc. Process for mitigation of whisker growth on a metallic substrate
US20220397827A1 (en) * 2021-05-28 2022-12-15 Rohm And Haas Electronic Materials Korea Ltd. Composition for photoresist underlayer

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5130392A (en) * 1989-01-25 1992-07-14 Basf Aktiengesellschaft Radiation-sensitive polymers
US6916592B2 (en) * 2002-03-25 2005-07-12 Shin-Etsu Chemical Co., Ltd. Esters, polymers, resist compositions and patterning process
US6977131B2 (en) * 2002-05-30 2005-12-20 Kodak Polychrome Graphics Llc Selected polymeric sulfonate acid generators and their use in processes for imaging radiation-sensitive elements
US7078147B2 (en) * 2002-03-26 2006-07-18 Shin-Etsu Chemical Co., Ltd. Polymers, resist compositions and patterning process
US7125641B2 (en) * 2003-02-10 2006-10-24 Shin-Etsu Chemical Co., Ltd. Polymers, resist compositions and patterning process
US7459261B2 (en) * 2005-01-06 2008-12-02 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process using the same

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004198915A (en) * 2002-12-20 2004-07-15 Shin Etsu Chem Co Ltd Positive resist composition and method of forming pattern
US6790582B1 (en) * 2003-04-01 2004-09-14 Clariant Finance Bvi Limited Photoresist compositions
JP4244755B2 (en) * 2003-09-09 2009-03-25 Jsr株式会社 Radiation sensitive resin composition
EP1586944A1 (en) * 2004-03-09 2005-10-19 Rohm and Haas Electronic Materials, L.L.C. Cyanoadamantyl compounds and polymers
JP4597655B2 (en) * 2004-12-20 2010-12-15 東京応化工業株式会社 Resist pattern forming method
JP4425776B2 (en) * 2004-12-24 2010-03-03 信越化学工業株式会社 Resist material and pattern forming method using the same
JP4579811B2 (en) * 2005-01-06 2010-11-10 信越化学工業株式会社 Resist material and pattern forming method using the same
JP2006234938A (en) * 2005-02-22 2006-09-07 Fuji Photo Film Co Ltd Positive resist composition and pattern forming method using the same
EP1897869A4 (en) 2005-05-11 2010-05-05 Jsr Corp Novel compound, polymer and radiation-sensitive resin composition
US7932334B2 (en) * 2005-12-27 2011-04-26 Sumitomo Chemical Company, Limited Resin suitable for an acid generator
US7838199B2 (en) * 2007-02-28 2010-11-23 Rohm And Haas Electronic Materials Llc Polymers and photoresist compositions
US9221928B2 (en) * 2011-06-20 2015-12-29 Central Glass Company, Limited Fluorine-containing sulfonate resin, fluorine-containing N-sulfonyloxyimide resin, resist composition and pattern formation method

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5130392A (en) * 1989-01-25 1992-07-14 Basf Aktiengesellschaft Radiation-sensitive polymers
US6916592B2 (en) * 2002-03-25 2005-07-12 Shin-Etsu Chemical Co., Ltd. Esters, polymers, resist compositions and patterning process
US7078147B2 (en) * 2002-03-26 2006-07-18 Shin-Etsu Chemical Co., Ltd. Polymers, resist compositions and patterning process
US6977131B2 (en) * 2002-05-30 2005-12-20 Kodak Polychrome Graphics Llc Selected polymeric sulfonate acid generators and their use in processes for imaging radiation-sensitive elements
US7125641B2 (en) * 2003-02-10 2006-10-24 Shin-Etsu Chemical Co., Ltd. Polymers, resist compositions and patterning process
US7459261B2 (en) * 2005-01-06 2008-12-02 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process using the same

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2341089A1 (en) 2009-12-31 2011-07-06 Rohm and Haas Electronic Materials LLC Photosensitive compositions
US8507176B2 (en) 2009-12-31 2013-08-13 Rohm And Haas Electronic Materials Llc Photosensitive compositions
US20110159429A1 (en) * 2009-12-31 2011-06-30 Rohm And Haas Electronic Materials Llc Photosensitive compositions
CN106125506A (en) * 2010-03-31 2016-11-16 罗门哈斯电子材料有限公司 Novel polymer and photo-corrosion-resisting agent composition
EP2372456A2 (en) 2010-03-31 2011-10-05 Rohm and Haas Electronic Materials LLC Novel polymers and photoresist compositions
US20110269074A1 (en) * 2010-03-31 2011-11-03 Rohm And Haas Electronic Materials Llc Novel polymers and photoresist compositions
CN102279520A (en) * 2010-03-31 2011-12-14 罗门哈斯电子材料有限公司 Novel polymers and photoresist compositions
US10670965B2 (en) * 2010-03-31 2020-06-02 Rohm And Haas Electronic Materials Llc Polymers and photoresist compositions
US20110287361A1 (en) * 2010-04-27 2011-11-24 Rohm And Haas Electronic Materials Llc Photoacid generators and photoresists comprising same
US8609891B2 (en) * 2010-04-27 2013-12-17 Rohm And Haas Electronic Materials Llc Photoacid generators and photoresists comprising same
US20140093827A1 (en) * 2012-09-28 2014-04-03 Tokyo Ohka Kogyo Co., Ltd. Resist pattern formation method and resist composition
US9740105B2 (en) * 2012-09-28 2017-08-22 Tokyo Ohka Kogyo Co., Ltd. Resist pattern formation method and resist composition
CN103885287A (en) * 2012-12-24 2014-06-25 乐凯华光印刷科技有限公司 Photosensitive composition with sulfonyl hydrazone-modified phenolic resin as acid-producing agent and application thereof
US11822251B2 (en) * 2016-02-09 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist with polar-acid-labile-group
CN110870990A (en) * 2018-08-31 2020-03-10 台湾积体电路制造股份有限公司 System for dispensing liquid and method of eliminating bubbles from a liquid dispensing system
US11273396B2 (en) 2018-08-31 2022-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Liquid supply system with improved bubble venting capacity

Also Published As

Publication number Publication date
US9983477B2 (en) 2018-05-29
KR101612285B1 (en) 2016-04-14
KR20080080053A (en) 2008-09-02
US20110236823A1 (en) 2011-09-29
JP2008281990A (en) 2008-11-20
JP5796054B2 (en) 2015-10-21
JP2014041375A (en) 2014-03-06
US7838199B2 (en) 2010-11-23

Similar Documents

Publication Publication Date Title
US7838199B2 (en) Polymers and photoresist compositions
US10670965B2 (en) Polymers and photoresist compositions
KR101015093B1 (en) Novel resins and photoresist compositions comprising same
US7592125B2 (en) Photoresist compositions comprising resin blends
KR102013152B1 (en) Novel resins and photoresist compositions comprising same
US6841331B2 (en) Polymers, processes for polymer synthesis and photoresist compositions
EP2453308A1 (en) Compositions comprising base-reactive component and processes for photolithography
US7220486B2 (en) Photoresist compositions
US11016388B2 (en) Overcoat compositions and methods for photolithography
US6767688B2 (en) Photoresist compositions
US20110039210A1 (en) Novel resins and photoresist compositions comprising same
US6849376B2 (en) Polymers and photoresist compositions comprising same
US20020187420A1 (en) Novel copolymers and photoresist compositions
US20030235778A1 (en) Photoresist compositions for short wavelength imaging
US20050142486A1 (en) Polymers and photoresist compositions comprising same

Legal Events

Date Code Title Description
AS Assignment

Owner name: ROHM AND HAAS ELECTRONIC MATERIALS LLC, MASSACHUSE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:THACKERAY, JAMES W.;NASSAR, ROGER A.;REEL/FRAME:020622/0789

Effective date: 20080226

Owner name: ROHM AND HAAS ELECTRONIC MATERIALS LLC,MASSACHUSET

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:THACKERAY, JAMES W.;NASSAR, ROGER A.;REEL/FRAME:020622/0789

Effective date: 20080226

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552)

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12