US20090101910A1 - Thin-film transistor - Google Patents

Thin-film transistor Download PDF

Info

Publication number
US20090101910A1
US20090101910A1 US12/078,832 US7883208A US2009101910A1 US 20090101910 A1 US20090101910 A1 US 20090101910A1 US 7883208 A US7883208 A US 7883208A US 2009101910 A1 US2009101910 A1 US 2009101910A1
Authority
US
United States
Prior art keywords
film
protective film
semiconductor
semiconductor device
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/078,832
Inventor
Hongyong Zhang
Shunpei Yamazaki
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2140580A external-priority patent/JP2805035B2/en
Priority claimed from JP2293264A external-priority patent/JP2652267B2/en
Application filed by Individual filed Critical Individual
Priority to US12/078,832 priority Critical patent/US20090101910A1/en
Publication of US20090101910A1 publication Critical patent/US20090101910A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/118Oxide films

Definitions

  • This invention relates to a thin-film transistor (from here on will also be referred to as a TFT) which is made of non-single-crystal semiconductor, for example an IG-FET, and its manufacturing process, and in more particular, to a highly reliable thin-film transistor which is suitable for use as a driving element of a display image sensor or liquid crystal device or the like.
  • Thin-film transistors can be formed by a chemical vapor deposition method on an insulated substrate in a comparatively low temperature atmosphere, with a maximum temperature of 500° C., and the substrate being made of an inexpensive material such as soda glass or boron-silicate glass.
  • This thin-film transistor is a field-effect transistor and has the same features as a MOSFET.
  • it has the advantage that it can be formed on an inexpensive insulated substrate at a low temperature.
  • the thin film transistors can be formed on a large substrate by the use of CVD techniques. It is therefore a very good prospect for use as switching elements of a matrix type liquid crystal display having a lot of picture elements, or as switching elements of a one-dimensional or two-dimensional image sensor.
  • the thin-film transistors can be formed using already established photolithography technology, by which a very minute process is possible, and transistors can be integrated just as making an IC and so on.
  • FIG. 1 shows the construction of a typical prior art TFT.
  • the thin-film transistor is comprised of an insulated substrate 20 made of glass, a semiconductor thin film 21 made of a non-single-crystal semiconductor, a source 22 , a drain 23 , a source electrode 24 , a drain electrode 25 , gate insulating film 26 , and a gate electrode 27 .
  • the current flow between the source 22 and the drain 23 is controlled by applying a voltage to the gate electrode 27 .
  • the response speed of the thin-film transistor is given by the equation;
  • the non-single-crystal semiconductor layer contains many grain boundaries.
  • the non-single-crystal semiconductor when compared to the single-crystal semiconductor, has disadvantages that the carrier mobility is very low and thus the response speed of the transistor is very slow due to the many grain boundaries. Especially if an amorphous silicon semiconductor is used, the mobility is only about 0.1-1 (cm 2 /V.sec) and is too short to function for use as a TFT.
  • the effect it has on the response speed is as the square of the length, and so it is a very effective means.
  • the space between the source and drain (this is essentially the channel length) should 10 ⁇ m or less, due to the precise process, yield, and manufacturing cost problems. Consequently, effective means for shortening the channel length of the TFT have not been found.
  • the active region of the TFT should be crystallized using a process such as heat treatment.
  • the amorphous silicon film is made at a temperature of about 250° C. and then a maximum temperature of 400° C. is required for thermal annealing.
  • the maximum temperature required for forming the film and then for recrystallization is 500 to 650° C.
  • the required CVD temperature for forming the semiconductor layer is 250 to 450° C., however the temperature exceeds 600° C. during a recrystallization step of the active layer by CW laser.
  • the TFT is formed on a substrate made of a material such as soda glass and the active region comes in direct contact with the glass substrate, especially in the case of stagger-type and coplanar-type transistors.
  • the heat treatment mentioned above is necessary, and so the metallic alkali impurities such as sodium and potassium which exist, in the glass substrate are externally diffused and forced into the semiconductor layer which forms the active layer or TFT. This lowers the mobility of the semiconductor layer and changes the threshold value, making the characteristics of the device worse and has an adverse effect on the long-term reliability of the device.
  • the TFT produces heat which causes the temperature of the glass substrate to rise thus causing-impurities to be diffused from the substrate, which also has an adverse effect on the TFT.
  • a gate-insulator of the IG-FET is made of a silicon oxide film which is formed by a sputtering method with argon (Ar) gas used as a sputtering gas.
  • Ar argon
  • the argon atoms are inherently introduced into the gate insulator and generates a fixed charge in the semiconductor film.
  • ions that exist in a reaction space during the sputtering collide with the surface of the active layer of the thin-film transistor, which causes a damage to the active layer.
  • a mixed layer of the active layer and the insulation layer is formed in the boundary region of the gate insulation layer and the active layer of the transistor.
  • an insulation layer 500 ⁇ to 5000 ⁇ thick is formed on the glass substrate as a bottom protective film before the TFT elements are formed, and the TFT elements are formed on top of this protective film.
  • this structure it is possible to keep the impurities existing in the glass substrate from going into the active layer of a thin-film transistor or into the transistor elements themselves, and to provide a thin-film transistor that has high mutual conductance and high field-effect mobility. Also it suppresses the diffusion of impurities from the substrate which occurs when heat is generated during operation of the device. It also provides a thin-film transistor that can control degeneration of the electrical characteristics and has long-term stability and reliability.
  • a halogen element added to the protective film or to the gate insulator, impurities intruded from the outside or impurities in the film can be neutralized. Interface states between the insulation layer and the semiconductor layer can also be reduced by the halogen element. This increases stability and reliability of the TFT.
  • FIG. 1 shows a cross sectional view of a part of a prior art thin film transistor
  • FIGS. 2(A) to 2(C) show a first embodiment of a manufacturing process of a thin-film transistor in accordance with the present invention
  • FIGS. 3(A) to 3(C) show a second embodiment of a manufacturing process of the thin-film transistor in accordance with the present invention
  • FIGS. 4(A) to 4(D) show a third embodiment of a manufacturing process of the thin-film transistor in accordance with the present invention
  • FIG. 5 is a graph to show a relationship between the flatband voltage of an insulation film formed by a sputtering method and the percentage of argon in the sputtering gas;
  • FIG. 6 is a graph to show a relationship between the flatband voltage of the insulation film formed by a sputtering method and the percentage of fluoride gas in the sputtering gas;
  • FIG. 7 is a graph to show a relationship between the withstand voltage of the insulation film formed by a sputtering method and the percentage of fluoride gas in the sputtering gas;
  • FIG. 8 is a graph to show a relationship between the mobility of the non-single-crystal semiconductor formed by a sputtering method and the partial pressure of hydrogen in the sputtering gas;
  • FIG. 9 shows a relationship between the partial pressure of hydrogen in the sputtering gas and the threshold voltage
  • FIGS. 10 to 14 show the characteristics of the TFT source current and the source voltage
  • FIG. 15 shows a Raman spectrogram of the semiconductor layer formed in the present invention
  • FIG. 16 is a cross sectional view of a part of the structure produced by a fourth embodiment of a manufacturing process of the thin-film transistor in the present invention.
  • FIG. 2(A) to FIG. 2(C) The manufacturing process of the planar type thin-film transistor in accordance with a first embodiment of the present invention is shown in FIG. 2(A) to FIG. 2(C) .
  • a glass substrate 1 is made of soda glass and on an entire surface of the substrate 1 , a 300 nm thick silicon oxide bottom protective film 2 is formed by sputtering.
  • the formation conditions of the film are shown below.
  • an approximately 100 nm thick I-type conductivity non-single-crystal silicon semiconductor film 3 is formed by a CVD method on the protective film 2 .
  • the manufacturing conditions are shown below
  • the active layer is formed using an excimer laser to perform laser anneal in this region allowing poly-crystallization.
  • the conditions are as follows.
  • a non-single-crystalline silicon layer 4 which has an N-type conductivity is formed on the above structure by a CVD method as a low resistance non-single-crystal semiconductor layer.
  • the formation conditions are as follows.
  • this N-type non-single-crystal silicon semiconductor layer 4 When making this N-type non-single-crystal silicon semiconductor layer 4 , a large quantity of H 2 gas can be used and the RF power can be increased to form micro-crystals which lowers the electrical resistance.
  • a part of the N-type semiconductor layer 4 is etched by using a photolithography so that it is patterned into source and drain regions 4 and a channel region 7 is defined therebetween as shown in FIG. 2B .
  • hydrogen plasma processing is performed under the following conditions to activate the channel region 7 .
  • a 100 nm thick gate insulation film 5 is formed using the same material and same method as the bottom protective film 2 .
  • the contact holes for the source and drain regions are formed using an etching method and then the source, drain, and gate electrodes 6 are formed using aluminum.
  • the IG-FET shown in FIG. 2(C) is made.
  • the gate insulation film 5 and the bottom protective film 2 are made of the same material and are made using the same method. Therefore during heat treatment of the thin-film transistor, or when heat is generated during operation of the transistor, there is no difference in the heat expansion of the two and so there is no breakage or pealing of the aluminum or metal electrodes on top, giving the transistor long-term reliability.
  • FIGS. 3A to 3C show a manufacturing process of an IG-FET in accordance with a second embodiment of the present invention.
  • a 500 ⁇ to 5000 ⁇ thick silicon oxide film 2 is formed by a sputtering method on top of the soda glass substrate 1 as a protective film in a same manner as in Embodiment 1.
  • a 200 nm thick molybdenum metallic layer 10 is formed on the bottom protective film 2 .
  • a non-single-crystal silicon film 8 which has a P-type conductor and has a low resistance.
  • the formation conditions this time are as follows.
  • This semiconductor layer can have ohmic contact with the I-type semiconductor layer that will be formed later in the process.
  • a predetermined pattern is etched, and the structure shown in FIG. 3(A) is obtained.
  • a 200 nm thick I-type non-single-crystal silicon semiconductor film 3 is formed by a sputtering method.
  • the formation conditions are as follows.
  • the I-type semiconductor layer 3 is heat treated causing poly-crystallization and using a hydrogen plasma process it is activated and the structure shown in FIG. 3(B) is obtained.
  • SiO 2 is formed by sputtering to be 100 nm thick as a gate insulator 5 in the same manner as in the Embodiment 1, after which molybdenum gate electrode 9 is formed in the predetermined pattern.
  • a thin-film transistor is formed as shown in FIG. 3(C) .
  • the wire resistance is very low.
  • the drive signal wave form is not distorted and the liquid crystal device can be driven at a high speed.
  • the silicon oxide film of this embodiment is formed using the sputtering method but may also be formed using photo CVD, plasma CVD, or thermal CVD.
  • a halogen element is added to the protective film on the glass substrate or to the gate insulator of the IG-FET or more preferably to the both.
  • a 200 nm thick SiO 2 film 12 is formed on a glass substrate 11 using a magnetron-type RF sputtering method with the following formation conditions.
  • Reaction Gas O 2 95% volume NF 3 5% volume Film Formation Temperature 150° C.
  • RF Power 13.56 MHz 400 W Pressure 0.5 Pa Silicon is used as a target.
  • a 100 nm thick a-Si film 13 is formed by a magnetron RF sputtering in order to form a channel region, so that the structure shown in FIG. 4(A) is obtained.
  • the film formation is done in an atmosphere of inert gas of argon and hydrogen and in the conditions shown below.
  • H 2 /(H 2 + Ar) 80% (partial pressure ratio) Film Formation Temperature 150° C. RF Power (13.56 MHz) 400 W Total Pressure 0.5 Pa Single crystal silicon is used as the target.
  • the a-Si film 13 is heat-crystallized for 10 hours, so that a silicon semiconductor layer having a high crystallinity is obtained. Besides, if a non-single crystalline silicon target is used and the input power is lowered, the crystal size becomes smaller and the crystalline condition becomes dense and therefore the subsequent heat-crystallization of the film will be facilitated.
  • Patterning is performed on this heat crystallized silicon semiconductor, and the structure shown in FIG. 4(B) is obtained.
  • the channel formation region of the insulated-gate semiconductor will be formed.
  • a 100 nm thick silicon oxide film (SiO 2 ) 15 is formed by the magnetron-type RF sputtering method in the following formation conditions.
  • RF Power (13.56 MHz) 400 W A silicon target or synthetic quartz target is used.
  • the silicon oxide film used in this invention for example the gate insulation film
  • the percentage of the inert gasses is lower than 50% with respect to the halogen and oxide gasses, desirably no inert gas.
  • a halogen containing gas is mixed with an oxygen containing gas at 2-20% volume, it is possible to neutralize the alkali ions that are incidentally mixed into the silicon oxide film 15 , and at the same time makes it possible to neutralize the silicon dangling bonds.
  • a semiconductor layer e.g. Si by sputtering, CVD or the like, doped with an impurity e.g. phosphorous for giving one conductivity type thereto, following which the layer is patterned in accordance with a prescribed mask pattern so that a gate electrode 20 is formed as shown in FIG. 4C .
  • the gate electrode 20 is not limited to a doped semiconductor but metals or other materials may also be used.
  • the semiconductor layer 17 underneath the gate electrode 20 is made into a channel region of the insulated-gate type semiconductor device.
  • an insulating layer 18 is formed to cover the entire surface of the above structure, holes are made in the layer 18 for source and drain electrode contacts and on these holes an aluminum film is formed by sputtering, and then by using a predetermined pattern, the source electrode 16 and the drain electrode 16 are formed whereby the insulated-gate type semiconductor device is completed.
  • the semiconductor layer that forms the channel region 17 and the semiconductor layers that form the source 14 and the drain 14 ′ are made, of the same material simplifying the manufacturing process. Also, semiconductor is crystallized in the source and drain regions as well as in the channel region, thus the carrier mobility is enhanced, which makes it possible to make an insulated-gate type semiconductor device that has high electrical characteristics.
  • this embodiment is completed by performing hydrogen thermal anneal in a 100% hydrogen atmosphere, at a temperature of 375° C. for 30 minutes.
  • This hydrogen thermal anneal lowers the grain boundary potential in the poly-crystalline semiconductor improving the characteristics of the device.
  • the size of the channel 17 of the thin-film transistor shown in FIG. 4(D) of this embodiment is 100 ⁇ 100 ⁇ m.
  • the thin film transistors are formed using the poly-crystalline semiconductor in this embodiment.
  • RF sputtering or direct-current sputtering can be used, however, if the sputter target is made of an oxide with poor conductivity such as SiO 2 , in order to maintain a constant electrical discharge, the RF magnetron sputtering method is desired.
  • the oxide gas can be oxygen, ozone, or nitrous oxide, however, if ozone or oxygen is used, the silicon oxide film does not take in unnecessary atoms making it possible to obtain a very good insulation film, for example the gate insulation film. Also it is easy to decompose ozone into O radical and so the number of O radical generated in a unit area is large contributing to the improvement of the film formation speed.
  • the halogen containing gas can be fluoride gas such as nitrogen fluoride (NF 3 , N 2 F 4 ), or hydrogen fluoride gas such as (HF), fluorine gas (F 2 ) or fleon gas.
  • the NF 3 gas easy to chemically decompose and to handle is desirable.
  • chlorine gas it can be carbon chloride (CCl 4 ), chlorine (Cl 2 ), or hydrogen chloride (HCl).
  • the quantity of, halogen gas, for example nitrogen fluoride is 2 to 20% volume with respect to the quantity of the oxide gas, for example oxygen.
  • the halogen elements during heat treatment, neutralize the alkali ions such as sodium in the silicon oxide and has an effect on neutralizing the silicon dangling bond, however if the quantity of the halogen elements is too large, the compound SiF 4 is formed in the film, which is a gas component and would lower the film quality and therefore is not desired.
  • the quantity of halogen elements mixed into the film is 0.1 to 5 atomic % with respect to the silicon.
  • the quantity of the inert gas argon is more than oxygen.
  • oxygen is 0 to about 10% volume.
  • the prior art sputtering method it is natural to think that the argon gas hits the target material, resulting in that the target grains are generated to form the film on the surface. This is because the probability that the argon gas will hit the target material (sputtering yield) is high.
  • the flatband voltage is the voltage required to oppose the effect of the fixed charge in the insulation film, the lower this voltage the better the characteristics of the insulation film are.
  • the SiO 2 film is formed by the sputtering method on the non-single-crystal semiconductor prepared in accordance with the present invention
  • the relationship between the proportion of argon gas with respect to oxygen and the flatband voltage is shown in FIG. 5 .
  • the objects observed in this experiment is prepared in the following manner, an SiO 2 film is formed by sputtering on the poly-crystalline semiconductor layer shown in FIG. 4A and then an Al electrode is formed on it by electron beam evaporation.
  • the flatband voltage is apparently reduced when compared to 100% argon gas.
  • the shift from the ideal value of the flatband voltage depends largely on the proportion of argon gas. If the percentage of argon gas is less than 20%, the flatband voltage is very close to the ideal voltage.
  • the activated argon atoms in the reactive atmosphere when forming the film by the sputtering method have an effect on the film quality of the gate insulation film, and so it is desired for the sputtering film forming to lower the amount of argon atoms as much as possible.
  • the reason is that the film formation surface is damaged by argon ions or by activated argon atoms colliding thereon, which results in forming interface states or fixed charges.
  • FIG. 6 shows the relationship between the shift ⁇ V FB from the ideal flatband voltage and the percentage of fluorine with respect to oxygen in the sputtering gas (O 2 /NF 3 volume %).
  • a 1 mm diameter aluminum electrode is formed on top of the silicon oxide film 15 doped with, halogen elements on the poly-crystalline silicon semiconductor 13 prepared in accordance with this invention, ( FIG. 4A ) then a thermal annealing is done at 300° C. followed by a B-T (bias-temperature) process. Further a negative bias voltage of 2 ⁇ 10 6 V/cm is applied to the gate electrode at a temperature of 150° C. for 30 minutes, then in the same conditions, a positive bias voltage is applied and in this state the shift of the flatband voltage ⁇ V FB is measured.
  • FIG. 7 shows the withstand voltage of the SiO 2 film when more fluoride gas is added.
  • the withstand voltage is the voltage measured, using a 1 mm diameter aluminum electrode, when the leak current exceeds 1 ⁇ A.
  • the value is shown by X and ⁇ (dispersion sigma value).
  • the withstand voltage becomes lower as the percentage of fluorine gas is increased to more than 20% and the ⁇ value becomes larger. Therefore it is best if the added halogen element is less than 20% volume, normally 2 to 20% is good.
  • the materials used in sputtering be highly pure.
  • a sputtering target made of 4N or more synthetic quartz, or high grade silicon as used for the LSI substrate is very desired.
  • the sputtering gas used is very pure (5N or more), and mixing of impurities with the silicon oxide film is avoided as much as possible.
  • the silicon oxide film which is the gate insulation film formed by the sputtering method in an oxygen atmosphere with fluorine added, is irradiated by an excimer laser, and flash anneal is performed.
  • halogen elements such as fluorine introduced in the film are activated, to neutralize the silicon dangling bonds, so that the cause of the fixed charge in the film is removed.
  • by selecting a suitable excimer laser power and shot number activation of both the above halogen element and the semiconductor layer underneath the gate insulation film can be performed simultaneously.
  • the channel formation region of this embodiment is obtained by applying heat of 450 to 700° C., e.g. 600° C. for crystallization to a non-crystalline, i.e. amorphous or close to amorphous semiconductor (referred as a-Si hereinafter) obtained by the sputtering method in a hydrogen atmosphere or inert gas atmosphere with hydrogen mixed in.
  • a-Si amorphous or close to amorphous semiconductor
  • the semiconductor after the crystallization had an average grain diameter of about 5 to 400 ⁇ , and the quantity of hydrogen mixed in the semiconductor film was 5 atomic % or less.
  • the crystals of this semiconductor has a distorted lattice and the boundaries of all of the crystal grains are bonded tightly at a microscopic view point, and the barriers to the carriers in the boundary regions are substantially eliminated.
  • the proportion of amorphous elements is large. Portions of this amorphous element tends to be oxidized naturally and the inside of the semiconductor is oxidized.
  • the sputtering film is very densified and natural oxidation does not advance inside the semiconductor film, only the surface and a region closer to surface are oxidized. This densified micro-structure makes it possible for the distorted lattice crystal grains to be pressed up very close together, not allowing the energy barrier against carriers to be formed along the crystal grain boundaries.
  • the quantity of oxygen impurities in the semiconductor film formed with this method is found to be 2 ⁇ 10 20 atoms ⁇ cm ⁇ 3 the quantity of carbon was 5 ⁇ 10 18 atoms ⁇ cm ⁇ 3 , and the quantity of hydrogen mixed in is less than 5%.
  • concentration value of the impurities measured using the SIMS method was taken in the direction of depth of the semiconductor, and because the concentration changes in that direction, the values recorded are the minimum values in that direction. The reason for this is thought to be the naturally oxidized film on or closer to the surface of the semiconductor film. The concentration value of the impurities does not change even after crystallization took place.
  • the concentration of impurities is as low as possible for forming semiconductor devices, however, in the case of the present invention, even if oxygen is included in the semiconductor at 2 ⁇ 10 20 atoms ⁇ cm ⁇ 3 , the property of the semiconductor such as carrier mobility is not hindered because the semiconductor has a crystalline structure with a distorted lattice so that grain boundaries can be reduced.
  • the peak indicating the existence of crystals has shifted to a lower wavenumber when compared to the peak of normal single-crystal silicon (520 cm ⁇ 1 ), proving the existence of a distorted lattice.
  • Example number 1 2 3 4 5 6 Partial pressure % 0 5 20 30 50 80
  • the partial pressure is calculated as the percentage of hydrogen in the total sputtering gas, H 2 /(H 2 +Ar) ⁇ 100%.
  • Test 6 corresponds to Embodiment 3.
  • the other conditions are substantially the same as the conditions of Embodiment 3.
  • curve A shows the relationship between the threshold voltage Vth and the hydrogen partial pressure ratio.
  • Curve B is used for comparison with the construction of this invention and the case similar to this embodiment except that the oxidized gate film does not have fluorine mixed in.
  • FIG. 9 it can be seen that when a gate insulation film with fluorine mixed in is used, as in the construction of this invention, a lower threshold voltage is obtained when compared with the insulated-gate field-effect transistor which uses the prior art gate insulation film.
  • FIG. 9 shows that with a condition of high hydrogen partial pressure in the sputtering gas, a threshold voltage of 2 V or less, in normally off condition, can be obtained.
  • FIG. 9 also shows that the higher the partial pressure of hydrogen the lower the threshold voltage is.
  • FIGS. 10 to 14 show the relationship between the drain voltage and the drain current with a gate voltage as a parameter in the IG-FET formed in the comparison test above.
  • Curves a, b, and c of FIGS. 10 to 14 correspond to gate voltages VG of 20 V, 25 V, and 30 V.
  • the effects of the hydrogen partial pressure can be seen in comparing FIG. 11 (partial pressure 5%) and FIG. 12 (partial pressure 20%).
  • FIGS. 11 and 12 when the drain currents (curve c) are compared to each other at the gate voltage, of 30V, it can be seen that the drain current when the hydrogen partial pressure is 20% is 10 times larger or more than when the partial pressure is 5%.
  • FIG. 15 is a Raman spectrogram of the semiconductor layer of the heat crystallized a-Si film with hydrogen partial pressure ratios of 0, 5, 20, and 50%.
  • the curves 91 , 92 , 93 , and 94 correspond to the partial pressure ratios 0, 5, 20, and 50%, respectively.
  • the average diameter of the crystal grains were, from half-value width, 5 to 400 ⁇ , e.g. 50 to 300 ⁇ .
  • the peak position of the Raman spectrograph is shifted to the lower wavenumber side a little off from the 520 cm ⁇ 1 location of the single crystal silicon peak, which clearly indicates that there is distortion in the lattice.
  • the crystalline structure is distorted in the above manner, the barriers which exists at grain boundaries can be eliminated, therefore, the carrier mobility can be improved. Also, the segregation of impurities such as oxygen at the boundaries becomes very difficult to be formed, resulting in that high carrier mobility is possible. For this reason, even if the concentration of impurities in the semiconductor film is in a degree of 2 ⁇ 10 20 atoms ⁇ cm ⁇ 3 , no barriers against the carrier are formed, and the film can be used as the channel region of an insulated-gate semiconductor.
  • I D ( W/L ) ⁇ C ( V G ⁇ V T ) V D (i)
  • W is the channel width
  • L is the channel length
  • is the carrier mobility
  • C is the electrostatic-capacitance of the gate oxide film
  • V G is the gate voltage
  • V T is the threshold voltage.
  • the variables in equation (i) are I D , V G , and V D .
  • V G is fixed, and so it is seen that the curves are given by equation (i), and this equation describes the curves near the origin of FIGS. 10 through 14 . This is because this equation was approximately developed for when the drain voltage V D is low.
  • the hydrogen partial pressure ratio is the atmosphere condition in the magnetron RF sputtering method used when forming the a-Si film 13 of FIG. 4(A) which becomes the channel formation region 17 of FIG. 4(D) of this embodiment.
  • the S value is the minimum value of [d(I D )/d(V G )] ⁇ 1 of the initial rise slope of the curves of the graphs that show the relationship between the gate voltage (V G ) and the drain current (I D ), which describes the characteristics of the device. As this value gets smaller, the inclination of the curves showing the (V G -I D ) characteristics becomes sharper, and the electrical characteristic of the device is high.
  • the on/off characteristic is the log of the minimum ratio value of the drain current, which occurs at a certain gate voltage and fixed drain voltage, and the drain current when the gate voltage is varied at the same fixed drain voltage.
  • intense light or laser irradiation of 1000 nm or less, can also be applied continuously or in pulses, to the substrate or the sputtered and flying target particles.
  • an insulated-gate type semiconductor device is formed as shown in FIG. 16 .
  • Coating the insulated substrate with a silicon oxide film is done in the same process as in Embodiment 1, however, in this embodiment the formation of the gate insulation film is finished before the formation of the semiconductor layer which forms the channel region.
  • On a surface of an insulation film 12 3000 ⁇ , thick metallic molybdenum is formed by a sputtering method, then a prescribed patterning is performed, so that gate electrode 20 is formed.
  • a 100 nm thick gate oxide film (SiO 2 ) 15 is formed by a magnetron RF sputtering method in the conditions below.
  • Oxygen 95% NF 3 5% Pressure 0.5 Pa Formation Temperature: 100 C.
  • a 100 nm thick a-Si film 13 which will become a channel formation region, is formed by a magnetron RF sputtering.
  • the conditions of formation are as shown below in an inert argon and hydrogen gas atmosphere.
  • the target used is made of poly-crystalline or non-single crystalline silicon.
  • the laminar structure is annealed for 10 hours in an atmosphere of hydrogen or inactive gas, for example, in an N 2 atmosphere at a temperature in the range of 450-700° C., specifically, at 600° C., as a result, the a-Si film 13 is crystallized.
  • the semiconductor layer formed by this method is analyzed by SIMS analysis, the quantity of oxide impurities existing in the semiconductor layer is found to be 1 ⁇ 10 20 atoms ⁇ cm ⁇ 3 , the quantity of carbon is 4 ⁇ 10 18 atoms ⁇ cm ⁇ 3 , and the amount of hydrogen is 5% or less. In so doing, the channel region 17 is formed over the gate electrode 20 .
  • n + a-Si film 14 is formed in the following conditions by a magnetron RF sputtering method.
  • the conditions of film formation are as follows and in an atmosphere of hydrogen partial pressure ratio of 10 to 99% or more (in this example 80%), and argon partial pressure ratio 10 to 99% (in this example 19%).
  • the target used is single-crystal silicon doped with phosphorus.
  • an aluminum layer as source and drain electrodes is formed, patterning is performed, and the source and drain impurity regions 14 and 14 ′ as well as the source and drain electrodes 16 and 16 ′ are formed, wherein the semiconductor device is completed.
  • the gate insulation is formed before the semiconductor layer for the channel formation region, the boundary regions between the gate insulation film and the channel region are moderately heat annealed during the heat crystallization process, thus making it possible to lower the density of boundary levels.
  • the inert gas used is argon, however other inert gasses such as helium can be used, or reactive gasses such as SiH 4 or Si 2 H 6 which have been made plasmatic can also be used.
  • the concentration of hydrogen is in the range of 20 to 100%
  • the film formation temperature is in the range of 50 to 500° C.
  • the RF power output is in the range of 1 W to 10 MW at a frequency in the range of 500 Hz to 100 GHz.
  • the values within these ranges can be freely selected, in addition it is possible to use a pulse energy source.
  • the hydrogen gas used for the sputtering can be converted to plasma more effectively by the use of an intense light (having wavelength 1000 nm or less) or an electron cyclotron resonance (ECR).
  • an intense light having wavelength 1000 nm or less
  • ECR electron cyclotron resonance
  • the a-Si is utilized as the non-crystalline semiconductor, however, other semiconductors such as germanium or a silicon-germanium mixture Si x Ge 1-x (0 ⁇ x ⁇ 1) can also be used.
  • the present invention can be used in stagger-type, coplanar-type, reverse-stagger-type, and reverse-coplanar-type insulated-gate field effect transistors.
  • FET is mentioned here but this invention is not limited to FET but also be used in the insulated film of other semiconductor devices such as DRAM.
  • the halogen gasses such as fluorine are used, however, other gasses such as phosphorus, carbon, or nitrogen with a density of 1 ⁇ 10 19 to 5 ⁇ 10 20 atomic % can also be used.
  • the insulation film used is SiO 2 , however, according to specific needs, alumina, tantalum oxide, barium titanate, or silicon nitride can be used in the same way.

Abstract

A gate-insulated thin film transistor is disclosed. One improvement is that the thin film transistor is formed on a substrate through a blocking layer in between so that it is possible to prevent the transistor from being contaminated with impurities such as alkali ions which exist in the substrate. Also, a halogen is added to either or both of the blocking lay r and a gate insulator of the transistor.

Description

    BACKGROUND OF THE INVENTION
  • This invention relates to a thin-film transistor (from here on will also be referred to as a TFT) which is made of non-single-crystal semiconductor, for example an IG-FET, and its manufacturing process, and in more particular, to a highly reliable thin-film transistor which is suitable for use as a driving element of a display image sensor or liquid crystal device or the like.
  • Thin-film transistors can be formed by a chemical vapor deposition method on an insulated substrate in a comparatively low temperature atmosphere, with a maximum temperature of 500° C., and the substrate being made of an inexpensive material such as soda glass or boron-silicate glass.
  • This thin-film transistor is a field-effect transistor and has the same features as a MOSFET. In addition, as mentioned above, it has the advantage that it can be formed on an inexpensive insulated substrate at a low temperature. Also the thin film transistors can be formed on a large substrate by the use of CVD techniques. It is therefore a very good prospect for use as switching elements of a matrix type liquid crystal display having a lot of picture elements, or as switching elements of a one-dimensional or two-dimensional image sensor.
  • Also, the thin-film transistors can be formed using already established photolithography technology, by which a very minute process is possible, and transistors can be integrated just as making an IC and so on. FIG. 1 shows the construction of a typical prior art TFT.
  • In FIG. 1, the thin-film transistor is comprised of an insulated substrate 20 made of glass, a semiconductor thin film 21 made of a non-single-crystal semiconductor, a source 22, a drain 23, a source electrode 24, a drain electrode 25, gate insulating film 26, and a gate electrode 27.
  • In this type of thin-film transistor, the current flow between the source 22 and the drain 23 is controlled by applying a voltage to the gate electrode 27. The response speed of the thin-film transistor is given by the equation;
  • S=μ·V/L2 where L is a channel length, μ is a carrier mobility, and V is the gate voltage.
  • In this type of thin-film transistor, the non-single-crystal semiconductor layer contains many grain boundaries. The non-single-crystal semiconductor, when compared to the single-crystal semiconductor, has disadvantages that the carrier mobility is very low and thus the response speed of the transistor is very slow due to the many grain boundaries. Especially if an amorphous silicon semiconductor is used, the mobility is only about 0.1-1 (cm2/V.sec) and is too short to function for use as a TFT.
  • It is obvious that to solve this problem the channel length needs to be shortened and the carrier mobility increased. Many improvements are being made.
  • When the channel length L is decreased, the effect it has on the response speed is as the square of the length, and so it is a very effective means. However, when forming elements on a large area substrate, it is apparently difficult to use the photolithography technique in order that the space between the source and drain (this is essentially the channel length) should 10 μm or less, due to the precise process, yield, and manufacturing cost problems. Consequently, effective means for shortening the channel length of the TFT have not been found.
  • On the other hand, to increase the mobility (μ) of the semiconductor layer, single-crystal semiconductor or poly-crystal semiconductor material is used, and when using amorphous semiconductor material, after the semiconductor is formed, the active region of the TFT should be crystallized using a process such as heat treatment.
  • In this case, a temperature higher than what is normally required to form a-Si is necessary. For example;
  • (1) For a thin-film transistor made of amorphous semiconductor material, the amorphous silicon film is made at a temperature of about 250° C. and then a maximum temperature of 400° C. is required for thermal annealing.
    (2) When a poly-crystal silicon film is formed by a low pressure CVD method, the maximum temperature required for forming the film and then for recrystallization is 500 to 650° C.
    (3). For a thin-film transistor where only an active layer is converted to a poly-crystalline structure, the required CVD temperature for forming the semiconductor layer is 250 to 450° C., however the temperature exceeds 600° C. during a recrystallization step of the active layer by CW laser.
  • The TFT is formed on a substrate made of a material such as soda glass and the active region comes in direct contact with the glass substrate, especially in the case of stagger-type and coplanar-type transistors. When making a TFT that has sufficiently, fast response speed, the heat treatment mentioned above is necessary, and so the metallic alkali impurities such as sodium and potassium which exist, in the glass substrate are externally diffused and forced into the semiconductor layer which forms the active layer or TFT. This lowers the mobility of the semiconductor layer and changes the threshold value, making the characteristics of the device worse and has an adverse effect on the long-term reliability of the device.
  • Also, through operation of the TFT, the TFT produces heat which causes the temperature of the glass substrate to rise thus causing-impurities to be diffused from the substrate, which also has an adverse effect on the TFT.
  • Generally, a gate-insulator of the IG-FET is made of a silicon oxide film which is formed by a sputtering method with argon (Ar) gas used as a sputtering gas. In the sputtering process, the argon atoms are inherently introduced into the gate insulator and generates a fixed charge in the semiconductor film. Also, ions that exist in a reaction space during the sputtering collide with the surface of the active layer of the thin-film transistor, which causes a damage to the active layer. As a result, a mixed layer of the active layer and the insulation layer is formed in the boundary region of the gate insulation layer and the active layer of the transistor. In producing a TFT as described above, the problems of response speed and reliability need to be solved.
  • SUMMARY OF THE INVENTION
  • It is therefore an object of the present invention to produce a high speed TFT which uses non-single-crystal semiconductor. It is another object of the present invention to solve the problem of reliability mentioned above.
  • In order to solve the above problems, in this invention an insulation layer 500 Å to 5000 Å thick is formed on the glass substrate as a bottom protective film before the TFT elements are formed, and the TFT elements are formed on top of this protective film. In this structure, it is possible to keep the impurities existing in the glass substrate from going into the active layer of a thin-film transistor or into the transistor elements themselves, and to provide a thin-film transistor that has high mutual conductance and high field-effect mobility. Also it suppresses the diffusion of impurities from the substrate which occurs when heat is generated during operation of the device. It also provides a thin-film transistor that can control degeneration of the electrical characteristics and has long-term stability and reliability.
  • Also by adding a halogen element to the protective film or to the gate insulator, impurities intruded from the outside or impurities in the film can be neutralized. Interface states between the insulation layer and the semiconductor layer can also be reduced by the halogen element. This increases stability and reliability of the TFT.
  • BRIEF EXPLANATION OF THE DRAWINGS
  • FIG. 1 shows a cross sectional view of a part of a prior art thin film transistor;
  • FIGS. 2(A) to 2(C) show a first embodiment of a manufacturing process of a thin-film transistor in accordance with the present invention;
  • FIGS. 3(A) to 3(C) show a second embodiment of a manufacturing process of the thin-film transistor in accordance with the present invention;
  • FIGS. 4(A) to 4(D) show a third embodiment of a manufacturing process of the thin-film transistor in accordance with the present invention;
  • FIG. 5 is a graph to show a relationship between the flatband voltage of an insulation film formed by a sputtering method and the percentage of argon in the sputtering gas;
  • FIG. 6 is a graph to show a relationship between the flatband voltage of the insulation film formed by a sputtering method and the percentage of fluoride gas in the sputtering gas;
  • FIG. 7 is a graph to show a relationship between the withstand voltage of the insulation film formed by a sputtering method and the percentage of fluoride gas in the sputtering gas;
  • FIG. 8 is a graph to show a relationship between the mobility of the non-single-crystal semiconductor formed by a sputtering method and the partial pressure of hydrogen in the sputtering gas;
  • FIG. 9 shows a relationship between the partial pressure of hydrogen in the sputtering gas and the threshold voltage;
  • FIGS. 10 to 14 show the characteristics of the TFT source current and the source voltage;
  • FIG. 15 shows a Raman spectrogram of the semiconductor layer formed in the present invention;
  • FIG. 16 is a cross sectional view of a part of the structure produced by a fourth embodiment of a manufacturing process of the thin-film transistor in the present invention.
  • DETAILED DESCRIPTION OF THE EMBODIMENTS
  • Below the preferred embodiments of this invention will be used to explain the above and other characteristics of this invention.
  • Embodiment 1
  • The manufacturing process of the planar type thin-film transistor in accordance with a first embodiment of the present invention is shown in FIG. 2(A) to FIG. 2(C).
  • First a glass substrate 1 is made of soda glass and on an entire surface of the substrate 1, a 300 nm thick silicon oxide bottom protective film 2 is formed by sputtering. The formation conditions of the film are shown below.
  • Sputtering Gas oxygen 100%
    Reaction Pressure  0.5 Pa
    RF Power 400 W
    Substrate Temperature 150° C.
    Film Formation Speed  5 nm/min
  • Next, an approximately 100 nm thick I-type conductivity non-single-crystal silicon semiconductor film 3 is formed by a CVD method on the protective film 2. The manufacturing conditions are shown below
  • Substrate Temperature  300° C.
    Reaction Pressure 0.05 Torr
    Rf Power (13.56 MHz)   80 W
    Gas Used SiH4
  • After this, a predetermined etching step is performed, so that the structure shown in FIG. 2(A) is obtained.
  • Next, in at least one region of the semiconductor film 3 the active layer is formed using an excimer laser to perform laser anneal in this region allowing poly-crystallization. The conditions are as follows.
  • Laser energy density 200 mJ/cm2
    Number of Irradiation Shots  50 times
  • Then a non-single-crystalline silicon layer 4 which has an N-type conductivity is formed on the above structure by a CVD method as a low resistance non-single-crystal semiconductor layer. The formation conditions are as follows.
  • Substrate Temperature  220° C.
    Reaction Pressure  0.05 Torr
    Rf Power (13.56 MHz)  120 W
    Gas Used SiH4 + PH3
    Film Thickness 1500 Å
  • When making this N-type non-single-crystal silicon semiconductor layer 4, a large quantity of H2 gas can be used and the RF power can be increased to form micro-crystals which lowers the electrical resistance.
  • Then, a part of the N-type semiconductor layer 4 is etched by using a photolithography so that it is patterned into source and drain regions 4 and a channel region 7 is defined therebetween as shown in FIG. 2B.
  • After that, hydrogen plasma processing is performed under the following conditions to activate the channel region 7.
  • Substrate Temperature 250° C.
    RF Power 100 W
    Processing Time
     60 minutes
  • On top of the structure, shown in FIG. 2B, a 100 nm thick gate insulation film 5 is formed using the same material and same method as the bottom protective film 2. The contact holes for the source and drain regions are formed using an etching method and then the source, drain, and gate electrodes 6 are formed using aluminum. Through the above process, the IG-FET shown in FIG. 2(C) is made.
  • In this embodiment, the gate insulation film 5 and the bottom protective film 2 are made of the same material and are made using the same method. Therefore during heat treatment of the thin-film transistor, or when heat is generated during operation of the transistor, there is no difference in the heat expansion of the two and so there is no breakage or pealing of the aluminum or metal electrodes on top, giving the transistor long-term reliability.
  • Embodiment 2
  • FIGS. 3A to 3C show a manufacturing process of an IG-FET in accordance with a second embodiment of the present invention. First, a 500 Å to 5000 Å thick silicon oxide film 2 is formed by a sputtering method on top of the soda glass substrate 1 as a protective film in a same manner as in Embodiment 1. Next, on the bottom protective film 2, a 200 nm thick molybdenum metallic layer 10 is formed. Formed on top of this structure is a non-single-crystal silicon film 8 which has a P-type conductor and has a low resistance. The formation conditions this time are as follows.
  • Substrate Temperature  230° C.
    Reaction Pressure 0.05 Torr
    Rf Power (13.56 MHz)  150 W
    Gas Used Si4 + B2H6
    Film Thickness  200 Å
  • This semiconductor layer can have ohmic contact with the I-type semiconductor layer that will be formed later in the process.
  • Next, a predetermined pattern is etched, and the structure shown in FIG. 3(A) is obtained. On top of this structure, a 200 nm thick I-type non-single-crystal silicon semiconductor film 3 is formed by a sputtering method. The formation conditions are as follows.
  • Substrate Temperature 250° C.
    Reaction Pressure  0.2 Pa
    Rf Power (13.56 MHz)  80 W
    Gas Used Ar
  • Then, using the same process as described in Embodiment 1, the I-type semiconductor layer 3 is heat treated causing poly-crystallization and using a hydrogen plasma process it is activated and the structure shown in FIG. 3(B) is obtained.
  • Further, SiO2 is formed by sputtering to be 100 nm thick as a gate insulator 5 in the same manner as in the Embodiment 1, after which molybdenum gate electrode 9 is formed in the predetermined pattern. Thus a thin-film transistor is formed as shown in FIG. 3(C).
  • In this embodiment, because there is a metallic electrode underneath the low resistance semiconductor layer 8, the wire resistance is very low. For a TFT that is used as the switching element of a large area liquid crystal device, if the wire resistance is low, the drive signal wave form is not distorted and the liquid crystal device can be driven at a high speed.
  • The silicon oxide film of this embodiment is formed using the sputtering method but may also be formed using photo CVD, plasma CVD, or thermal CVD.
  • Embodiment 3
  • This embodiment will be explained referring to FIG. 4(A) to FIG. 4(D). In this embodiment a halogen element is added to the protective film on the glass substrate or to the gate insulator of the IG-FET or more preferably to the both.
  • In FIG. 4(A) a 200 nm thick SiO2 film 12 is formed on a glass substrate 11 using a magnetron-type RF sputtering method with the following formation conditions.
  • Reaction Gas O2 95% volume
    NF
    3 5% volume
    Film Formation Temperature 150° C.
    RF Power (13.56 MHz) 400 W
    Pressure  0.5 Pa
    Silicon is used as a target.
  • On top of this film 12, a 100 nm thick a-Si film 13 is formed by a magnetron RF sputtering in order to form a channel region, so that the structure shown in FIG. 4(A) is obtained. The film formation is done in an atmosphere of inert gas of argon and hydrogen and in the conditions shown below.
  • H2/(H2 + Ar) = 80% (partial
    pressure ratio)
    Film Formation Temperature 150° C.
    RF Power (13.56 MHz) 400 W
    Total Pressure  0.5 Pa

    Single crystal silicon is used as the target.
  • After this, at a temperature of 450° C. to 700° C. for example at 600° C. and in an atmosphere of hydrogen or inactive gas, in this embodiment 100% nitrogen is used, the a-Si film 13 is heat-crystallized for 10 hours, so that a silicon semiconductor layer having a high crystallinity is obtained. Besides, if a non-single crystalline silicon target is used and the input power is lowered, the crystal size becomes smaller and the crystalline condition becomes dense and therefore the subsequent heat-crystallization of the film will be facilitated.
  • Patterning is performed on this heat crystallized silicon semiconductor, and the structure shown in FIG. 4(B) is obtained. In a portion of the semiconductor layer 13, the channel formation region of the insulated-gate semiconductor will be formed.
  • Next, a 100 nm thick silicon oxide film (SiO2) 15 is formed by the magnetron-type RF sputtering method in the following formation conditions.
  • Oxygen 95% volume;
    NF 3  5% volume
    Pressure  0.5 Pa
    Film Formation Temperature 100° C.
    RF Power (13.56 MHz) 400 W

    A silicon target or synthetic quartz target is used.
  • If an amorphous silicon target is used and the applied power is lowered, a densified silicon oxide film is obtained where it is difficult for a fixed charge to exist.
  • When the silicon oxide film used in this invention, for example the gate insulation film, is formed using the sputtering method, it is preferable that the percentage of the inert gasses is lower than 50% with respect to the halogen and oxide gasses, desirably no inert gas.
  • Also, if a halogen containing gas is mixed with an oxygen containing gas at 2-20% volume, it is possible to neutralize the alkali ions that are incidentally mixed into the silicon oxide film 15, and at the same time makes it possible to neutralize the silicon dangling bonds.
  • On the silicon oxide film 15 is formed a semiconductor layer e.g. Si by sputtering, CVD or the like, doped with an impurity e.g. phosphorous for giving one conductivity type thereto, following which the layer is patterned in accordance with a prescribed mask pattern so that a gate electrode 20 is formed as shown in FIG. 4C. The gate electrode 20 is not limited to a doped semiconductor but metals or other materials may also be used.
  • Next, using the gate electrode 20 or a mask on top of the gate electrode 20, self-aligning impurity regions 14 and 14′ are formed by ion implantation. In so doing, the semiconductor layer 17 underneath the gate electrode 20 is made into a channel region of the insulated-gate type semiconductor device.
  • After an insulating layer 18 is formed to cover the entire surface of the above structure, holes are made in the layer 18 for source and drain electrode contacts and on these holes an aluminum film is formed by sputtering, and then by using a predetermined pattern, the source electrode 16 and the drain electrode 16 are formed whereby the insulated-gate type semiconductor device is completed.
  • In this invention, the semiconductor layer that forms the channel region 17 and the semiconductor layers that form the source 14 and the drain 14′ are made, of the same material simplifying the manufacturing process. Also, semiconductor is crystallized in the source and drain regions as well as in the channel region, thus the carrier mobility is enhanced, which makes it possible to make an insulated-gate type semiconductor device that has high electrical characteristics.
  • Finally, this embodiment is completed by performing hydrogen thermal anneal in a 100% hydrogen atmosphere, at a temperature of 375° C. for 30 minutes. This hydrogen thermal anneal lowers the grain boundary potential in the poly-crystalline semiconductor improving the characteristics of the device.
  • The size of the channel 17 of the thin-film transistor shown in FIG. 4(D) of this embodiment is 100×100 μm.
  • As explained in the above, the thin film transistors are formed using the poly-crystalline semiconductor in this embodiment.
  • For the sputtering method used in this embodiment, either RF sputtering or direct-current sputtering can be used, however, if the sputter target is made of an oxide with poor conductivity such as SiO2, in order to maintain a constant electrical discharge, the RF magnetron sputtering method is desired.
  • The oxide gas can be oxygen, ozone, or nitrous oxide, however, if ozone or oxygen is used, the silicon oxide film does not take in unnecessary atoms making it possible to obtain a very good insulation film, for example the gate insulation film. Also it is easy to decompose ozone into O radical and so the number of O radical generated in a unit area is large contributing to the improvement of the film formation speed.
  • The halogen containing gas can be fluoride gas such as nitrogen fluoride (NF3, N2F4), or hydrogen fluoride gas such as (HF), fluorine gas (F2) or fleon gas. The NF3 gas easy to chemically decompose and to handle is desirable. For chlorine gas, it can be carbon chloride (CCl4), chlorine (Cl2), or hydrogen chloride (HCl). The quantity of, halogen gas, for example nitrogen fluoride, is 2 to 20% volume with respect to the quantity of the oxide gas, for example oxygen. The halogen elements, during heat treatment, neutralize the alkali ions such as sodium in the silicon oxide and has an effect on neutralizing the silicon dangling bond, however if the quantity of the halogen elements is too large, the compound SiF4 is formed in the film, which is a gas component and would lower the film quality and therefore is not desired. Normally, the quantity of halogen elements mixed into the film is 0.1 to 5 atomic % with respect to the silicon.
  • In forming the gate insulation film by the sputtering method as is done in the prior art, the quantity of the inert gas argon is more than oxygen. Conventionally, oxygen is 0 to about 10% volume. In the prior art sputtering method, it is natural to think that the argon gas hits the target material, resulting in that the target grains are generated to form the film on the surface. This is because the probability that the argon gas will hit the target material (sputtering yield) is high. We the inventors, earnestly examined the characteristics of the gate insulation film formed by the sputtering method and found that the shift from the ideal value of flatband voltage, which reflects the number of fixed charges in the gate insulation film, and the interface states between the activation layer and the gate insulation film, indicating the gate insulation film performance, largely depends on the proportion of argon gas in sputtering. The flatband voltage is the voltage required to oppose the effect of the fixed charge in the insulation film, the lower this voltage the better the characteristics of the insulation film are.
  • When the SiO2 film is formed by the sputtering method on the non-single-crystal semiconductor prepared in accordance with the present invention, the relationship between the proportion of argon gas with respect to oxygen and the flatband voltage is shown in FIG. 5. The objects observed in this experiment is prepared in the following manner, an SiO2 film is formed by sputtering on the poly-crystalline semiconductor layer shown in FIG. 4A and then an Al electrode is formed on it by electron beam evaporation.
  • When the volume of argon is less than that of oxidizing gas (oxygen in the case of FIG. 5), for example 50% or less, the flatband voltage is apparently reduced when compared to 100% argon gas. The shift from the ideal value of the flatband voltage depends largely on the proportion of argon gas. If the percentage of argon gas is less than 20%, the flatband voltage is very close to the ideal voltage. The activated argon atoms in the reactive atmosphere when forming the film by the sputtering method, have an effect on the film quality of the gate insulation film, and so it is desired for the sputtering film forming to lower the amount of argon atoms as much as possible.
  • The reason is that the film formation surface is damaged by argon ions or by activated argon atoms colliding thereon, which results in forming interface states or fixed charges.
  • FIG. 6 shows the relationship between the shift ΔVFB from the ideal flatband voltage and the percentage of fluorine with respect to oxygen in the sputtering gas (O2/NF3 volume %).
  • In the experiment, a 1 mm diameter aluminum electrode is formed on top of the silicon oxide film 15 doped with, halogen elements on the poly-crystalline silicon semiconductor 13 prepared in accordance with this invention, (FIG. 4A) then a thermal annealing is done at 300° C. followed by a B-T (bias-temperature) process. Further a negative bias voltage of 2×106 V/cm is applied to the gate electrode at a temperature of 150° C. for 30 minutes, then in the same conditions, a positive bias voltage is applied and in this state the shift of the flatband voltage ΔVFB is measured.
  • As can be clearly seen in FIG. 6, when a silicon oxide was formed by a magnetron RF-sputtering in an atmosphere in which NF3 is 0%, ΔVFB was as much as 9V. However, if just a few halogen elements such as fluorine are added during film formation, this value is suddenly reduced. This is because the positive sodium ions contaminating the film during formation combine with the fluorine and neutralized as follows:

  • Na++F--->NaF

  • Si++F--->Si−F
  • On the other hand, it is known, that adding hydrogen neutralizes the silicon, however, the Si—H bond is likely to be separated again by a strong electric field (BT processing) and causes silicon dangling bonds and causes boundary levels to be formed, and so it is desired to use fluorine for neutralization. Also, there always is a Si—H bond in the silicon oxide film. When this bond is separated again, the fluorine atoms neutralize the separated hydrogen atoms, which is effective in preventing the formation of boundary levels. Moreover, due to the existence of fluorine, the hydrogen bonded to the silicon bonds also with the fluorine, and thus the silicon prevents a fixed charge from developing.
  • FIG. 7 shows the withstand voltage of the SiO2 film when more fluoride gas is added. The withstand voltage is the voltage measured, using a 1 mm diameter aluminum electrode, when the leak current exceeds 1 μA. Depending on the test materials, there is disparity and so in the Figure, the value is shown by X and σ (dispersion sigma value). The withstand voltage becomes lower as the percentage of fluorine gas is increased to more than 20% and the σ value becomes larger. Therefore it is best if the added halogen element is less than 20% volume, normally 2 to 20% is good. Incidentally, when halogen gas was added at 1 volume % with respect to oxygen gas during the film formation, measuring by SIMS (secondary ion mass analysis), it was found that the density of halogen in the film was 2×1020 atoms/cm3. It was found that when added simultaneously, during the sputtering method of film formation, the fluorine element is very easily taken in by the film. However, if too much is added (more than 20%), the silicon oxide film tends to become porous and degraded because of the formation of SiF4, and as a result the withstand voltage becomes poor and very disperse.
  • Also, it is desired that the materials used in sputtering be highly pure. For example, a sputtering target made of 4N or more synthetic quartz, or high grade silicon as used for the LSI substrate is very desired. The sputtering gas used is very pure (5N or more), and mixing of impurities with the silicon oxide film is avoided as much as possible.
  • In this embodiment, the silicon oxide film, which is the gate insulation film formed by the sputtering method in an oxygen atmosphere with fluorine added, is irradiated by an excimer laser, and flash anneal is performed. As a result, it is effective that halogen elements such as fluorine introduced in the film are activated, to neutralize the silicon dangling bonds, so that the cause of the fixed charge in the film is removed. At this time, by selecting a suitable excimer laser power and shot number, activation of both the above halogen element and the semiconductor layer underneath the gate insulation film can be performed simultaneously.
  • Then, following is an explanation regarding the formation of the a-Si semiconductor layer 13 in FIG. 4(A) by sputtering in an atmosphere with hydrogen added, and its heat recrystallization.
  • The channel formation region of this embodiment is obtained by applying heat of 450 to 700° C., e.g. 600° C. for crystallization to a non-crystalline, i.e. amorphous or close to amorphous semiconductor (referred as a-Si hereinafter) obtained by the sputtering method in a hydrogen atmosphere or inert gas atmosphere with hydrogen mixed in. The semiconductor after the crystallization had an average grain diameter of about 5 to 400 Å, and the quantity of hydrogen mixed in the semiconductor film was 5 atomic % or less. Also, the crystals of this semiconductor has a distorted lattice and the boundaries of all of the crystal grains are bonded tightly at a microscopic view point, and the barriers to the carriers in the boundary regions are substantially eliminated. In a conventional poly-crystalline semiconductor without a distorted lattice, impurities such as oxygen tends to be separated at grain boundaries, which forms barriers against carriers, however, in the present invention, the barriers are substantially eliminated by virtue of the distorted lattice and thus the mobility of electrons is 5-300 cm2/V·s, which is very preferable.
  • Furthermore, in a semiconductor film obtained through the plasma CVD method, the proportion of amorphous elements is large. Portions of this amorphous element tends to be oxidized naturally and the inside of the semiconductor is oxidized. On the other hand, the sputtering film is very densified and natural oxidation does not advance inside the semiconductor film, only the surface and a region closer to surface are oxidized. This densified micro-structure makes it possible for the distorted lattice crystal grains to be pressed up very close together, not allowing the energy barrier against carriers to be formed along the crystal grain boundaries.
  • Using SIMS analysis, the quantity of oxygen impurities in the semiconductor film formed with this method is found to be 2×1020 atoms·cm−3 the quantity of carbon was 5×1018 atoms·cm−3, and the quantity of hydrogen mixed in is less than 5%. (The concentration value of the impurities measured using the SIMS method was taken in the direction of depth of the semiconductor, and because the concentration changes in that direction, the values recorded are the minimum values in that direction. The reason for this is thought to be the naturally oxidized film on or closer to the surface of the semiconductor film. The concentration value of the impurities does not change even after crystallization took place.)
  • It is of course preferable if the concentration of impurities is as low as possible for forming semiconductor devices, however, in the case of the present invention, even if oxygen is included in the semiconductor at 2×1020 atoms·cm−3, the property of the semiconductor such as carrier mobility is not hindered because the semiconductor has a crystalline structure with a distorted lattice so that grain boundaries can be reduced.
  • As can be seen from the laser Raman analysis data of this semiconductor film, shown in FIG. 15, the peak indicating the existence of crystals, has shifted to a lower wavenumber when compared to the peak of normal single-crystal silicon (520 cm−1), proving the existence of a distorted lattice.
  • The conditions required during the RF magnetron sputtering for forming the non-single-crystal semiconductor are made clear by the comparison test described below.
  • In order to investigate the relationship between the hydrogen partial pressure in the sputtering gas used when forming the non-single crystal silicon, and the electrical characteristics of the film, the following 6 comparison tests are performed with the hydrogen partial pressure changed.
  • Example number
    1 2 3 4 5 6
    Partial pressure % 0 5 20 30 50 80
  • The partial pressure is calculated as the percentage of hydrogen in the total sputtering gas, H2/(H2+Ar)×100%. Test 6 corresponds to Embodiment 3. The other conditions are substantially the same as the conditions of Embodiment 3.
  • FIG. 8 is a graph showing the relationship between the mobility μ of a non-single crystal silicon and the partial “pressure” ratio (PH/PTOTAL=H2/(H2+Ar)) of hydrogen in the sputtering gas. According to FIG. 8, it is seen that remarkably high mobility is obtained when the hydrogen partial pressure is 20% or more.
  • In the graph of FIG. 9, curve A shows the relationship between the threshold voltage Vth and the hydrogen partial pressure ratio. Curve B is used for comparison with the construction of this invention and the case similar to this embodiment except that the oxidized gate film does not have fluorine mixed in.
  • According to FIG. 9, it can be seen that when a gate insulation film with fluorine mixed in is used, as in the construction of this invention, a lower threshold voltage is obtained when compared with the insulated-gate field-effect transistor which uses the prior art gate insulation film.
  • The lower the threshold voltage, the lower the voltage needed to operate the thin-film transistor becomes, and is considered to have good characteristics for use as a device. Accordingly, the result in FIG. 9, shows that with a condition of high hydrogen partial pressure in the sputtering gas, a threshold voltage of 2 V or less, in normally off condition, can be obtained. FIG. 9 also shows that the higher the partial pressure of hydrogen the lower the threshold voltage is. In all of the above tests, it is found that when the a-Si film, which becomes the channel formation region, is formed by the sputtering method, and as the hydrogen partial pressure is increased, the electrical characteristics of the device are improved.
  • FIGS. 10 to 14 show the relationship between the drain voltage and the drain current with a gate voltage as a parameter in the IG-FET formed in the comparison test above.
  • Curves a, b, and c of FIGS. 10 to 14 correspond to gate voltages VG of 20 V, 25 V, and 30 V. The effects of the hydrogen partial pressure can be seen in comparing FIG. 11 (partial pressure 5%) and FIG. 12 (partial pressure 20%). In FIGS. 11 and 12, when the drain currents (curve c) are compared to each other at the gate voltage, of 30V, it can be seen that the drain current when the hydrogen partial pressure is 20% is 10 times larger or more than when the partial pressure is 5%.
  • From this it is known that when a-Si film. 13 in FIG. 4(A) is made, if the partial pressure ratio of hydrogen, added during sputtering, increases from 5% to 20%, the electrical characteristics of the thin-film transistor greatly improve.
  • FIG. 15 is a Raman spectrogram of the semiconductor layer of the heat crystallized a-Si film with hydrogen partial pressure ratios of 0, 5, 20, and 50%. The curves 91, 92, 93, and 94 correspond to the partial pressure ratios 0, 5, 20, and 50%, respectively.
  • Looking at FIG. 15 and comparing curve 92 with curve 93, or in other words, comparing hydrogen partial pressure ratios of 5% and 20%, it can be seen that when heat crystallization is performed and the hydrogen partial pressure ratio of the sputtering gas is 20%, the Raman spectrogram remarkably shows the crystal characteristics of the silicon semiconductor.
  • The average diameter of the crystal grains were, from half-value width, 5 to 400 Å, e.g. 50 to 300 Å. The peak position of the Raman spectrograph is shifted to the lower wavenumber side a little off from the 520 cm−1 location of the single crystal silicon peak, which clearly indicates that there is distortion in the lattice. These results remarkably show the characteristics of this invention. That is, the effects of making the a-Si film using the sputtering method with hydrogen gas added, appears only when heat crystallization of the a-Si film takes place.
  • When the crystalline structure is distorted in the above manner, the barriers which exists at grain boundaries can be eliminated, therefore, the carrier mobility can be improved. Also, the segregation of impurities such as oxygen at the boundaries becomes very difficult to be formed, resulting in that high carrier mobility is possible. For this reason, even if the concentration of impurities in the semiconductor film is in a degree of 2×1020 atoms·cm−3, no barriers against the carrier are formed, and the film can be used as the channel region of an insulated-gate semiconductor.
  • In comparing FIGS. 12, 13, and 14, as the hydrogen partial pressure in the sputtering gas increases when forming the a-Si film mentioned above, the drain current becomes large. This is very clear if curves c in FIGS. 12, 13, and 14 are compared to each other.
  • Generally, in a thin-film field-effect transistor, when the drain voltage VD is low, the relationship between the drain current ID and the drain voltage VD is given by the following equation:

  • I D=(W/LC(V G −V T)V D  (i)
  • (Solid. State electronics. Vol. 24. No. 11. pp. 1059. 1981. Printed in Britain)
  • In the above equation, W is the channel width, L is the channel length, μ is the carrier mobility, C is the electrostatic-capacitance of the gate oxide film, VG is the gate voltage, and VT is the threshold voltage. In the curves of FIG. 10 through 14 the regions near the origin are represented by the above equation (i).
  • If the hydrogen partial pressure is fixed, the carrier mobility μ and the threshold voltage VT are fixed, and also, because W, L, and C are values that are fixed depending upon the structures of the thin-film transistor, the variables in equation (i) are ID, VG, and VD. In the region near the origin of the curves shown in FIG. 10 through 14, VG is fixed, and so it is seen that the curves are given by equation (i), and this equation describes the curves near the origin of FIGS. 10 through 14. This is because this equation was approximately developed for when the drain voltage VD is low.
  • According to equation (i), as the threshold voltage VT is lower and, the mobility μ gets larger, the slope of the curves increases. This is clearly shown when the curves of FIG. 10 through 14 are compared based on the mobility and threshold voltages of FIGS. 8 and 9.
  • According to equation (i), it can be seen that the electrical characteristics of the thin-film transistor depend on and VT. Therefore, the device characteristics cannot be decided from FIGS. 8 and 9 separately. When the slopes of the curves near the origin of FIG. 10 through 14 are compared to each other, it is clearly seen and concluded that it is good if the hydrogen partial pressure ratio of the sputtering gas, used when forming the a-Si film that will become the channel formation region, is 20% or more, if possible 100%.
  • Data showing the effects of this invention is shown below in Table 1.
  • TABLE 1
    Hydrogen
    Partial
    Pressure Ratio S Value Vth Mobility On/Off Ratio
    0 2.5 10.6 0.30 5.4
    5 2.4 7.9 0.46 5.7
    20 1.6 4.9 2.11 6.7
    30 1.1 4.5 3.87 6.9
    50 0.78 2.5 10.1 6.9
    80 0.49 1.9 35.1 6.2
  • In Table 1, the hydrogen partial pressure ratio is the atmosphere condition in the magnetron RF sputtering method used when forming the a-Si film 13 of FIG. 4(A) which becomes the channel formation region 17 of FIG. 4(D) of this embodiment.
  • The S value is the minimum value of [d(ID)/d(VG)]−1 of the initial rise slope of the curves of the graphs that show the relationship between the gate voltage (VG) and the drain current (ID), which describes the characteristics of the device. As this value gets smaller, the inclination of the curves showing the (VG-ID) characteristics becomes sharper, and the electrical characteristic of the device is high.
  • The on/off characteristic is the log of the minimum ratio value of the drain current, which occurs at a certain gate voltage and fixed drain voltage, and the drain current when the gate voltage is varied at the same fixed drain voltage.
  • According to Table 1, considering everything, it can be seen that in order to obtain a high performance semiconductor using the method of this embodiment, a condition of hydrogen partial pressure ratio of 80% or more is adequate to be adopted.
  • This invention has been explained using the silicon semiconductor of this embodiment, however, using germanium semiconductor, and a silicon-germanium mixture semiconductor is also possible, and in this case the temperature for heat crystallization can be lowered by about 100° C.
  • Also, in forming a more densified semiconductor film or silicon oxide film in the above mentioned hydrogen atmosphere or in a hydrogen and inert gas atmosphere during sputtering, intense light or laser irradiation, of 1000 nm or less, can also be applied continuously or in pulses, to the substrate or the sputtered and flying target particles.
  • Embodiment 4
  • In this embodiment, an insulated-gate type semiconductor device is formed as shown in FIG. 16.
  • Coating the insulated substrate with a silicon oxide film is done in the same process as in Embodiment 1, however, in this embodiment the formation of the gate insulation film is finished before the formation of the semiconductor layer which forms the channel region. On a surface of an insulation film 12, 3000 Å, thick metallic molybdenum is formed by a sputtering method, then a prescribed patterning is performed, so that gate electrode 20 is formed.
  • Then, a 100 nm thick gate oxide film (SiO2) 15 is formed by a magnetron RF sputtering method in the conditions below.
  • Oxygen 95%
    NF
    3  5%
    Pressure:  0.5 Pa
    Formation Temperature: 100 C.
    RF (13.56 MHz) Power Output: 400 W

    A silicon target or synthetic quartz target is used.
  • On a surface of the silicon oxide film, a 100 nm thick a-Si film 13, which will become a channel formation region, is formed by a magnetron RF sputtering. The conditions of formation are as shown below in an inert argon and hydrogen gas atmosphere.
  • H2/(H2+Ar) 80% (partial pressure ratio)
  • Formation Temperature: 150° C. RF (13.56 MHz) Output: 400 W Total Pressure: 0.5 Pa
  • The target used is made of poly-crystalline or non-single crystalline silicon.
  • After the formation of the a-Si film 13, the laminar structure is annealed for 10 hours in an atmosphere of hydrogen or inactive gas, for example, in an N2 atmosphere at a temperature in the range of 450-700° C., specifically, at 600° C., as a result, the a-Si film 13 is crystallized. When the semiconductor layer formed by this method is analyzed by SIMS analysis, the quantity of oxide impurities existing in the semiconductor layer is found to be 1×1020 atoms·cm−3, the quantity of carbon is 4×1018 atoms·cm−3, and the amount of hydrogen is 5% or less. In so doing, the channel region 17 is formed over the gate electrode 20.
  • Next a 50 nm thick n+ a-Si film 14 is formed in the following conditions by a magnetron RF sputtering method.
  • The conditions of film formation are as follows and in an atmosphere of hydrogen partial pressure ratio of 10 to 99% or more (in this example 80%), and argon partial pressure ratio 10 to 99% (in this example 19%).
  • Formation Temperature: 150° C. RF (13.56 MHz) Power Output: 400 W Total Pressure: 0.5 Pa
  • The target used is single-crystal silicon doped with phosphorus.
  • Next on the semiconductor layer 14, an aluminum layer as source and drain electrodes is formed, patterning is performed, and the source and drain impurity regions 14 and 14′ as well as the source and drain electrodes 16 and 16′ are formed, wherein the semiconductor device is completed.
  • In this embodiment, because the gate insulation is formed before the semiconductor layer for the channel formation region, the boundary regions between the gate insulation film and the channel region are moderately heat annealed during the heat crystallization process, thus making it possible to lower the density of boundary levels.
  • Also in the aforementioned sputtering method, the inert gas used is argon, however other inert gasses such as helium can be used, or reactive gasses such as SiH4 or Si2H6 which have been made plasmatic can also be used.
  • Also in the magnetron RF sputtering method used for forming the a-Si film, the concentration of hydrogen is in the range of 20 to 100%, the film formation temperature is in the range of 50 to 500° C., the RF power output is in the range of 1 W to 10 MW at a frequency in the range of 500 Hz to 100 GHz. The values within these ranges can be freely selected, in addition it is possible to use a pulse energy source.
  • Also, the hydrogen gas used for the sputtering can be converted to plasma more effectively by the use of an intense light (having wavelength 1000 nm or less) or an electron cyclotron resonance (ECR). By making the hydrogen more plasmatic, the efficiency of the positive ions in sputtering is higher and thus micro structures in the film formed by sputtering can be prevented, in the case of this embodiment, micro structures in the a-Si film, can be prevented. This is also applicable to the other process gasses.
  • In the embodiments, the a-Si is utilized as the non-crystalline semiconductor, however, other semiconductors such as germanium or a silicon-germanium mixture SixGe1-x (0<x<1) can also be used.
  • Also it need not to be said that, the present invention can be used in stagger-type, coplanar-type, reverse-stagger-type, and reverse-coplanar-type insulated-gate field effect transistors.
  • Furthermore, FET is mentioned here but this invention is not limited to FET but also be used in the insulated film of other semiconductor devices such as DRAM. In the above embodiments, in order for the Na or K neutralization, the halogen gasses such as fluorine are used, however, other gasses such as phosphorus, carbon, or nitrogen with a density of 1×1019 to 5×1020 atomic % can also be used. Also in the above embodiments the insulation film used is SiO2, however, according to specific needs, alumina, tantalum oxide, barium titanate, or silicon nitride can be used in the same way.

Claims (14)

1. A semiconductor device comprising:
a glass substrate;
a protective film formed over the glass substrate;
a non-single-crystalline semiconductor film formed over the protective film and including source and drain regions and a channel region formed between the source and drain regions, and
a gate electrode adjacent to the channel region with a gate insulator therebetween,
wherein the protective film includes a halogen element.
2. The semiconductor device of claim 1, wherein the non-single-crystalline semiconductor film comprises crystalline silicon.
3. The semiconductor device of claim 1, wherein the protective film comprises silicon oxide.
4. The semiconductor device of claim 1, wherein the gate electrode is located over the channel region.
5. The semiconductor device of claim 1, wherein the protective film is 500 Å-5000 Å thick.
6. A semiconductor device comprising:
a glass substrate;
a protective film formed over the glass substrate;
a semiconductor film formed over the protective film and including source, drain and channel regions;
a gate electrode formed over the channel region with a gate insulator therebetween; and
wherein the protective film contains a halogen element at a concentration not higher than 5 atom %.
7. The semiconductor device of claim 6, wherein the gate insulator and the protective film comprises a same insulating material with each other.
8. A semiconductor device comprising:
a glass substrate;
a protective film formed over the glass substrate;
a non-single-crystalline semiconductor film formed over the protective film and including source, drain and channel regions;
a gate electrode adjacent to the channel region with a gate insulator therebetween,
wherein each of the gate insulator and the protective film contains a halogen element.
9. The semiconductor device of claim 8, wherein the halogen element is selected from the group consisting of fluorine or chlorine.
10. The semiconductor device of claim 8, wherein the channel region comprises polycrystalline silicon.
11. The semiconductor device of claim 8, wherein the channel region comprises microcrystalline silicon.
12. The semiconductor device of claim 8, wherein the halogen element is contained at a concentration not higher than 5 atom %.
13. A semiconductor device comprising:
a glass substrate;
a protective film comprising silicon oxide formed on and in contact with the glass substrate;
a semiconductor film formed over the protective film and including source and drain regions and a channel region extending therebetween;
a gate insulator formed over the channel region; and
a gate electrode formed over the gate insulator,
wherein the protective film contains a halogen element and directly contacts the semiconductor film.
14. The semiconductor device of claim 13, wherein the halogen element is contained in the protective film at a concentration of not lower than 0.1 atom %.
US12/078,832 1990-05-29 2008-04-07 Thin-film transistor Abandoned US20090101910A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/078,832 US20090101910A1 (en) 1990-05-29 2008-04-07 Thin-film transistor

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
JP2140580A JP2805035B2 (en) 1990-05-29 1990-05-29 Thin film transistor
JP2-140580 1990-05-29
JP2293264A JP2652267B2 (en) 1990-10-29 1990-10-29 Insulated gate type semiconductor device
JP2-293264 1990-10-29
US70410391A 1991-05-22 1991-05-22
US08/044,883 US5313075A (en) 1990-05-29 1993-04-09 Thin-film transistor
US08/219,286 US5523240A (en) 1990-05-29 1994-03-28 Method of manufacturing a thin film transistor with a halogen doped blocking layer
US08/611,571 US6607947B1 (en) 1990-05-29 1996-03-06 Method of manufacturing a semiconductor device with fluorinated layer for blocking alkali ions
US10/642,305 US7355202B2 (en) 1990-05-29 2003-08-18 Thin-film transistor
US12/078,832 US20090101910A1 (en) 1990-05-29 2008-04-07 Thin-film transistor

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/642,305 Division US7355202B2 (en) 1990-05-29 2003-08-18 Thin-film transistor

Publications (1)

Publication Number Publication Date
US20090101910A1 true US20090101910A1 (en) 2009-04-23

Family

ID=26473051

Family Applications (5)

Application Number Title Priority Date Filing Date
US08/044,883 Expired - Lifetime US5313075A (en) 1990-05-29 1993-04-09 Thin-film transistor
US08/219,286 Expired - Lifetime US5523240A (en) 1990-05-29 1994-03-28 Method of manufacturing a thin film transistor with a halogen doped blocking layer
US08/611,571 Expired - Fee Related US6607947B1 (en) 1990-05-29 1996-03-06 Method of manufacturing a semiconductor device with fluorinated layer for blocking alkali ions
US10/642,305 Expired - Fee Related US7355202B2 (en) 1990-05-29 2003-08-18 Thin-film transistor
US12/078,832 Abandoned US20090101910A1 (en) 1990-05-29 2008-04-07 Thin-film transistor

Family Applications Before (4)

Application Number Title Priority Date Filing Date
US08/044,883 Expired - Lifetime US5313075A (en) 1990-05-29 1993-04-09 Thin-film transistor
US08/219,286 Expired - Lifetime US5523240A (en) 1990-05-29 1994-03-28 Method of manufacturing a thin film transistor with a halogen doped blocking layer
US08/611,571 Expired - Fee Related US6607947B1 (en) 1990-05-29 1996-03-06 Method of manufacturing a semiconductor device with fluorinated layer for blocking alkali ions
US10/642,305 Expired - Fee Related US7355202B2 (en) 1990-05-29 2003-08-18 Thin-film transistor

Country Status (3)

Country Link
US (5) US5313075A (en)
EP (1) EP0459763B1 (en)
DE (1) DE69125886T2 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090154579A1 (en) * 2007-12-15 2009-06-18 Electronics And Telecommunications Research Institute Qr decomposition apparatus and method for mimo system
US20100025677A1 (en) * 2008-07-31 2010-02-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20100051949A1 (en) * 2008-09-01 2010-03-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US20100258806A1 (en) * 2009-04-08 2010-10-14 Fujifilm Corporation Electronic device, method of producing the same, and display device
CN103904089A (en) * 2012-12-27 2014-07-02 台湾积体电路制造股份有限公司 Surface Treatment for BSI Image Sensors
US8785242B2 (en) 2008-08-08 2014-07-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US8841710B2 (en) 2008-07-31 2014-09-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9496404B2 (en) 2010-03-05 2016-11-15 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20180159057A1 (en) * 2016-12-07 2018-06-07 Tsinghua University Logic circuit based on thin film transistor
US10937897B2 (en) 2008-07-31 2021-03-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same

Families Citing this family (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5753542A (en) 1985-08-02 1998-05-19 Semiconductor Energy Laboratory Co., Ltd. Method for crystallizing semiconductor material without exposing it to air
US5962869A (en) * 1988-09-28 1999-10-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor material and method for forming the same and thin film transistor
EP0445535B1 (en) 1990-02-06 1995-02-01 Sel Semiconductor Energy Laboratory Co., Ltd. Method of forming an oxide film
EP0459763B1 (en) * 1990-05-29 1997-05-02 Semiconductor Energy Laboratory Co., Ltd. Thin-film transistors
US6008078A (en) 1990-07-24 1999-12-28 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device
US7335570B1 (en) * 1990-07-24 2008-02-26 Semiconductor Energy Laboratory Co., Ltd. Method of forming insulating films, capacitances, and semiconductor devices
US7253437B2 (en) * 1990-12-25 2007-08-07 Semiconductor Energy Laboratory Co., Ltd. Display device having a thin film transistor
US5821563A (en) 1990-12-25 1998-10-13 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device free from reverse leakage and throw leakage
EP0499979A3 (en) 1991-02-16 1993-06-09 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device
KR960001611B1 (en) 1991-03-06 1996-02-02 가부시끼가이샤 한도다이 에네르기 겐뀨쇼 Insulated gate type fet and its making method
US6849872B1 (en) 1991-08-26 2005-02-01 Semiconductor Energy Laboratory Co., Ltd. Thin film transistor
JP2894391B2 (en) * 1991-09-20 1999-05-24 三菱電機株式会社 Thin film transistor and method of manufacturing the same
US6979840B1 (en) 1991-09-25 2005-12-27 Semiconductor Energy Laboratory Co., Ltd. Thin film transistors having anodized metal film between the gate wiring and drain wiring
DE69218092T2 (en) * 1991-09-26 1997-07-10 Toshiba Kawasaki Kk Electrode structure of a liquid crystal display device and method of manufacturing the liquid crystal display device
US5424230A (en) * 1992-02-19 1995-06-13 Casio Computer Co., Ltd. Method of manufacturing a polysilicon thin film transistor
US5424244A (en) * 1992-03-26 1995-06-13 Semiconductor Energy Laboratory Co., Ltd. Process for laser processing and apparatus for use in the same
US6624450B1 (en) 1992-03-27 2003-09-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for forming the same
JP3688726B2 (en) * 1992-07-17 2005-08-31 株式会社東芝 Manufacturing method of semiconductor device
CN100483651C (en) * 1992-08-27 2009-04-29 株式会社半导体能源研究所 Process for fabricating semiconductor device
JP3587537B2 (en) 1992-12-09 2004-11-10 株式会社半導体エネルギー研究所 Semiconductor device
JP3497198B2 (en) * 1993-02-03 2004-02-16 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device and thin film transistor
US6997985B1 (en) 1993-02-15 2006-02-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor, semiconductor device, and method for fabricating the same
KR0171923B1 (en) * 1993-02-15 1999-02-01 순페이 야마자끼 Semiconductor device and method for fabricating the same
KR0143873B1 (en) * 1993-02-19 1998-08-17 순페이 야마자끼 Fabrication insulation film and semiconductor device
US7465679B1 (en) 1993-02-19 2008-12-16 Semiconductor Energy Laboratory Co., Ltd. Insulating film and method of producing semiconductor device
JP3107941B2 (en) * 1993-03-05 2000-11-13 株式会社半導体エネルギー研究所 Thin film transistor and manufacturing method thereof
US6413805B1 (en) 1993-03-12 2002-07-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device forming method
JP3637069B2 (en) 1993-03-12 2005-04-06 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US5574293A (en) * 1993-03-23 1996-11-12 Tdk Corp. Solid state imaging device using disilane
GB9311129D0 (en) * 1993-05-28 1993-07-14 Philips Electronics Uk Ltd Electronic devices with-film circuit elements forming a sampling circuit
JPH06349735A (en) 1993-06-12 1994-12-22 Semiconductor Energy Lab Co Ltd Semiconductor device
US6713330B1 (en) 1993-06-22 2004-03-30 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a thin film transistor
US5488000A (en) 1993-06-22 1996-01-30 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a thin film transistor using a nickel silicide layer to promote crystallization of the amorphous silicon layer
US6730549B1 (en) 1993-06-25 2004-05-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for its preparation
JP2677167B2 (en) * 1993-07-08 1997-11-17 日本電気株式会社 Method for manufacturing liquid crystal display device with built-in drive circuit
TW369686B (en) * 1993-07-27 1999-09-11 Semiconductor Energy Lab Corp Semiconductor device and process for fabricating the same
JPH0766424A (en) * 1993-08-20 1995-03-10 Semiconductor Energy Lab Co Ltd Semiconductor device and its manufacture
KR100367869B1 (en) * 1993-09-20 2003-06-09 가부시끼가이샤 히다치 세이사꾸쇼 LCD Display
US5719065A (en) 1993-10-01 1998-02-17 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device with removable spacers
KR100291971B1 (en) 1993-10-26 2001-10-24 야마자끼 순페이 Substrate processing apparatus and method and thin film semiconductor device manufacturing method
JP3108296B2 (en) * 1994-01-26 2000-11-13 三洋電機株式会社 Display device manufacturing method
JPH07225079A (en) * 1994-02-10 1995-08-22 Sony Corp Heating method and manufacture of semiconductor device
US6133620A (en) * 1995-05-26 2000-10-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and process for fabricating the same
US6867432B1 (en) 1994-06-09 2005-03-15 Semiconductor Energy Lab Semiconductor device having SiOxNy gate insulating film
EP1722403B1 (en) 1994-06-15 2012-07-25 Seiko Epson Corporation Fabrication method for a thin film semiconductor device
TW345705B (en) 1994-07-28 1998-11-21 Handotai Energy Kenkyusho Kk Laser processing method
JP3442500B2 (en) 1994-08-31 2003-09-02 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor circuit
US6706572B1 (en) 1994-08-31 2004-03-16 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a thin film transistor using a high pressure oxidation step
JP4083821B2 (en) * 1994-09-15 2008-04-30 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US6670640B1 (en) 1994-09-15 2003-12-30 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device
TW297950B (en) * 1994-12-16 1997-02-11 Handotai Energy Kenkyusho Kk
US5633456A (en) * 1995-08-04 1997-05-27 Chrysler Corporation Engine misfire detection with digital filtering
JP3444053B2 (en) * 1995-10-13 2003-09-08 ソニー株式会社 Thin film semiconductor device
US6225218B1 (en) * 1995-12-20 2001-05-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and its manufacturing method
JP3645378B2 (en) 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JP3645379B2 (en) * 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US5985740A (en) 1996-01-19 1999-11-16 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device including reduction of a catalyst
JP3729955B2 (en) 1996-01-19 2005-12-21 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JP3645380B2 (en) 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 Manufacturing method of semiconductor device, information terminal, head mounted display, navigation system, mobile phone, video camera, projection display device
US6478263B1 (en) 1997-01-17 2002-11-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and its manufacturing method
US5888858A (en) 1996-01-20 1999-03-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and fabrication method thereof
US6180439B1 (en) 1996-01-26 2001-01-30 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device
US7056381B1 (en) 1996-01-26 2006-06-06 Semiconductor Energy Laboratory Co., Ltd. Fabrication method of semiconductor device
US6465287B1 (en) 1996-01-27 2002-10-15 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device using a metal catalyst and high temperature crystallization
US5665611A (en) * 1996-01-31 1997-09-09 Micron Technology, Inc. Method of forming a thin film transistor using fluorine passivation
JP3476320B2 (en) * 1996-02-23 2003-12-10 株式会社半導体エネルギー研究所 Semiconductor thin film and method for manufacturing the same, semiconductor device and method for manufacturing the same
US6100562A (en) 1996-03-17 2000-08-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
JP3565983B2 (en) 1996-04-12 2004-09-15 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JP3126661B2 (en) * 1996-06-25 2001-01-22 株式会社半導体エネルギー研究所 Liquid crystal display
FR2751131B1 (en) * 1996-07-09 2001-11-09 Lg Electronics Inc METHOD FOR MANUFACTURING A LIQUID CRYSTAL ACTIVE MATRIX DISPLAY DEVICE AND STRUCTURE OF THE DISPLAY DEVICE ACCORDING TO THIS METHOD
JP3634089B2 (en) * 1996-09-04 2005-03-30 株式会社半導体エネルギー研究所 Display device
US6087276A (en) * 1996-10-29 2000-07-11 National Science Council Method of making a TFT having an ion plated silicon dioxide capping layer
US6291837B1 (en) * 1997-03-18 2001-09-18 Semiconductor Energy Laboratory Co., Ltd. Substrate of semiconductor device and fabrication method thereof as well as semiconductor device and fabrication method thereof
JPH11102867A (en) 1997-07-16 1999-04-13 Sony Corp Forming of semiconductor thin film, and plastic substrate
US6535535B1 (en) * 1999-02-12 2003-03-18 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation method, laser irradiation apparatus, and semiconductor device
JP2001053283A (en) * 1999-08-12 2001-02-23 Semiconductor Energy Lab Co Ltd Semiconductor device and its manufacture
US6717181B2 (en) 2001-02-22 2004-04-06 Semiconductor Energy Laboratory Co., Ltd. Luminescent device having thin film transistor
US6501135B1 (en) * 2001-05-04 2002-12-31 Advanced Micro Devices, Inc. Germanium-on-insulator (GOI) device
US7238557B2 (en) * 2001-11-14 2007-07-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6601308B2 (en) 2002-01-02 2003-08-05 Bahram Khoshnood Ambient light collecting bow sight
WO2003088280A1 (en) * 2002-04-08 2003-10-23 Council Of Scientific And Industrial Research Process for the production of neodymium-iron-boron permanent magnet alloy powder
TW535296B (en) * 2002-05-31 2003-06-01 Chunghwa Picture Tubes Ltd Method for producing thin film transistor
JP2004022575A (en) * 2002-06-12 2004-01-22 Sanyo Electric Co Ltd Semiconductor device
KR100894651B1 (en) * 2002-07-08 2009-04-24 엘지디스플레이 주식회사 Active Matrix Organic Electro-Luminescence Display Panel And Method Of Fabricating The Same
KR100755287B1 (en) 2003-02-14 2007-09-04 캐논 가부시끼가이샤 Radiation image pickup device
US20040169176A1 (en) * 2003-02-28 2004-09-02 Peterson Paul E. Methods of forming thin film transistors and related systems
US20050104072A1 (en) 2003-08-14 2005-05-19 Slater David B.Jr. Localized annealing of metal-silicon carbide ohmic contacts and devices so formed
TWI366701B (en) * 2004-01-26 2012-06-21 Semiconductor Energy Lab Method of manufacturing display and television
TWI258173B (en) * 2004-10-08 2006-07-11 Ind Tech Res Inst Polysilicon thin-film ion sensitive FET device and fabrication method thereof
US7605042B2 (en) * 2005-04-18 2009-10-20 Toshiba America Electronic Components, Inc. SOI bottom pre-doping merged e-SiGe for poly height reduction
EP1858075A1 (en) * 2006-05-15 2007-11-21 STMicroelectronics S.r.l. Process for integrating on an inert substrate a device comprising at least a passive element and an active element and corresponding integrated device
JP4289399B2 (en) * 2006-06-22 2009-07-01 セイコーエプソン株式会社 Acoustic wave device and method of manufacturing acoustic wave device
JP5459899B2 (en) * 2007-06-01 2014-04-02 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US7851343B2 (en) * 2007-06-14 2010-12-14 Cree, Inc. Methods of forming ohmic layers through ablation capping layers
US7875532B2 (en) * 2007-06-15 2011-01-25 Semiconductor Energy Laboratory Co., Ltd. Substrate for manufacturing semiconductor device and manufacturing method thereof
US8114722B2 (en) * 2007-08-24 2012-02-14 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
JP5311957B2 (en) * 2007-10-23 2013-10-09 株式会社半導体エネルギー研究所 Display device and manufacturing method thereof
JP5311955B2 (en) * 2007-11-01 2013-10-09 株式会社半導体エネルギー研究所 Method for manufacturing display device
TWI481029B (en) * 2007-12-03 2015-04-11 半導體能源研究所股份有限公司 Semiconductor device
JP5616038B2 (en) * 2008-07-31 2014-10-29 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
TWI430441B (en) * 2009-04-07 2014-03-11 Innolux Corp System for displaying images and fabrication method thereof
KR101603768B1 (en) * 2009-12-22 2016-03-15 삼성전자주식회사 Transistor, method of manufacturing the same and electronic device comprising transistor
US9496405B2 (en) 2010-05-20 2016-11-15 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device including step of adding cation to oxide semiconductor layer
EP2428994A1 (en) 2010-09-10 2012-03-14 Applied Materials, Inc. Method and system for depositing a thin-film transistor
US9546416B2 (en) 2010-09-13 2017-01-17 Semiconductor Energy Laboratory Co., Ltd. Method of forming crystalline oxide semiconductor film
US8546246B2 (en) * 2011-01-13 2013-10-01 International Business Machines Corporation Radiation hardened transistors based on graphene and carbon nanotubes
US8878176B2 (en) 2011-08-11 2014-11-04 The Hong Kong University Of Science And Technology Metal-oxide based thin-film transistors with fluorinated active layer
KR101901361B1 (en) 2011-12-02 2018-09-27 삼성디스플레이 주식회사 Method for formation of crystalline silicon layer and method for formation of thin film transistor using the same
JP6304445B2 (en) * 2015-03-16 2018-04-04 富士電機株式会社 Manufacturing method of semiconductor device
CN108470717B (en) * 2017-02-22 2021-04-06 京东方科技集团股份有限公司 Array substrate, preparation method thereof, display panel and display device

Citations (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3933530A (en) * 1975-01-28 1976-01-20 Rca Corporation Method of radiation hardening and gettering semiconductor devices
US4007294A (en) * 1974-06-06 1977-02-08 Rca Corporation Method of treating a layer of silicon dioxide
US4027380A (en) * 1974-06-03 1977-06-07 Fairchild Camera And Instrument Corporation Complementary insulated gate field effect transistor structure and process for fabricating the structure
US4059461A (en) * 1975-12-10 1977-11-22 Massachusetts Institute Of Technology Method for improving the crystallinity of semiconductor films by laser beam scanning and the products thereof
US4217194A (en) * 1975-12-29 1980-08-12 Max-Planck-Gesellschaft Zur Forderung Der Wissenschaften E.V. Instrument for polarographic potentiometric, thermal and like measurements and a method of making the same
US4229502A (en) * 1979-08-10 1980-10-21 Rca Corporation Low-resistivity polycrystalline silicon film
US4309225A (en) * 1979-09-13 1982-01-05 Massachusetts Institute Of Technology Method of crystallizing amorphous material with a moving energy beam
US4330363A (en) * 1980-08-28 1982-05-18 Xerox Corporation Thermal gradient control for enhanced laser induced crystallization of predefined semiconductor areas
US4377421A (en) * 1979-09-12 1983-03-22 Hitachi, Ltd. Method of making a stacked emitter in a bipolar transistor by selective laser irradiation
US4403239A (en) * 1979-12-26 1983-09-06 Shunpei Yamazaki MIS Type semiconductor photoelectric conversion device
US4404735A (en) * 1980-05-14 1983-09-20 Fujitsu Limited Method for manufacturing a field isolation structure for a semiconductor device
US4451838A (en) * 1979-12-30 1984-05-29 Shunpei Yamazaki Semiconductor photoelectric conversion device
US4459739A (en) * 1981-05-26 1984-07-17 Northern Telecom Limited Thin film transistors
US4470060A (en) * 1981-01-09 1984-09-04 Semiconductor Energy Laboratory Co., Ltd. Liquid crystal display with vertical non-single crystal semiconductor field effect transistors
US4485146A (en) * 1981-08-06 1984-11-27 Asahi Glass Company Ltd Glass body provided with an alkali diffusion-preventing silicon oxide layer
US4502204A (en) * 1981-07-17 1985-03-05 Citizen Watch Company Limited Method of manufacturing insulated gate thin film field effect transistors
US4517733A (en) * 1981-01-06 1985-05-21 Fuji Xerox Co., Ltd. Process for fabricating thin film image pick-up element
US4561906A (en) * 1983-06-16 1985-12-31 Northern Telecom Limited Laser activated polysilicon connections for redundancy
US4566913A (en) * 1984-07-30 1986-01-28 International Business Machines Corporation Rapid thermal annealing of silicon dioxide for reduced electron trapping
US4582395A (en) * 1980-07-31 1986-04-15 Kabushiki Kaisha Suwa Seikosha Active matrix assembly for a liquid crystal display device including an insulated-gate-transistor
US4585492A (en) * 1984-07-30 1986-04-29 International Business Machines Corporation Rapid thermal annealing of silicon dioxide for reduced hole trapping
US4599135A (en) * 1983-09-30 1986-07-08 Hitachi, Ltd. Thin film deposition
US4613382A (en) * 1981-03-30 1986-09-23 Hitachi, Ltd. Method of forming passivated polycrystalline semiconductors
US4619034A (en) * 1983-05-02 1986-10-28 Ncr Corporation Method of making laser recrystallized silicon-on-insulator nonvolatile memory device
US4651408A (en) * 1984-01-05 1987-03-24 Northern Telecom Limited Fabrication of stacked MOS devices utilizing lateral seeding and a plurality of separate implants at different energies
US4657616A (en) * 1985-05-17 1987-04-14 Benzing Technologies, Inc. In-situ CVD chamber cleaner
US4680609A (en) * 1984-09-24 1987-07-14 Northern Telecom Limited Structure and fabrication of vertically integrated CMOS logic gates
US4693759A (en) * 1984-11-26 1987-09-15 Sony Corporation Method of forming a thin semiconductor film
US4698638A (en) * 1985-12-26 1987-10-06 General Dynamics, Pomona Division Dual mode target seeking system
US4698486A (en) * 1984-02-28 1987-10-06 Tamarack Scientific Co., Inc. Method of heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
US4740829A (en) * 1982-09-02 1988-04-26 Canon Kabushiki Kaisha Semiconductor device having a thin layer comprising germanium atoms as a matrix with a restricted range of hydrogen atom concentration
US4746628A (en) * 1983-08-26 1988-05-24 Sharp Kabushiki Kaisha Method for making a thin film transistor
US4748131A (en) * 1987-02-06 1988-05-31 The Aerospace Corporation Method for increasing radiation hardness of MOS gate oxides
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4785962A (en) * 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US4814292A (en) * 1986-07-02 1989-03-21 Oki Electric Industry Co., Ltd. Process of fabricating a semiconductor device involving densification and recrystallization of amorphous silicon
US4847211A (en) * 1980-11-06 1989-07-11 National Research Development Corporation Method of manufacturing semiconductor devices and product therefrom
US4849081A (en) * 1988-06-22 1989-07-18 The Boc Group, Inc. Formation of oxide films by reactive sputtering
US4851363A (en) * 1986-07-11 1989-07-25 General Motors Corporation Fabrication of polysilicon fets on alkaline earth alumino-silicate glasses
US4880753A (en) * 1988-02-19 1989-11-14 The General Electric Company, P.L.C. Method of fabricating a polysilicon thin film transistor
US4882295A (en) * 1985-07-26 1989-11-21 Energy Conversion Devices, Inc. Method of making a double injection field effect transistor
US4915772A (en) * 1986-10-01 1990-04-10 Corning Incorporated Capping layer for recrystallization process
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4959700A (en) * 1984-05-18 1990-09-25 Semiconductor Energy Laboratory Co., Ltd. Insulated gate field effect transistor and its manufacturing method
US4968638A (en) * 1987-09-09 1990-11-06 National Research Development Corporation Semiconductor devices
US4998152A (en) * 1988-03-22 1991-03-05 International Business Machines Corporation Thin film transistor
US5008218A (en) * 1988-09-20 1991-04-16 Hitachi, Ltd. Method for fabricating a thin film transistor using a silicide as an etch mask
US5045485A (en) * 1988-02-04 1991-09-03 Seikosha Co., Ltd. Method for producing amorphous silicon thin film transistor array substrate
US5060036A (en) * 1988-12-31 1991-10-22 Samsung Electron Devices Co., Ltd. Thin film transistor of active matrix liquid crystal display
US5061642A (en) * 1989-08-19 1991-10-29 Fujitsu Limited Method of manufacturing semiconductor on insulator
US5070379A (en) * 1989-06-29 1991-12-03 Oki Electric Industry Co., Ltd. Thin-film transistor matrix for active matrix display panel with alloy electrodes
US5076666A (en) * 1988-12-06 1991-12-31 Sharp Kabushiki Kaisha Active matrix display apparatus with drain electrode extensions
US5105245A (en) * 1988-06-28 1992-04-14 Texas Instruments Incorporated Trench capacitor DRAM cell with diffused bit lines adjacent to a trench
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US5141885A (en) * 1990-06-05 1992-08-25 Matsushita Electric Industrial Co., Ltd. Method of fabrication of thin film transistors
US5180690A (en) * 1988-12-14 1993-01-19 Energy Conversion Devices, Inc. Method of forming a layer of doped crystalline semiconductor alloy material
US5198379A (en) * 1990-04-27 1993-03-30 Sharp Kabushiki Kaisha Method of making a MOS thin film transistor with self-aligned asymmetrical structure
US5208476A (en) * 1990-06-08 1993-05-04 Seiko Epson Corporation Low leakage current offset-gate thin film transistor structure
US5219786A (en) * 1991-06-12 1993-06-15 Sony Corporation Semiconductor layer annealing method using excimer laser
US5233191A (en) * 1990-04-02 1993-08-03 Hitachi, Ltd. Method and apparatus of inspecting foreign matters during mass production start-up and mass production line in semiconductor production process
US5238705A (en) * 1987-02-24 1993-08-24 Semiconductor Energy Laboratory Co., Ltd. Carbonaceous protective films and method of depositing the same
US5278093A (en) * 1989-09-23 1994-01-11 Canon Kabushiki Kaisha Method for forming semiconductor thin film
US5286658A (en) * 1991-03-05 1994-02-15 Fujitsu Limited Process for producing semiconductor device
US5288684A (en) * 1990-03-27 1994-02-22 Semiconductor Energy Laboratory Co., Ltd. Photochemical vapor phase reaction apparatus and method of causing a photochemical vapor phase reaction
US5313075A (en) * 1990-05-29 1994-05-17 Hongyong Zhang Thin-film transistor
US5329140A (en) * 1991-10-01 1994-07-12 Nec Corporation Thin film transistor and its production method
US5340999A (en) * 1982-02-25 1994-08-23 Sharp Kabushiki Kaisha Insulated gate thin film transistor with amorphous or microcrystalline semiconductor film
US5352291A (en) * 1991-05-28 1994-10-04 Semiconductor Energy Laboratory Co., Ltd. Method of annealing a semiconductor
US5420048A (en) * 1991-01-09 1995-05-30 Canon Kabushiki Kaisha Manufacturing method for SOI-type thin film transistor
US5495353A (en) * 1990-11-26 1996-02-27 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and driving having an improved electrode and driving arrangement
US5514879A (en) * 1990-11-20 1996-05-07 Semiconductor Energy Laboratory Co., Ltd. Gate insulated field effect transistors and method of manufacturing the same
US5529937A (en) * 1993-07-27 1996-06-25 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating thin film transistor
US5530265A (en) * 1993-08-12 1996-06-25 Semiconductor Energy Laboratory Co., Ltd. Insulated gate semiconductor device and process for fabricating the same
US5696011A (en) * 1992-03-25 1997-12-09 Semiconductor Energy Laboratory Co., Ltd. Method for forming an insulated gate field effect transistor
US7097716B2 (en) * 2002-10-17 2006-08-29 Applied Materials, Inc. Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55163848A (en) 1979-06-06 1980-12-20 Shunpei Yamazaki Manufacture of semiconductor device and its manufacturing device
JPS57132191A (en) 1981-02-10 1982-08-16 Suwa Seikosha Kk Active matrix substrate
JPS582073A (en) 1981-06-29 1983-01-07 Sony Corp Field effect transistor
JPS58164268A (en) 1982-03-25 1983-09-29 Seiko Epson Corp Thin film silicon transistor
JPS58182816A (en) 1982-04-20 1983-10-25 Toshiba Corp Recrystallizing method of silicon family semiconductor material
JPS5940580A (en) 1982-08-30 1984-03-06 Seiko Epson Corp Manufacture of semiconductor integrated circuit device
JPS59108360A (en) 1982-12-14 1984-06-22 Mitsubishi Electric Corp Semiconductor device
JPS6018913A (en) * 1983-07-12 1985-01-31 Mitsubishi Electric Corp Manufacture of semiconductor device
JPS6043869A (en) * 1983-08-19 1985-03-08 Semiconductor Energy Lab Co Ltd Semiconductor device
JPS60105216A (en) 1983-11-11 1985-06-10 Seiko Instr & Electronics Ltd Manufacture of thin film semiconductor device
US4585395A (en) * 1983-12-12 1986-04-29 General Electric Company Gas turbine engine blade
JPS60160173A (en) * 1984-01-30 1985-08-21 Sharp Corp Thin film transistor
JPS60245174A (en) * 1984-05-18 1985-12-04 Semiconductor Energy Lab Co Ltd Manufacture of insulated gate type semiconductor device
JPS61183970A (en) * 1985-02-08 1986-08-16 Matsushita Electric Ind Co Ltd Thin film transistor
JPH06101564B2 (en) 1985-02-27 1994-12-12 株式会社東芝 Amorphous Silicon Semiconductor Device
JPS6230379A (en) 1985-07-31 1987-02-09 Seiko Epson Corp Thin film transistor
JPH073824B2 (en) * 1985-08-14 1995-01-18 ソニー株式会社 Method for manufacturing semiconductor device
JPS62104171A (en) 1985-10-31 1987-05-14 Fujitsu Ltd Manufacture of thin film transistor
JPH0810668B2 (en) 1985-10-31 1996-01-31 旭硝子株式会社 Method for manufacturing polycrystalline silicon film
JPS62119974A (en) 1985-11-19 1987-06-01 Sharp Corp Manufacture of thin film transistor
JPH0746729B2 (en) 1985-12-26 1995-05-17 キヤノン株式会社 Method of manufacturing thin film transistor
JPS62171160A (en) 1986-01-22 1987-07-28 Sharp Corp Thin film transistor
JPS62211165A (en) * 1986-03-13 1987-09-17 Ushio Inc Marking method
JPS62244165A (en) * 1986-04-16 1987-10-24 Nec Corp Manufacture of semiconductor device
JPS62254466A (en) 1986-04-28 1987-11-06 Seiko Instr & Electronics Ltd Manufacture of thin film semiconductor device
JPS62285470A (en) * 1986-06-04 1987-12-11 Oki Electric Ind Co Ltd Manufacture of semiconductor device
JPS62285469A (en) * 1986-06-04 1987-12-11 Oki Electric Ind Co Ltd Manufacture of semiconductor device
JPS62286282A (en) 1986-06-05 1987-12-12 Ricoh Co Ltd Manufacture of thin film transistor
JPS63164A (en) 1986-06-19 1988-01-05 Matsushita Electric Ind Co Ltd Thin-film transistor
JP2572379B2 (en) 1986-07-31 1997-01-16 株式会社日立製作所 Method for manufacturing thin film transistor
JPH0680685B2 (en) 1986-12-29 1994-10-12 日本電気株式会社 Thin film transistor and manufacturing method thereof
JPS63172470A (en) 1987-01-12 1988-07-16 Fujitsu Ltd Thin film transistor
JPS63301518A (en) 1987-05-30 1988-12-08 Canon Inc Formation of deposited film
JPS6435959A (en) * 1987-07-30 1989-02-07 Ricoh Kk Thin film transistor
JP2501451B2 (en) 1987-08-10 1996-05-29 日本電信電話株式会社 Thin film transistor and manufacturing method thereof
JP2638868B2 (en) 1988-01-22 1997-08-06 セイコーエプソン株式会社 Method for manufacturing semiconductor device
JP2623276B2 (en) * 1988-01-22 1997-06-25 株式会社日立製作所 Method for manufacturing thin film semiconductor device
JPH01209764A (en) * 1988-02-18 1989-08-23 Stanley Electric Co Ltd Thin film transistor and manufacture thereof
JPH01268064A (en) 1988-04-20 1989-10-25 Hitachi Ltd Formation of polycrystalline silicon thin film
JPH0758788B2 (en) * 1988-05-10 1995-06-21 日本電気株式会社 Method for manufacturing field effect transistor
JPH01287964A (en) 1988-05-13 1989-11-20 Seiko Epson Corp Manufacture of semiconductor device
JPH01313943A (en) 1988-06-13 1989-12-19 Nippon Telegr & Teleph Corp <Ntt> Insulating thin film and formation thereof
JP2600827B2 (en) 1988-07-23 1997-04-16 セイコーエプソン株式会社 Method for manufacturing thin film transistor
JP3017742B2 (en) * 1988-09-13 2000-03-13 ソニー株式会社 Semiconductor device
JPH0290568A (en) * 1988-09-28 1990-03-30 Nippon Telegr & Teleph Corp <Ntt> Manufacture of thin film transistor
JP2880175B2 (en) * 1988-11-30 1999-04-05 株式会社日立製作所 Laser annealing method and thin film semiconductor device
JP2626910B2 (en) * 1988-12-12 1997-07-02 日本電信電話株式会社 Method for manufacturing semiconductor device
JP2734587B2 (en) 1988-12-28 1998-03-30 ソニー株式会社 Method for manufacturing thin film transistor
JPH02211637A (en) * 1989-02-13 1990-08-22 Nippon Telegr & Teleph Corp <Ntt> Manufacture of thin film transistor
JPH02303030A (en) * 1989-05-17 1990-12-17 Hitachi Ltd Manufacture of semiconductor device
JPH02310932A (en) 1989-05-25 1990-12-26 Nec Corp Manufacture of inverted stagger-type thin-film transistor
DE69027590T2 (en) * 1989-08-01 1996-12-05 Asahi Glass Co Ltd Process for the production of layers based on silicon dioxide using DC sputtering and target therefor
JPH0391932A (en) 1989-09-04 1991-04-17 Canon Inc Manufacture of semiconductor device
JP2857900B2 (en) 1989-12-28 1999-02-17 カシオ計算機株式会社 Method for manufacturing thin film transistor
DE59103151D1 (en) 1990-05-26 1994-11-10 Bayer Ag Lactam melts with increased viscosity and their use.
JPH04360580A (en) 1991-06-07 1992-12-14 Casio Comput Co Ltd Field-effect transistor and manufacture thereof
US5996011A (en) * 1997-03-25 1999-11-30 Unified Research Laboratories, Inc. System and method for filtering data received by a computer system

Patent Citations (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4027380A (en) * 1974-06-03 1977-06-07 Fairchild Camera And Instrument Corporation Complementary insulated gate field effect transistor structure and process for fabricating the structure
US4007294A (en) * 1974-06-06 1977-02-08 Rca Corporation Method of treating a layer of silicon dioxide
US3933530A (en) * 1975-01-28 1976-01-20 Rca Corporation Method of radiation hardening and gettering semiconductor devices
US4059461A (en) * 1975-12-10 1977-11-22 Massachusetts Institute Of Technology Method for improving the crystallinity of semiconductor films by laser beam scanning and the products thereof
US4217194A (en) * 1975-12-29 1980-08-12 Max-Planck-Gesellschaft Zur Forderung Der Wissenschaften E.V. Instrument for polarographic potentiometric, thermal and like measurements and a method of making the same
US4229502A (en) * 1979-08-10 1980-10-21 Rca Corporation Low-resistivity polycrystalline silicon film
US4377421A (en) * 1979-09-12 1983-03-22 Hitachi, Ltd. Method of making a stacked emitter in a bipolar transistor by selective laser irradiation
US4309225A (en) * 1979-09-13 1982-01-05 Massachusetts Institute Of Technology Method of crystallizing amorphous material with a moving energy beam
US4403239A (en) * 1979-12-26 1983-09-06 Shunpei Yamazaki MIS Type semiconductor photoelectric conversion device
US4451838A (en) * 1979-12-30 1984-05-29 Shunpei Yamazaki Semiconductor photoelectric conversion device
US4404735A (en) * 1980-05-14 1983-09-20 Fujitsu Limited Method for manufacturing a field isolation structure for a semiconductor device
US4582395A (en) * 1980-07-31 1986-04-15 Kabushiki Kaisha Suwa Seikosha Active matrix assembly for a liquid crystal display device including an insulated-gate-transistor
US4330363A (en) * 1980-08-28 1982-05-18 Xerox Corporation Thermal gradient control for enhanced laser induced crystallization of predefined semiconductor areas
US4847211A (en) * 1980-11-06 1989-07-11 National Research Development Corporation Method of manufacturing semiconductor devices and product therefrom
US4517733A (en) * 1981-01-06 1985-05-21 Fuji Xerox Co., Ltd. Process for fabricating thin film image pick-up element
US4470060A (en) * 1981-01-09 1984-09-04 Semiconductor Energy Laboratory Co., Ltd. Liquid crystal display with vertical non-single crystal semiconductor field effect transistors
US4613382A (en) * 1981-03-30 1986-09-23 Hitachi, Ltd. Method of forming passivated polycrystalline semiconductors
US4459739A (en) * 1981-05-26 1984-07-17 Northern Telecom Limited Thin film transistors
US4502204A (en) * 1981-07-17 1985-03-05 Citizen Watch Company Limited Method of manufacturing insulated gate thin film field effect transistors
US4485146A (en) * 1981-08-06 1984-11-27 Asahi Glass Company Ltd Glass body provided with an alkali diffusion-preventing silicon oxide layer
US5340999A (en) * 1982-02-25 1994-08-23 Sharp Kabushiki Kaisha Insulated gate thin film transistor with amorphous or microcrystalline semiconductor film
US4740829A (en) * 1982-09-02 1988-04-26 Canon Kabushiki Kaisha Semiconductor device having a thin layer comprising germanium atoms as a matrix with a restricted range of hydrogen atom concentration
US4619034A (en) * 1983-05-02 1986-10-28 Ncr Corporation Method of making laser recrystallized silicon-on-insulator nonvolatile memory device
US4561906A (en) * 1983-06-16 1985-12-31 Northern Telecom Limited Laser activated polysilicon connections for redundancy
US4746628A (en) * 1983-08-26 1988-05-24 Sharp Kabushiki Kaisha Method for making a thin film transistor
US4599135A (en) * 1983-09-30 1986-07-08 Hitachi, Ltd. Thin film deposition
US4651408A (en) * 1984-01-05 1987-03-24 Northern Telecom Limited Fabrication of stacked MOS devices utilizing lateral seeding and a plurality of separate implants at different energies
US4698486A (en) * 1984-02-28 1987-10-06 Tamarack Scientific Co., Inc. Method of heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
US4959700A (en) * 1984-05-18 1990-09-25 Semiconductor Energy Laboratory Co., Ltd. Insulated gate field effect transistor and its manufacturing method
US4585492A (en) * 1984-07-30 1986-04-29 International Business Machines Corporation Rapid thermal annealing of silicon dioxide for reduced hole trapping
US4566913A (en) * 1984-07-30 1986-01-28 International Business Machines Corporation Rapid thermal annealing of silicon dioxide for reduced electron trapping
US4680609A (en) * 1984-09-24 1987-07-14 Northern Telecom Limited Structure and fabrication of vertically integrated CMOS logic gates
US4693759A (en) * 1984-11-26 1987-09-15 Sony Corporation Method of forming a thin semiconductor film
US4657616A (en) * 1985-05-17 1987-04-14 Benzing Technologies, Inc. In-situ CVD chamber cleaner
US4882295A (en) * 1985-07-26 1989-11-21 Energy Conversion Devices, Inc. Method of making a double injection field effect transistor
US4698638A (en) * 1985-12-26 1987-10-06 General Dynamics, Pomona Division Dual mode target seeking system
US4814292A (en) * 1986-07-02 1989-03-21 Oki Electric Industry Co., Ltd. Process of fabricating a semiconductor device involving densification and recrystallization of amorphous silicon
US4851363A (en) * 1986-07-11 1989-07-25 General Motors Corporation Fabrication of polysilicon fets on alkaline earth alumino-silicate glasses
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4915772A (en) * 1986-10-01 1990-04-10 Corning Incorporated Capping layer for recrystallization process
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4748131A (en) * 1987-02-06 1988-05-31 The Aerospace Corporation Method for increasing radiation hardness of MOS gate oxides
US5238705A (en) * 1987-02-24 1993-08-24 Semiconductor Energy Laboratory Co., Ltd. Carbonaceous protective films and method of depositing the same
US4785962A (en) * 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US4968638A (en) * 1987-09-09 1990-11-06 National Research Development Corporation Semiconductor devices
US5045485A (en) * 1988-02-04 1991-09-03 Seikosha Co., Ltd. Method for producing amorphous silicon thin film transistor array substrate
US4880753A (en) * 1988-02-19 1989-11-14 The General Electric Company, P.L.C. Method of fabricating a polysilicon thin film transistor
US4998152A (en) * 1988-03-22 1991-03-05 International Business Machines Corporation Thin film transistor
US4849081A (en) * 1988-06-22 1989-07-18 The Boc Group, Inc. Formation of oxide films by reactive sputtering
US5105245A (en) * 1988-06-28 1992-04-14 Texas Instruments Incorporated Trench capacitor DRAM cell with diffused bit lines adjacent to a trench
US5008218A (en) * 1988-09-20 1991-04-16 Hitachi, Ltd. Method for fabricating a thin film transistor using a silicide as an etch mask
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US5076666A (en) * 1988-12-06 1991-12-31 Sharp Kabushiki Kaisha Active matrix display apparatus with drain electrode extensions
US5180690A (en) * 1988-12-14 1993-01-19 Energy Conversion Devices, Inc. Method of forming a layer of doped crystalline semiconductor alloy material
US5060036A (en) * 1988-12-31 1991-10-22 Samsung Electron Devices Co., Ltd. Thin film transistor of active matrix liquid crystal display
US5070379A (en) * 1989-06-29 1991-12-03 Oki Electric Industry Co., Ltd. Thin-film transistor matrix for active matrix display panel with alloy electrodes
US5061642A (en) * 1989-08-19 1991-10-29 Fujitsu Limited Method of manufacturing semiconductor on insulator
US5278093A (en) * 1989-09-23 1994-01-11 Canon Kabushiki Kaisha Method for forming semiconductor thin film
US5288684A (en) * 1990-03-27 1994-02-22 Semiconductor Energy Laboratory Co., Ltd. Photochemical vapor phase reaction apparatus and method of causing a photochemical vapor phase reaction
US5233191A (en) * 1990-04-02 1993-08-03 Hitachi, Ltd. Method and apparatus of inspecting foreign matters during mass production start-up and mass production line in semiconductor production process
US5198379A (en) * 1990-04-27 1993-03-30 Sharp Kabushiki Kaisha Method of making a MOS thin film transistor with self-aligned asymmetrical structure
US5523240A (en) * 1990-05-29 1996-06-04 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a thin film transistor with a halogen doped blocking layer
US5313075A (en) * 1990-05-29 1994-05-17 Hongyong Zhang Thin-film transistor
US5141885A (en) * 1990-06-05 1992-08-25 Matsushita Electric Industrial Co., Ltd. Method of fabrication of thin film transistors
US5208476A (en) * 1990-06-08 1993-05-04 Seiko Epson Corporation Low leakage current offset-gate thin film transistor structure
US5514879A (en) * 1990-11-20 1996-05-07 Semiconductor Energy Laboratory Co., Ltd. Gate insulated field effect transistors and method of manufacturing the same
US5495353A (en) * 1990-11-26 1996-02-27 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and driving having an improved electrode and driving arrangement
US5420048A (en) * 1991-01-09 1995-05-30 Canon Kabushiki Kaisha Manufacturing method for SOI-type thin film transistor
US5286658A (en) * 1991-03-05 1994-02-15 Fujitsu Limited Process for producing semiconductor device
US5352291A (en) * 1991-05-28 1994-10-04 Semiconductor Energy Laboratory Co., Ltd. Method of annealing a semiconductor
US5352291B1 (en) * 1991-05-28 2000-04-18 Semiconductor Energy Lab Method of annealing a semiconductor
US5219786A (en) * 1991-06-12 1993-06-15 Sony Corporation Semiconductor layer annealing method using excimer laser
US5329140A (en) * 1991-10-01 1994-07-12 Nec Corporation Thin film transistor and its production method
US5696011A (en) * 1992-03-25 1997-12-09 Semiconductor Energy Laboratory Co., Ltd. Method for forming an insulated gate field effect transistor
US5529937A (en) * 1993-07-27 1996-06-25 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating thin film transistor
US5530265A (en) * 1993-08-12 1996-06-25 Semiconductor Energy Laboratory Co., Ltd. Insulated gate semiconductor device and process for fabricating the same
US7097716B2 (en) * 2002-10-17 2006-08-29 Applied Materials, Inc. Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8068560B2 (en) * 2007-12-15 2011-11-29 Electronics And Telecommunications Research Institute QR decomposition apparatus and method for MIMO system
US20090154579A1 (en) * 2007-12-15 2009-06-18 Electronics And Telecommunications Research Institute Qr decomposition apparatus and method for mimo system
US8945981B2 (en) 2008-07-31 2015-02-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US10326025B2 (en) 2008-07-31 2019-06-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20100025677A1 (en) * 2008-07-31 2010-02-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US8841710B2 (en) 2008-07-31 2014-09-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9859441B2 (en) 2008-07-31 2018-01-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9412798B2 (en) 2008-07-31 2016-08-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US10937897B2 (en) 2008-07-31 2021-03-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US8785242B2 (en) 2008-08-08 2014-07-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9105659B2 (en) 2008-08-08 2015-08-11 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US10128381B2 (en) 2008-09-01 2018-11-13 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with oxygen rich gate insulating layer
US20100051949A1 (en) * 2008-09-01 2010-03-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9082857B2 (en) 2008-09-01 2015-07-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device comprising an oxide semiconductor layer
US9397194B2 (en) 2008-09-01 2016-07-19 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device with oxide semiconductor ohmic conatct layers
US8680526B2 (en) 2009-04-08 2014-03-25 Fujifilm Corporation Electronic device, method of producing the same, and display device
US20100258806A1 (en) * 2009-04-08 2010-10-14 Fujifilm Corporation Electronic device, method of producing the same, and display device
US20170040181A1 (en) 2010-03-05 2017-02-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US9496404B2 (en) 2010-03-05 2016-11-15 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US10388538B2 (en) 2010-03-05 2019-08-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
CN103904089A (en) * 2012-12-27 2014-07-02 台湾积体电路制造股份有限公司 Surface Treatment for BSI Image Sensors
US10868063B2 (en) 2012-12-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Surface treatment for BSI image sensors
US9978793B2 (en) 2012-12-27 2018-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Surface treatment for BSI image sensors
US10326089B2 (en) * 2016-12-07 2019-06-18 Tsinghua University Logic circuit based on thin film transistor
US20180159057A1 (en) * 2016-12-07 2018-06-07 Tsinghua University Logic circuit based on thin film transistor

Also Published As

Publication number Publication date
US5313075A (en) 1994-05-17
EP0459763A1 (en) 1991-12-04
US6607947B1 (en) 2003-08-19
DE69125886D1 (en) 1997-06-05
US20040031961A1 (en) 2004-02-19
US7355202B2 (en) 2008-04-08
DE69125886T2 (en) 1997-11-20
US5523240A (en) 1996-06-04
EP0459763B1 (en) 1997-05-02

Similar Documents

Publication Publication Date Title
US7355202B2 (en) Thin-film transistor
US5512494A (en) Method for manufacturing a thin film transistor having a forward staggered structure
US6518102B1 (en) Method for manufacturing transistor semiconductor devices with step of annealing to getter metal with phosphorous
JP3193803B2 (en) Manufacturing method of semiconductor element
US6586346B1 (en) Method of forming an oxide film
JP2794678B2 (en) Insulated gate semiconductor device and method of manufacturing the same
JP3539821B2 (en) Method for manufacturing semiconductor device
US20090298268A1 (en) Method of fabricating poly-crystalline silicon thin film and method of fabricating transistor using the same
US6337229B1 (en) Method of making crystal silicon semiconductor and thin film transistor
JPH07176753A (en) Thin-film semiconductor device and its manufacture
JP3325992B2 (en) Method for manufacturing semiconductor device
JP2652267B2 (en) Insulated gate type semiconductor device
US20020034845A1 (en) Method of forming polycrystalline semiconductor film
JP2903134B2 (en) Semiconductor device
US7011993B2 (en) Transistor and method for manufacturing the same
JP3347340B2 (en) Method for manufacturing thin film transistor
JP3308513B2 (en) Method for manufacturing insulating gate type semiconductor device
JP2652368B2 (en) Method for manufacturing insulated gate semiconductor device
JP3308512B2 (en) Insulated gate semiconductor device and method of manufacturing the same
GB2358080A (en) Method of making a thin film transistor
JP2842112B2 (en) Method for manufacturing thin film transistor
JP2652369B2 (en) Method for manufacturing semiconductor device
JP3143610B2 (en) Thin film insulated gate type semiconductor device and method of manufacturing the same
JPH10189499A (en) Manufacture of semiconductor device
JP3494280B2 (en) Insulated gate field effect semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE