US20090119671A1 - Registers for data transfers - Google Patents

Registers for data transfers Download PDF

Info

Publication number
US20090119671A1
US20090119671A1 US12/249,786 US24978608A US2009119671A1 US 20090119671 A1 US20090119671 A1 US 20090119671A1 US 24978608 A US24978608 A US 24978608A US 2009119671 A1 US2009119671 A1 US 2009119671A1
Authority
US
United States
Prior art keywords
register
registers
programming
processor
context
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/249,786
Inventor
Gilbert Wolrich
Mark B. Rosenbluth
Debra Bernstein
Matthew Adiletta
Hugh M. Wilkinson, III
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tahoe Research Ltd
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to US12/249,786 priority Critical patent/US20090119671A1/en
Assigned to INTEL CORPORATION reassignment INTEL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WILKINSON, HUGH M., III, ADILETTA, MATTHEW J., BERNSTEIN, DEBRA, ROSENBLUTH, MARK B., WOLRICH, GILBERT
Publication of US20090119671A1 publication Critical patent/US20090119671A1/en
Assigned to TAHOE RESEARCH, LTD. reassignment TAHOE RESEARCH, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTEL CORPORATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/48Program initiating; Program switching, e.g. by interrupt
    • G06F9/4806Task transfer initiation or dispatching
    • G06F9/4843Task transfer initiation or dispatching by program, e.g. task dispatcher, supervisor, operating system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/30101Special purpose registers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/3012Organisation of register space, e.g. banked or distributed register file
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/3012Organisation of register space, e.g. banked or distributed register file
    • G06F9/30123Organisation of register space, e.g. banked or distributed register file according to context, e.g. thread buffers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3851Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution from multiple instruction streams, e.g. multistreaming

Definitions

  • Parallel processing is an efficient form of information processing of concurrent events in a computing process.
  • Parallel processing demands concurrent execution of many programs, in contrast to sequential processing.
  • parallelism involves doing more than one thing at the same time.
  • serial paradigm where all tasks are performed sequentially at a single station or a pipelined machine where tasks are performed at specialized stations
  • parallel processing many stations are provided, each capable of performing and carrying out various tasks and functions simultaneously.
  • a number of stations work simultaneously and independently on the same or common elements of a computing task. Accordingly, parallel processing solves various types of computing tasks and certain problems are suitable for solution by applying several instruction processing units and several data streams.
  • FIG. 2 is a detailed block diagram of the processing system of FIG. 1 where one of the embodiments of the invention may be advantageously practiced.
  • FIG. 3 is a block diagram of a functional pipeline unit of the processing system of FIG. 1 .
  • FIG. 4 is a block diagram illustrating details of the processing system of FIG. 1 where one of the embodiments of the invention may be advantageously practiced.
  • FIG. 5 is a simplified block diagram of a context pipeline process.
  • FIG. 6 is a flowchart illustrating the process of a context pipeline where one of the embodiments of the invention may be advantageously practiced.
  • FIG. 7 is a flowchart illustrating the process of determining the address of the Next Neighbor registers.
  • a computer processing system 10 includes a parallel, hardware-based multithreaded network processor 12 .
  • the hardware-based multithreaded processor 12 is coupled to a memory system or memory resource 14 .
  • Memory system 14 includes dynamic random access memory (DPAM) 14 a and static random access memory 14 b (SRAM) .
  • DPAM dynamic random access memory
  • SRAM static random access memory
  • the processing system 10 is especially useful for tasks that can be broken into parallel subtasks or functions.
  • the hardware-based multithreaded processor 12 is useful for tasks that are bandwidth oriented rather than latency oriented.
  • the hardware-based multithreaded processor 12 has multiple functional microengines or programming engines 16 a - 16 h (collectively, programming engines 16 ) each with multiple hardware controlled threads that are simultaneously active and independently work on a specific task.
  • the programming engines 16 each maintain program counters in hardware and states associated with the program counters. Effectively, corresponding sets of context or threads can be simultaneously active on each of the programming engines 16 while only one is actually operating at any one time.
  • the hardware-based multithreaded processor 12 includes a dynamic random access memory (DRAM) controller 18 a and a static random access memory (SRAM) controller 18 b.
  • DRAM dynamic random access memory
  • SRAM static random access memory
  • the DRAM memory 14 a and DRAM controller 18 a are typically used for processing large volumes of data, e.g., processing of network payloads from network packets.
  • the SRAM memory 14 b and SRAM controller 18 b are used in a networking implementation for low latency, fast access tasks, e.g., accessing look-up tables, memory for the core processor 20 , and the like.
  • the eight programming engines 16 a - 16 h access either the DRAM memory 14 a or SRAM memory 14 b based on characteristics of the data. Thus, low latency, low bandwidth data are stored in and fetched from SRAM memory 14 b, whereas higher bandwidth data for which latency is not as important, are stored in and fetched from DRAM memory 14 a.
  • the programming engines 16 can execute memory reference instructions to either the DRAM controller 18 a or SRAM controller 18 b.
  • the hardware-based multithreaded processor 12 also includes a processor core 20 for loading microcode control for the programming engines 16 .
  • the processor core 20 is an XScaleTM based architecture, designed by Intel® Corporation, of Santa Clara, Calif.
  • the processor core 20 performs general-purpose computer type functions such as handling protocols, exceptions, and extra support for packet processing where the programming engines 16 pass the packets off for more detailed processing such as in boundary conditions.
  • the processor core 20 executes an operating system (not shown). Through the operating system (OS), the processor core 20 can call functions to operate on the programming engines 16 a - 16 h .
  • OS operating system
  • the core processor 20 implemented as an XScaleTM architecture, operating systems such as Microsoft® NT real-time of Microsoft® Corporation, of Seattle, Wash., VxWorks® real-time operating system of WindRiver®, of Alameda, Calif., or a freeware OS available over the Internet can be used.
  • SRAM or DRAM memory accesses can be explained by SRAM or DRAM memory accesses.
  • an SRAM access requested by a context e.g., Thread — 0
  • the programming engines 16 e.g., programming engine 16 a
  • the SRAM controller 18 b accesses the SRAM memory 14 b , fetches the data from the SRAM memory 14 b, and returns data to a requesting programming engine 16 .
  • Thread — 1 can function while the first thread, Thread — 0, is awaiting the read data to return.
  • Thread — 1 may access the DRAM memory 14 a.
  • Thread — 1 operates on the DRAM unit, and Thread — 0 is operating on the SRAM unit, a new thread, e.g., Thread — 2 can now operate in the programming engine 16 .
  • Thread — 2 can operate for a certain amount of time until it needs to access memory or perform some other long latency operation, such as making an access to a bus interface. Therefore, simultaneously, the multi-threaded processor 12 can have a bus operation, an SRAM operation, and a DRAM operation all being completed or operated upon by one of the programming engines 16 and have one more threads or contexts available to process more work.
  • the hardware context swapping also synchronizes the completion of tasks. For example, two threads can access the shared memory resource, e.g., the SRAM memory 14 b. Each one of the separate functional units, e.g., the SRAM controller 18 b, and the DRAM controller 18 a, when they complete a requested task from one of the programming engine threads or contexts reports back a flag signaling completion of an operation. When the programming engines 16 a - 16 h receive the flag, the programming engines 16 a - 16 h can determine which thread to turn on.
  • the hardware-based multithreaded processor 12 interfaces to network devices such as a Media Access Controller (MAC) device, e.g., a 10/100 BaseT Octal MAC or a Gigabit Ethernet device compliant with IEEE 802.3.
  • MAC Media Access Controller
  • the hardware-based multithreaded processor 12 can interface to any type of communication device or interface that receives or sends large amount of data.
  • the computer processing system 10 functioning in a networking application can receive network packets and process those packets in a parallel manner.
  • the programming engine 16 a employs signaling states that are global. With signaling states, an executing thread can broadcast a signal state to all programming engines 16 a - 16 h. Any and all threads in the programming engines can branch on these signaling states.
  • the programming engine 16 a supports multi-threaded execution of eight contexts. This allows one thread to start executing just after another thread issues a memory reference and must wait until that reference completes before doing more work. Multi-threaded execution is critical to maintaining efficient hardware execution of the programming engine 16 a because memory latency is significant. Multi-threaded execution allows the programming engines 16 to hide memory latency by performing useful independent work across several threads.
  • the programming engine 16 a to allow for efficient context swapping, has its own register set, program counter, and context specific local registers. Having a copy per context eliminates the need to move context specific information to and from shared memory and programming engine registers for each context swap. Fast context swapping allows a context to perform computations while other contexts wait for input-output (I/O), typically, external memory accesses to complete or for a signal from another context or hardware unit.
  • I/O input-output
  • the GPRs 32 are used for general programming purposes.
  • the GPRs 32 are read and written exclusively under program control.
  • the GPRs 32 when used as a source in an instruction, supply operands to an execution datapath 44 .
  • the input transfer registers when used as a source in an instruction, supply operands to the execution datapath 44 , whereas output transfer registers are written with the result from the execution datapath 44 when utilized as a destination in an instruction.
  • CSRs 37 are external to the execution datapath 44 and hold specific purpose information. They can be read and written by special instructions (local_csr_rd and local_csr_wr) and are typically accessed less frequently than datapath registers.
  • the programming engine 16 a also includes one hundred and twenty eight (128) Next Neighbor (NN) registers, collectively referred to as NN registers 35 .
  • NN registers 35 Each NN Register 35 , when used as a source in an instruction, also supplies operands to the execution datapath 44 .
  • Each NN register 35 is written either by an external entity, not limited to, an adjacent programming engine, or by the same programming engine 16 a where each NN register 35 resides.
  • the specific register is selected by a context-relative operation where the register number is encoded in the instruction, or as a ring operation, selected via, e.g., NN_Put (NN write address) and NN_Get (NN read address) in the CSR Registers.
  • NN_Put registers are used when the previous neighboring programming engine executes an instruction with NN_Put as a destination.
  • the NN register selected by the value in this register is written, and the value in NN_Put is then incremented (a value of 127 wraps back to 0).
  • the value in this register is compared to the value in NN_Get register to determine when to assert NN_Full and NN_Empty status signals.
  • NN_Get registers are used when the NN register 35 is accessed as a source, which is specified in the source field of the instruction.
  • the NN register selected by the value in this register is read, and the value in NN_Put is then decremented (a value of 127 wraps back to 0).
  • the value in this register is compared to the value in the NN_Put register to determine when to assert NN_Full and NN Empty status signals.
  • each NN register 35 when each NN register 35 is used as an origin in an instruction, the instruction result data are sent out of the programming engine 16 a, typically to another, adjacent programming engine. On the other hand, when the NN register 35 is used as a destination in an instruction, the instruction result data are written to the selected NN Register 35 in the programming engine 16 a. The data are not sent out of the programming engine 16 a as it would be when each NN register 35 is used as a destination.
  • Each NN register 35 is used in a context pipelining method, as described below.
  • a local memory 42 is also used.
  • the local memory 42 includes addressable storage located in the programming engine 16 a.
  • the local memory 42 is read and written exclusively under program control.
  • the local memory 42 also includes variables shared by all the programming engines 16 . Shared variables are modified in various assigned tasks during functional pipeline stages by the programming engines 16 a - 16 h, which are described next.
  • the shared variables include a critical section, defining the read-modify-write times. The implementation and use of the critical section in the computing processing system 10 is also described below.
  • the programming engine 16 a is shown in a functional pipeline unit 50 .
  • the functional pipeline unit 50 includes the programming engine 16 a and a data unit 52 that includes data, operated on by the programming engine, e.g., network packets 54 .
  • the programming engine 16 a is shown having a local register unit 56 .
  • the local register unit 56 stores information from the data packets 54 .
  • the pipeline stage 60 a is, for example, a regular time interval within which a particular processing function, e.g., the function 62 a is applied to one of the data packets 54 .
  • a processing function 62 can last one or more pipelines stages 60 .
  • the function 64 for example, lasts two pipeline stages, namely pipeline stages 60 b and 60 c.
  • a single programming engine such as the programming engine 16 a can constitute a functional pipeline unit 50 .
  • the functions 62 a, 64 , and 62 p move through the functional pipeline unit 50 from one programming engine (e.g., programming engine 16 a ), to another programming engine (e.g., programming engine 16 b ), as will be described next.
  • the data packets 54 are assigned to programming engine contexts 58 in order.
  • the first context 58 “PEO.1” completes processing of the data packet 54 before the data packets 54 from the “PEO.n” context arrives.
  • the programming engine 16 b can begin processing the “n+1” packet.
  • function 62 a of the functional pipeline stage 60 a can be passed from the programming engine 16 a to the programming engine 16 b. Passing of the function 62 a is accomplished by using Next Neighbor registers, as illustrated by dotted lines 80 a - 80 c in FIG. 4 .
  • the number of functional pipeline stages 60 a - 60 m is equal to the number of the programming engines 16 a and 16 b in the functional pipeline units 50 and 70 . This ensures that a particular pipeline stage executes in only one programming engine 16 at any one time.
  • Each of the programming engine 16 supports multi-threaded execution of eight contexts.
  • One reason for this is to allow one thread to start executing just after another thread issues a memory reference and must wait until that reference completes before doing more work. This behavior is critical to maintaining efficient hardware execution of the programming engines 16 a - 16 f because memory latency is significant. Stated differently, if only a single thread execution was supported, the programming engine would sit idle for a significant number of cycles waiting for references to complete and thereby reduce overall computational throughput. Multi-threaded execution allows a programming engine to hide memory latency by performing useful independent work across several threads.
  • the context for a specific assigned task is maintained on the programming engines 16 a - 16 c using CAM 45 a - 45 c.
  • the packets are processed in a pipelined fashion similar to an assembly line using NN registers 35 a - 35 c to pass data from one programming engine to a subsequent, adjacent programming engine.
  • Data are passed from one stage 90 a to a subsequent stage 90 b and then from stage 90 b to stage 90 c of the pipeline, and so forth.
  • data are passed to the next stage of the pipeline allowing the steps in the processor cycle to overlap.
  • the next instruction can be fetched, which means that more than one instruction can be in the “pipe” at any one time, each at a different stage of being processed.
  • data can be passed forward from one programming engine 16 to the next programming engine 16 in the pipeline using the NN registers 35 a - 35 c, as illustrated by example in FIG. 5 .
  • This method of implementing pipelined processing has the advantage that the information included in CAM 45 a - 45 c for each stage 90 a - c is consistently valid for all eight contexts of the pipeline stage.
  • the context pipeline method may be utilized when minimal data from the packet being processed must advance through the context pipeline.
  • each context of the programming engine 16 a may write to the same Next Neighbor registers for the same context in programming engine 16 b ( 200 ).
  • a write pointer register in the programming engine 16 a and a read pointer register in the programming engine 16 b may be used ( 300 ) to implement an inter processing engine FIFO ( 302 ).
  • the values of write pointer register in the programming engine 16 a and the read pointer register in the programming engine 16 b are used to produce a full indication checked by the programming engine 16 before inserting data onto the FIFO ( 304 ), and an empty indication may be used the programming engine 16 b before removing data from the FIFO ( 306 ).
  • the FIFO Next Neighbor configuration may provide the elasticity between contexts in the pipe stages P and P+1. When a context in the pipe stage P+1 finds the Next Neighbor FIFO is empty, that context can perform a No-op function, allowing the pipe stage to maintain a predetermined execution rate or “beat” even if the previous pipe stage may not be supplying an input at this same rate.
  • the computer processing system 10 may implement programming engines 16 using a variety of network processors.

Abstract

A system and method for employing registers for data transfer in multiple hardware contexts and programming engines to facilitate high performance data processing. The system and method includes a processor that includes programming engines with registers for transferring data from one of the registers residing in an executing programming engine to a subsequent one of the registers residing in an adjacent programming engine.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation application and claims priority to U.S. application Ser. No. 10/116,670, filed on Apr. 3, 2002 (issuing as U.S. Pat. No. 7,437,724), the content of which is incorporated herein in its entirety.
  • BACKGROUND
  • Parallel processing is an efficient form of information processing of concurrent events in a computing process. Parallel processing demands concurrent execution of many programs, in contrast to sequential processing. In the context of parallel processing, parallelism involves doing more than one thing at the same time. Unlike a serial paradigm where all tasks are performed sequentially at a single station or a pipelined machine where tasks are performed at specialized stations, with parallel processing, many stations are provided, each capable of performing and carrying out various tasks and functions simultaneously. A number of stations work simultaneously and independently on the same or common elements of a computing task. Accordingly, parallel processing solves various types of computing tasks and certain problems are suitable for solution by applying several instruction processing units and several data streams.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a block diagram of a processing system.
  • FIG. 2 is a detailed block diagram of the processing system of FIG. 1 where one of the embodiments of the invention may be advantageously practiced.
  • FIG. 3 is a block diagram of a functional pipeline unit of the processing system of FIG. 1.
  • FIG. 4 is a block diagram illustrating details of the processing system of FIG. 1 where one of the embodiments of the invention may be advantageously practiced.
  • FIG. 5 is a simplified block diagram of a context pipeline process.
  • FIG. 6 is a flowchart illustrating the process of a context pipeline where one of the embodiments of the invention may be advantageously practiced.
  • FIG. 7 is a flowchart illustrating the process of determining the address of the Next Neighbor registers.
  • DESCRIPTION Architecture
  • Referring to FIG. 1, a computer processing system 10 includes a parallel, hardware-based multithreaded network processor 12. The hardware-based multithreaded processor 12 is coupled to a memory system or memory resource 14. Memory system 14 includes dynamic random access memory (DPAM) 14 a and static random access memory 14 b (SRAM) . The processing system 10 is especially useful for tasks that can be broken into parallel subtasks or functions. Specifically, the hardware-based multithreaded processor 12 is useful for tasks that are bandwidth oriented rather than latency oriented. The hardware-based multithreaded processor 12 has multiple functional microengines or programming engines 16 a-16 h (collectively, programming engines 16) each with multiple hardware controlled threads that are simultaneously active and independently work on a specific task.
  • The programming engines 16 each maintain program counters in hardware and states associated with the program counters. Effectively, corresponding sets of context or threads can be simultaneously active on each of the programming engines 16 while only one is actually operating at any one time.
  • In this example, eight programming engines 16 a-16 h are illustrated in FIG. 1. Each programming engine 16 a-16 h processes eight hardware threads or contexts. The eight programming engines 16 a-16 h operate with shared resources including memory resource 14 and bus interfaces (not shown). The hardware-based multithreaded processor 12 includes a dynamic random access memory (DRAM) controller 18 a and a static random access memory (SRAM) controller 18 b. The DRAM memory 14 a and DRAM controller 18 a are typically used for processing large volumes of data, e.g., processing of network payloads from network packets. The SRAM memory 14 b and SRAM controller 18 b are used in a networking implementation for low latency, fast access tasks, e.g., accessing look-up tables, memory for the core processor 20, and the like.
  • The eight programming engines 16 a-16 h access either the DRAM memory 14 a or SRAM memory 14 b based on characteristics of the data. Thus, low latency, low bandwidth data are stored in and fetched from SRAM memory 14 b, whereas higher bandwidth data for which latency is not as important, are stored in and fetched from DRAM memory 14 a. The programming engines 16 can execute memory reference instructions to either the DRAM controller 18 a or SRAM controller 18 b.
  • The hardware-based multithreaded processor 12 also includes a processor core 20 for loading microcode control for the programming engines 16. In this example, although other types of processor cores may be used in embodiments of this invention, the processor core 20 is an XScale™ based architecture, designed by Intel® Corporation, of Santa Clara, Calif.
  • The processor core 20 performs general-purpose computer type functions such as handling protocols, exceptions, and extra support for packet processing where the programming engines 16 pass the packets off for more detailed processing such as in boundary conditions.
  • The processor core 20 executes an operating system (not shown). Through the operating system (OS), the processor core 20 can call functions to operate on the programming engines 16 a-16 h. For the core processor 20 implemented as an XScale™ architecture, operating systems such as Microsoft® NT real-time of Microsoft® Corporation, of Seattle, Wash., VxWorks® real-time operating system of WindRiver®, of Alameda, Calif., or a freeware OS available over the Internet can be used.
  • Advantages of hardware multithreading can be explained by SRAM or DRAM memory accesses. As an example, an SRAM access requested by a context (e.g., Thread0), from one of the programming engines 16, e.g., programming engine 16 a, will cause the SRAM controller 18 b to initiate an access to the SRAM memory 14 b. The SRAM controller 18 b accesses the SRAM memory 14 b, fetches the data from the SRAM memory 14 b, and returns data to a requesting programming engine 16.
  • During an SRAM access, if one of the programming engines 16 a-16 h has a single thread that could operate, that programming engine would be dormant until data was returned from the SRAM memory 14 b.
  • By employing hardware context swapping within each of the programming engines 16 a-16 h, the hardware context swapping enables other contexts with unique program counters to execute in that same programming engine. Thus, another thread e.g., Thread 1 can function while the first thread, Thread 0, is awaiting the read data to return. During execution, Thread 1 may access the DRAM memory 14 a. While Thread 1 operates on the DRAM unit, and Thread 0 is operating on the SRAM unit, a new thread, e.g., Thread 2 can now operate in the programming engine 16. Thread 2 can operate for a certain amount of time until it needs to access memory or perform some other long latency operation, such as making an access to a bus interface. Therefore, simultaneously, the multi-threaded processor 12 can have a bus operation, an SRAM operation, and a DRAM operation all being completed or operated upon by one of the programming engines 16 and have one more threads or contexts available to process more work.
  • The hardware context swapping also synchronizes the completion of tasks. For example, two threads can access the shared memory resource, e.g., the SRAM memory 14 b. Each one of the separate functional units, e.g., the SRAM controller 18 b, and the DRAM controller 18 a, when they complete a requested task from one of the programming engine threads or contexts reports back a flag signaling completion of an operation. When the programming engines 16 a-16 h receive the flag, the programming engines 16 a-16 h can determine which thread to turn on.
  • One example of an application for the hardware-based multithreaded processor 12 is as a network processor. As a network processor, the hardware-based multithreaded processor 12 interfaces to network devices such as a Media Access Controller (MAC) device, e.g., a 10/100 BaseT Octal MAC or a Gigabit Ethernet device compliant with IEEE 802.3. In general, as a network processor, the hardware-based multithreaded processor 12 can interface to any type of communication device or interface that receives or sends large amount of data. The computer processing system 10 functioning in a networking application can receive network packets and process those packets in a parallel manner.
  • Registers in Programming Engines
  • Referring to FIG. 2, one exemplary programming engine 16 a from the programming engines 16, is shown. The programming engine 16 a includes a control store 30, which in one example includes a RAM of 4096 instructions, each of which is 40-bits wide. The RAM stores a microprogram that the programming engine 16 a executes. The microprogram in the control store 30 is loadable by the processor core 20 (FIG. 1).
  • In addition to event signals that are local to an executing thread, the programming engine 16 a employs signaling states that are global. With signaling states, an executing thread can broadcast a signal state to all programming engines 16 a-16 h. Any and all threads in the programming engines can branch on these signaling states.
  • As described above, the programming engine 16 a supports multi-threaded execution of eight contexts. This allows one thread to start executing just after another thread issues a memory reference and must wait until that reference completes before doing more work. Multi-threaded execution is critical to maintaining efficient hardware execution of the programming engine 16 a because memory latency is significant. Multi-threaded execution allows the programming engines 16 to hide memory latency by performing useful independent work across several threads.
  • The programming engine 16 a, to allow for efficient context swapping, has its own register set, program counter, and context specific local registers. Having a copy per context eliminates the need to move context specific information to and from shared memory and programming engine registers for each context swap. Fast context swapping allows a context to perform computations while other contexts wait for input-output (I/O), typically, external memory accesses to complete or for a signal from another context or hardware unit.
  • General Purpose Registers The programming engine 16 a executes the eight contexts by maintaining eight program counters and eight context relative sets of registers. A number of different types of context relative registers, such as general purpose registers (GPRs) 32, inter-programming agent registers (not shown), Static Random Access Memory (SRAM) input transfer registers 34, Dynamic Random Access Memory (DRAM) input transfer registers 36, SRAM output transfer registers 38, DRAM output transfer registers 40.
  • The GPRs 32 are used for general programming purposes. The GPRs 32 are read and written exclusively under program control. The GPRs 32, when used as a source in an instruction, supply operands to an execution datapath 44.
  • The execution datapath 44 can take one or two operands, perform an operation, and optionally write back a result. The execution datapath 44 includes a content addressable memory (CAM) 45. Each entry of the CAM 45 stores a 32-bit value, which can be compared against a source operand. All entries are compared in parallel and the result of the lookup is a 6-bit value.
  • When used as a destination in an instruction, the GPRs 32 are written with the result of the execution datapath 44. The programming engine 16 a also includes I/O transfer registers 34, 36, 38 and 40 which are used for transferring data to and from the programming engine 16 a and locations external to the programming engines 16 a, e.g., the DRAM memory 14 a, the SRAM memory 14 b, and the like.
  • Transfer Registers
  • The programming engine 16 a also includes transfer registers 34, 36, 38 and 40. Transfer registers 34, 36, 38 and 40 are used for transferring data to and from the programming engine 16 a and locations external to the programming engine, e.g., DRAMs, SRAMs etc. There are four types of transfer registers as illustrated in FIG. 2, namely, input transfer registers and output transfer registers.
  • The input transfer registers, when used as a source in an instruction, supply operands to the execution datapath 44, whereas output transfer registers are written with the result from the execution datapath 44 when utilized as a destination in an instruction.
  • Local Control and Status Registers (CSRs)
  • Local control and status registers (CSRs) 37 are external to the execution datapath 44 and hold specific purpose information. They can be read and written by special instructions (local_csr_rd and local_csr_wr) and are typically accessed less frequently than datapath registers.
  • Next Neighbor Registers
  • The programming engine 16 a also includes one hundred and twenty eight (128) Next Neighbor (NN) registers, collectively referred to as NN registers 35. Each NN Register 35, when used as a source in an instruction, also supplies operands to the execution datapath 44. Each NN register 35 is written either by an external entity, not limited to, an adjacent programming engine, or by the same programming engine 16 a where each NN register 35 resides. The specific register is selected by a context-relative operation where the register number is encoded in the instruction, or as a ring operation, selected via, e.g., NN_Put (NN write address) and NN_Get (NN read address) in the CSR Registers.
  • NN_Put registers are used when the previous neighboring programming engine executes an instruction with NN_Put as a destination. The NN register selected by the value in this register is written, and the value in NN_Put is then incremented (a value of 127 wraps back to 0). The value in this register is compared to the value in NN_Get register to determine when to assert NN_Full and NN_Empty status signals.
  • NN_Get registers are used when the NN register 35 is accessed as a source, which is specified in the source field of the instruction. The NN register selected by the value in this register is read, and the value in NN_Put is then decremented (a value of 127 wraps back to 0). The value in this register is compared to the value in the NN_Put register to determine when to assert NN_Full and NN Empty status signals.
  • Specifically, when each NN register 35 is used as an origin in an instruction, the instruction result data are sent out of the programming engine 16 a, typically to another, adjacent programming engine. On the other hand, when the NN register 35 is used as a destination in an instruction, the instruction result data are written to the selected NN Register 35 in the programming engine 16 a. The data are not sent out of the programming engine 16 a as it would be when each NN register 35 is used as a destination. Each NN register 35 is used in a context pipelining method, as described below.
  • A local memory 42 is also used. The local memory 42 includes addressable storage located in the programming engine 16 a. The local memory 42 is read and written exclusively under program control. The local memory 42 also includes variables shared by all the programming engines 16. Shared variables are modified in various assigned tasks during functional pipeline stages by the programming engines 16 a-16 h, which are described next. The shared variables include a critical section, defining the read-modify-write times. The implementation and use of the critical section in the computing processing system 10 is also described below.
  • Functional Pipelining and Pipeline Stages
  • Referring to FIG. 3, the programming engine 16 a is shown in a functional pipeline unit 50. The functional pipeline unit 50 includes the programming engine 16 a and a data unit 52 that includes data, operated on by the programming engine, e.g., network packets 54. The programming engine 16 a is shown having a local register unit 56. The local register unit 56 stores information from the data packets 54.
  • In the functional pipeline unit 50, the contexts 58 of the programming engines 16 a, namely, Programming Engine 0.1 (PEO.1) through Programming Engine 0.n (PEO.n), remain with the programming engine 16 a while different functions are performed on the data packets 54 as time 66 progresses from time=0 to time=t. A programming execution time is divided into “m” functional pipeline stages or pipe-stages 60 a-60 m. Each pipeline stage of the pipeline stages 60 a-60 m performs different pipeline functions 62 a, 64, or 62 p on data in the pipeline.
  • The pipeline stage 60 a is, for example, a regular time interval within which a particular processing function, e.g., the function 62 a is applied to one of the data packets 54. A processing function 62 can last one or more pipelines stages 60. The function 64, for example, lasts two pipeline stages, namely pipeline stages 60 b and 60 c.
  • A single programming engine such as the programming engine 16 a can constitute a functional pipeline unit 50. In the functional pipeline unit 50, the functions 62 a, 64, and 62 p move through the functional pipeline unit 50 from one programming engine (e.g., programming engine 16 a), to another programming engine (e.g., programming engine 16 b), as will be described next.
  • Referring to FIG. 4, the data packets 54 are assigned to programming engine contexts 58 in order. Thus, if “n” threads or contexts 58 execute in the programming engine 16 a, the first context 58, “PEO.1” completes processing of the data packet 54 before the data packets 54 from the “PEO.n” context arrives. With this approach the programming engine 16 b can begin processing the “n+1” packet.
  • Dividing the execution time of the programming engine 16 a, for example, into functional pipeline stages 60 a-60 c results in more than one of the programming engines 16 executing an equivalent functional pipeline unit 70 in parallel. The functional pipeline stage 60 a is distributed across two programming engines 16 a and 16 b, with each of the programming engines 16 a and 16 b executing eight contexts each.
  • In operation, each of the data packets 54 remains with one of the contexts 58 for a longer period of time as more programming engines 16 are added to the functional pipeline units 50 and 70. In this example, the data packet 54 remains with a context sixteen data packet arrival times (8 contexts×2 programming engines) because context PE0.1 is not required to accept another data packet 58 until the other contexts 58 have received their data packets.
  • In this example, function 62 a of the functional pipeline stage 60 a can be passed from the programming engine 16 a to the programming engine 16 b. Passing of the function 62 a is accomplished by using Next Neighbor registers, as illustrated by dotted lines 80 a-80 c in FIG. 4.
  • The number of functional pipeline stages 60 a-60 m is equal to the number of the programming engines 16 a and 16 b in the functional pipeline units 50 and 70. This ensures that a particular pipeline stage executes in only one programming engine 16 at any one time.
  • Context Pipelining
  • Each of the programming engine 16 supports multi-threaded execution of eight contexts. One reason for this is to allow one thread to start executing just after another thread issues a memory reference and must wait until that reference completes before doing more work. This behavior is critical to maintaining efficient hardware execution of the programming engines 16 a-16 f because memory latency is significant. Stated differently, if only a single thread execution was supported, the programming engine would sit idle for a significant number of cycles waiting for references to complete and thereby reduce overall computational throughput. Multi-threaded execution allows a programming engine to hide memory latency by performing useful independent work across several threads.
  • The programming engines 16 a-16 h (FIG. 1) each have eight available contexts. To allow for efficient context swapping, each of the eight contexts in the programming engine has its own register set, program counter, and context specific local registers. Having a copy per context eliminates the need to move context specific information to/from shared memory and programming engine registers for each context swap. Fast context swapping allows a context to do computation while other contexts wait for I/O, typically external memory accesses, to complete or for a signal from another context or hardware unit.
  • Referring now to FIG. 5, the context for a specific assigned task is maintained on the programming engines 16 a-16 c using CAM 45 a-45 c. The packets are processed in a pipelined fashion similar to an assembly line using NN registers 35 a-35 c to pass data from one programming engine to a subsequent, adjacent programming engine. Data are passed from one stage 90 a to a subsequent stage 90 b and then from stage 90 b to stage 90 c of the pipeline, and so forth. In other words, data are passed to the next stage of the pipeline allowing the steps in the processor cycle to overlap. In particular, while one instruction is being executed, the next instruction can be fetched, which means that more than one instruction can be in the “pipe” at any one time, each at a different stage of being processed.
  • For example, data can be passed forward from one programming engine 16 to the next programming engine 16 in the pipeline using the NN registers 35 a-35 c, as illustrated by example in FIG. 5. This method of implementing pipelined processing has the advantage that the information included in CAM 45 a-45 c for each stage 90 a-c is consistently valid for all eight contexts of the pipeline stage. The context pipeline method may be utilized when minimal data from the packet being processed must advance through the context pipeline.
  • Referring to FIG. 6, as described above, context pipelining requires that the data resulting from a pipe stage, such as pipe stage P, be sent to the next pipe stage, e.g., pipe stage P+1 (100). Then, Next Neighbor registers can be written from the ALU output of the processing engine 16 a in pipe stage P (102), and the Next Neighbor registers can be read as a source operand by the next programming engine 16 b at the pipe stage P+1 (104).
  • Referring to FIG. 7, two processes may be used to determine the address of the Next Neighbor registers to be written in the programming engine 16 b. In one process, each context of the programming engine 16 a may write to the same Next Neighbor registers for the same context in programming engine 16 b (200). In another method, a write pointer register in the programming engine 16 a and a read pointer register in the programming engine 16 b may be used (300) to implement an inter processing engine FIFO (302). The values of write pointer register in the programming engine 16 a and the read pointer register in the programming engine 16 b are used to produce a full indication checked by the programming engine 16 before inserting data onto the FIFO (304), and an empty indication may be used the programming engine 16 b before removing data from the FIFO (306). The FIFO Next Neighbor configuration may provide the elasticity between contexts in the pipe stages P and P+1. When a context in the pipe stage P+1 finds the Next Neighbor FIFO is empty, that context can perform a No-op function, allowing the pipe stage to maintain a predetermined execution rate or “beat” even if the previous pipe stage may not be supplying an input at this same rate.
  • OTHER EMBODIMENTS
  • In the examples described above in conjunction with FIGS. 1-7, the computer processing system 10 may implement programming engines 16 using a variety of network processors.
  • It is to be understood that while the invention has been described in conjunction with the detailed description thereof, the foregoing description is intended to illustrate and not limit the scope of the invention, which is defined by the scope of the appended claims. Other aspects, advantages, and modifications are within the scope of the following claims.

Claims (21)

1-30. (canceled)
31. A processor comprising:
multiple processing units; and
a register set configured and arranged to transfer information between at least two of the processing units;
where the register set comprises multiple registers configured to be selected in a first-in-first-out order by a ring operation performed with respect to the multiple registers in the first-in-first-out order.
32. The processor of claim 31, further comprising:
a Put register to indicate a destination register in the multiple registers; and
a Get register to indicate a source register in the multiple registers.
33. The processor of claim 31, where the multiple processing units comprise programming engines arranged in a context pipeline configured to execute multiple threads, the register set comprises one of multiple register sets configured and arranged to transfer information between programming engines, and at least one of the multiple register sets comprises registers configured to be selected by a context-relative operation.
34. The processor of claim 33, where the at least one of the multiple register sets comprises the register set comprising the multiple registers configured to be selected in the first-in-first-out order by the ring operation.
35. The processor of claim 34, where the programming engines are configured to support a functional pipeline, and the multiple register sets are configured to support passing of a function in the functional pipeline between the programming engines.
36. The processor of claim 35, where the functional pipeline relates to network packet processing tasks, and the multiple threads process network packets.
37. The processor of claim 35, where the programming engines perform inter-thread signaling.
38. The processor of claim 34, where each programming engine comprises a content addressable memory (CAM) to maintain context information for the programming engine.
39. The processor of claim 31, where each of the multiple processing units comprises a control store to hold a microprogram for the processing unit to execute, and the processor further comprises a processor core to load the microprogram into the control store.
40. A method comprising:
performing operations using multiple processing units; and
transferring information between at least two of the processing units using a register set:
where the transferring comprises selecting registers of the register set in a first-in-first-out order by a ring operation performed with respect to the registers in the first-in-first-out order.
41. The method of claim 40, where the transferring comprises:
putting information into a destination register selected from the registers based on a value stored in a Put register; and
getting information from a source register selected from the registers based on a value stored in a Get register.
42. A system comprising:
a shared memory; and
a parallel, hardware-based multithreaded network processor comprising
a memory controller,
multiple processing units, and
a register set configured and arranged to transfer information between at least two of the processing units,
where the register set comprises multiple registers configured to be selected in a first-in-first-out order by a ring operation performed with respect to the multiple registers in the first-in-first-out order.
43. The system of claim 42, the processor further comprising:
a Put register to indicate a destination register in the multiple registers; and
a Get register to indicate a source register in the multiple registers.
44. The system of claim 42, where the multiple processing units comprise programming engines arranged in a context pipeline configured to execute multiple threads, the register set comprises one of multiple register sets configured and arranged to transfer information between programming engines, and at least one of the multiple register sets comprises registers configured to be selected by a context-relative operation.
45. The system of claim 44, where the at least one of the multiple register sets comprises the register set comprising the multiple registers configured to be selected in the first-in-first-out order by the ring operation.
46. The system of claim 45, where the programming engines are configured to support a functional pipeline, and the multiple register sets are configured to support passing of a function in the functional pipeline between the programming engines.
47. The system of claim 46, where the functional pipeline relates to network packet processing tasks, and the multiple threads process network packets.
48. The system of claim 46, where the programming engines perform inter-thread signaling.
49. The system of claim 45, where each programming engine comprises a content addressable memory (CAM) to maintain context information for the programming engine.
50. The system of claim 42, where each of the multiple processing units comprises a control store to hold a microprogram for the processing unit to execute, and the processor further comprises a processor core to load the microprogram into the control store.
US12/249,786 2002-04-03 2008-10-10 Registers for data transfers Abandoned US20090119671A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/249,786 US20090119671A1 (en) 2002-04-03 2008-10-10 Registers for data transfers

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/116,670 US7437724B2 (en) 2002-04-03 2002-04-03 Registers for data transfers
US12/249,786 US20090119671A1 (en) 2002-04-03 2008-10-10 Registers for data transfers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/116,670 Continuation US7437724B2 (en) 2002-04-03 2002-04-03 Registers for data transfers

Publications (1)

Publication Number Publication Date
US20090119671A1 true US20090119671A1 (en) 2009-05-07

Family

ID=28674046

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/116,670 Expired - Lifetime US7437724B2 (en) 2002-04-03 2002-04-03 Registers for data transfers
US12/249,786 Abandoned US20090119671A1 (en) 2002-04-03 2008-10-10 Registers for data transfers

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/116,670 Expired - Lifetime US7437724B2 (en) 2002-04-03 2002-04-03 Registers for data transfers

Country Status (10)

Country Link
US (2) US7437724B2 (en)
EP (1) EP1381939B1 (en)
KR (1) KR100633959B1 (en)
CN (1) CN100535850C (en)
AT (1) ATE416418T1 (en)
AU (1) AU2003223374A1 (en)
DE (1) DE60324992D1 (en)
HK (1) HK1061445A1 (en)
TW (1) TWI226576B (en)
WO (1) WO2003085517A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120151153A1 (en) * 2009-07-03 2012-06-14 Axel JANTSCH Programmable Controller

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001016702A1 (en) 1999-09-01 2001-03-08 Intel Corporation Register set used in multithreaded parallel processor architecture
US7681018B2 (en) 2000-08-31 2010-03-16 Intel Corporation Method and apparatus for providing large register address space while maximizing cycletime performance for a multi-threaded register file set
SE525183C2 (en) 2002-04-04 2004-12-21 Xelerated Ab Procedure and means for processing by pipelining of data packets
US7185153B2 (en) * 2003-12-18 2007-02-27 Intel Corporation Packet assembly
US7181568B2 (en) 2004-03-25 2007-02-20 Intel Corporation Content addressable memory to identify subtag matches
US7325099B2 (en) * 2004-10-27 2008-01-29 Intel Corporation Method and apparatus to enable DRAM to support low-latency access via vertical caching
US7395375B2 (en) * 2004-11-08 2008-07-01 International Business Machines Corporation Prefetch miss indicator for cache coherence directory misses on external caches
US7733857B2 (en) * 2004-12-17 2010-06-08 Samsung Electronics Co., Ltd. Apparatus and method for sharing variables and resources in a multiprocessor routing node
US20060212853A1 (en) * 2005-03-18 2006-09-21 Marvell World Trade Ltd. Real-time control apparatus having a multi-thread processor
US8195922B2 (en) * 2005-03-18 2012-06-05 Marvell World Trade, Ltd. System for dynamically allocating processing time to multiple threads
US20060236011A1 (en) * 2005-04-15 2006-10-19 Charles Narad Ring management
US20070157030A1 (en) * 2005-12-30 2007-07-05 Feghali Wajdi K Cryptographic system component
US20070245074A1 (en) * 2006-03-30 2007-10-18 Rosenbluth Mark B Ring with on-chip buffer for efficient message passing
US8447933B2 (en) 2007-03-06 2013-05-21 Nec Corporation Memory access control system, memory access control method, and program thereof
US8347312B2 (en) 2007-07-06 2013-01-01 Xmos Limited Thread communications
US7926013B2 (en) * 2007-12-31 2011-04-12 Intel Corporation Validating continuous signal phase matching in high-speed nets routed as differential pairs
KR101569093B1 (en) * 2013-08-13 2015-11-13 삼성에스디에스 주식회사 A method for processing data in distributed system
US10613989B2 (en) 2017-07-14 2020-04-07 Arm Limited Fast address translation for virtual machines
US10467159B2 (en) 2017-07-14 2019-11-05 Arm Limited Memory node controller
US10353826B2 (en) * 2017-07-14 2019-07-16 Arm Limited Method and apparatus for fast context cloning in a data processing system
US10565126B2 (en) 2017-07-14 2020-02-18 Arm Limited Method and apparatus for two-layer copy-on-write
US10489304B2 (en) 2017-07-14 2019-11-26 Arm Limited Memory address translation
US10534719B2 (en) 2017-07-14 2020-01-14 Arm Limited Memory system for a data processing network
US10592424B2 (en) 2017-07-14 2020-03-17 Arm Limited Range-based memory system
CN107885675B (en) * 2017-11-23 2019-12-27 中国电子科技集团公司第四十一研究所 Multifunctional measuring instrument program control command processing method
US10884850B2 (en) 2018-07-24 2021-01-05 Arm Limited Fault tolerant memory system

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4754398A (en) * 1985-06-28 1988-06-28 Cray Research, Inc. System for multiprocessor communication using local and common semaphore and information registers
US5357617A (en) * 1991-11-22 1994-10-18 International Business Machines Corporation Method and apparatus for substantially concurrent multiple instruction thread processing by a single pipeline processor
US5652758A (en) * 1993-03-11 1997-07-29 Unisys Corporation Data resynchronization
US5913059A (en) * 1996-08-30 1999-06-15 Nec Corporation Multi-processor system for inheriting contents of register from parent thread to child thread
US5996068A (en) * 1997-03-26 1999-11-30 Lucent Technologies Inc. Method and apparatus for renaming registers corresponding to multiple thread identifications
US6061711A (en) * 1996-08-19 2000-05-09 Samsung Electronics, Inc. Efficient context saving and restoring in a multi-tasking computing system environment
US7421572B1 (en) * 1999-09-01 2008-09-02 Intel Corporation Branch instruction for processor with branching dependent on a specified bit in a register
US7620702B1 (en) * 1999-12-28 2009-11-17 Intel Corporation Providing real-time control data for a network processor

Family Cites Families (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3373408A (en) 1965-04-16 1968-03-12 Rca Corp Computer capable of switching between programs without storage and retrieval of the contents of operation registers
US3478322A (en) 1967-05-23 1969-11-11 Ibm Data processor employing electronically changeable control storage
US3577189A (en) 1969-01-15 1971-05-04 Ibm Apparatus and method in a digital computer for allowing improved program branching with branch anticipation reduction of the number of branches, and reduction of branch delays
BE795789A (en) 1972-03-08 1973-06-18 Burroughs Corp MICROPROGRAM CONTAINING A MICRO-RECOVERY INSTRUCTION
US3881173A (en) 1973-05-14 1975-04-29 Amdahl Corp Condition code determination and data processing
IT986411B (en) 1973-06-05 1975-01-30 Olivetti E C Spa SYSTEM TO TRANSFER THE CONTROL OF PROCESSING FROM A FIRST PRIORITY LEVEL TO A SECOND PRIORITY LEVEL
FR2253415A5 (en) 1973-12-04 1975-06-27 Cii
US3913074A (en) 1973-12-18 1975-10-14 Honeywell Inf Systems Search processing apparatus
US4130890A (en) 1977-06-08 1978-12-19 Itt Industries, Inc. Integrated DDC memory with bitwise erase
US4392758A (en) 1978-05-22 1983-07-12 International Business Machines Corporation Underscore erase
JPS56164464A (en) 1980-05-21 1981-12-17 Tatsuo Nogi Parallel processing computer
US4400770A (en) 1980-11-10 1983-08-23 International Business Machines Corporation Cache synonym detection and handling means
CA1179069A (en) 1981-04-10 1984-12-04 Yasushi Fukunaga Data transmission apparatus for a multiprocessor system
US4471426A (en) 1981-07-02 1984-09-11 Texas Instruments Incorporated Microcomputer which fetches two sets of microcode bits at one time
US4454595A (en) 1981-12-23 1984-06-12 Pitney Bowes Inc. Buffer for use with a fixed disk controller
US4477872A (en) 1982-01-15 1984-10-16 International Business Machines Corporation Decode history table for conditional branch instructions
US4569016A (en) 1983-06-30 1986-02-04 International Business Machines Corporation Mechanism for implementing one machine cycle executable mask and rotate instructions in a primitive instruction set computing system
US4606025A (en) 1983-09-28 1986-08-12 International Business Machines Corp. Automatically testing a plurality of memory arrays on selected memory array testers
US4808988A (en) 1984-04-13 1989-02-28 Megatek Corporation Digital vector generator for a graphic display system
US4868735A (en) 1984-05-08 1989-09-19 Advanced Micro Devices, Inc. Interruptible structured microprogrammed sixteen-bit address sequence controller
US4742451A (en) 1984-05-21 1988-05-03 Digital Equipment Corporation Instruction prefetch system for conditional branch instruction for central processor unit
US5187800A (en) * 1985-01-04 1993-02-16 Sun Microsystems, Inc. Asynchronous pipelined data processing system
US5045995A (en) * 1985-06-24 1991-09-03 Vicom Systems, Inc. Selective operation of processing elements in a single instruction multiple data stream (SIMD) computer system
US4755966A (en) 1985-06-28 1988-07-05 Hewlett-Packard Company Bidirectional branch prediction and optimization
US4777587A (en) 1985-08-30 1988-10-11 Advanced Micro Devices, Inc. System for processing single-cycle branch instruction in a pipeline having relative, absolute, indirect and trap addresses
US4847755A (en) * 1985-10-31 1989-07-11 Mcc Development, Ltd. Parallel processing method and apparatus for increasing processing throughout by parallel processing low level instructions having natural concurrencies
US5021945A (en) 1985-10-31 1991-06-04 Mcc Development, Ltd. Parallel processor system for processing natural concurrencies and method therefor
US4745544A (en) 1985-12-12 1988-05-17 Texas Instruments Incorporated Master/slave sequencing processor with forced I/O
US4724521A (en) 1986-01-14 1988-02-09 Veri-Fone, Inc. Method for operating a local terminal to execute a downloaded application program
US5297260A (en) 1986-03-12 1994-03-22 Hitachi, Ltd. Processor having a plurality of CPUS with one CPU being normally connected to common bus
US5170484A (en) * 1986-09-18 1992-12-08 Digital Equipment Corporation Massively parallel array processing system
US4992934A (en) 1986-12-15 1991-02-12 United Technologies Corporation Reduced instruction set computing apparatus and methods
US5073864A (en) 1987-02-10 1991-12-17 Davin Computer Corporation Parallel string processor and method for a minicomputer
US5142683A (en) 1987-03-09 1992-08-25 Unisys Corporation Intercomputer communication control apparatus and method
US4866664A (en) 1987-03-09 1989-09-12 Unisys Corporation Intercomputer communication control apparatus & method
US4816913A (en) 1987-11-16 1989-03-28 Technology, Inc., 64 Pixel interpolation circuitry as for a video signal processor
US5189636A (en) 1987-11-16 1993-02-23 Intel Corporation Dual mode combining circuitry
US5220669A (en) 1988-02-10 1993-06-15 International Business Machines Corporation Linkage mechanism for program isolation
DE68913629T2 (en) 1988-03-14 1994-06-16 Unisys Corp BLOCK LOCKING PROCESSOR FOR MULTIPLE PROCESSING DATA SYSTEM.
US5056015A (en) 1988-03-23 1991-10-08 Du Pont Pixel Systems Limited Architectures for serial or parallel loading of writable control store
US5165025A (en) 1988-10-06 1992-11-17 Lass Stanley E Interlacing the paths after a conditional branch like instruction
US5202972A (en) 1988-12-29 1993-04-13 International Business Machines Corporation Store buffer apparatus in a multiprocessor system
US5155854A (en) 1989-02-03 1992-10-13 Digital Equipment Corporation System for arbitrating communication requests using multi-pass control unit based on availability of system resources
US5155831A (en) 1989-04-24 1992-10-13 International Business Machines Corporation Data processing system with fast queue store interposed between store-through caches and a main memory
US5113516A (en) 1989-07-31 1992-05-12 North American Philips Corporation Data repacker having controlled feedback shifters and registers for changing data format
US5168555A (en) 1989-09-06 1992-12-01 Unisys Corporation Initial program load control
US5263169A (en) 1989-11-03 1993-11-16 Zoran Corporation Bus arbitration and resource management for concurrent vector signal processor architecture
DE3942977A1 (en) 1989-12-23 1991-06-27 Standard Elektrik Lorenz Ag METHOD FOR RESTORING THE CORRECT SEQUENCE OF CELLS, ESPECIALLY IN AN ATM SWITCHING CENTER, AND OUTPUT UNIT THEREFOR
US5544337A (en) 1989-12-29 1996-08-06 Cray Research, Inc. Vector processor having registers for control by vector resisters
US5247671A (en) 1990-02-14 1993-09-21 International Business Machines Corporation Scalable schedules for serial communications controller in data processing systems
JPH0799812B2 (en) 1990-03-26 1995-10-25 株式会社グラフイックス・コミュニケーション・テクノロジーズ Signal coding apparatus, signal decoding apparatus, and signal coding / decoding apparatus
US5390329A (en) 1990-06-11 1995-02-14 Cray Research, Inc. Responding to service requests using minimal system-side context in a multiprocessor environment
US5432918A (en) 1990-06-29 1995-07-11 Digital Equipment Corporation Method and apparatus for ordering read and write operations using conflict bits in a write queue
US5404482A (en) 1990-06-29 1995-04-04 Digital Equipment Corporation Processor and method for preventing access to a locked memory block by recording a lock in a content addressable memory with outstanding cache fills
US5347648A (en) 1990-06-29 1994-09-13 Digital Equipment Corporation Ensuring write ordering under writeback cache error conditions
US5367678A (en) 1990-12-06 1994-11-22 The Regents Of The University Of California Multiprocessor system having statically determining resource allocation schedule at compile time and the using of static schedule with processor signals to control the execution time dynamically
US5394530A (en) 1991-03-15 1995-02-28 Nec Corporation Arrangement for predicting a branch target address in the second iteration of a short loop
EP0522513A2 (en) 1991-07-09 1993-01-13 Hughes Aircraft Company High speed parallel microcode program controller
US5255239A (en) 1991-08-13 1993-10-19 Cypress Semiconductor Corporation Bidirectional first-in-first-out memory device with transparent and user-testable capabilities
US5623489A (en) 1991-09-26 1997-04-22 Ipc Information Systems, Inc. Channel allocation system for distributed digital switching network
US5392412A (en) 1991-10-03 1995-02-21 Standard Microsystems Corporation Data communication controller for use with a single-port data packet buffer
US5392391A (en) 1991-10-18 1995-02-21 Lsi Logic Corporation High performance graphics applications controller
DE69231957T2 (en) 1991-10-21 2002-04-04 Toshiba Kawasaki Kk High speed processor capable of handling multiple interruptions
US5452437A (en) 1991-11-18 1995-09-19 Motorola, Inc. Methods of debugging multiprocessor system
US5442797A (en) 1991-12-04 1995-08-15 Casavant; Thomas L. Latency tolerant risc-based multiple processor with event driven locality managers resulting from variable tagging
JP2823767B2 (en) 1992-02-03 1998-11-11 松下電器産業株式会社 Register file
KR100309566B1 (en) 1992-04-29 2001-12-15 리패치 Method and apparatus for grouping multiple instructions, issuing grouped instructions concurrently, and executing grouped instructions in a pipeline processor
US5459842A (en) 1992-06-26 1995-10-17 International Business Machines Corporation System for combining data from multiple CPU write requests via buffers and using read-modify-write operation to write the combined data to the memory
DE4223600C2 (en) 1992-07-17 1994-10-13 Ibm Multiprocessor computer system and method for transmitting control information and data information between at least two processor units of a computer system
US5274770A (en) 1992-07-29 1993-12-28 Tritech Microelectronics International Pte Ltd. Flexible register-based I/O microcontroller with single cycle instruction execution
US5442756A (en) 1992-07-31 1995-08-15 Intel Corporation Branch prediction and resolution apparatus for a superscalar computer processor
US5481683A (en) 1992-10-30 1996-01-02 International Business Machines Corporation Super scalar computer architecture using remand and recycled general purpose register to manage out-of-order execution of instructions
US5463746A (en) 1992-10-30 1995-10-31 International Business Machines Corp. Data processing system having prediction by using an embedded guess bit of remapped and compressed opcodes
US5428779A (en) 1992-11-09 1995-06-27 Seiko Epson Corporation System and method for supporting context switching within a multiprocessor system having functional blocks that generate state programs with coded register load instructions
JPH06161873A (en) * 1992-11-27 1994-06-10 Fujitsu Ltd Hang-up processings system for plural access points to main memory
US5450603A (en) 1992-12-18 1995-09-12 Xerox Corporation SIMD architecture with transfer register or value source circuitry connected to bus
KR100313261B1 (en) 1992-12-23 2002-02-28 앙드래베이너,조엘브르리아드 Low Power Multi-task Controller (Name Correction)
US5404464A (en) 1993-02-11 1995-04-04 Ast Research, Inc. Bus control system and method that selectively generate an early address strobe
US5448702A (en) 1993-03-02 1995-09-05 International Business Machines Corporation Adapters with descriptor queue management capability
US5522069A (en) 1993-04-30 1996-05-28 Zenith Data Systems Corporation Symmetric multiprocessing system with unified environment and distributed system functions
WO1994027216A1 (en) 1993-05-14 1994-11-24 Massachusetts Institute Of Technology Multiprocessor coupling system with integrated compile and run time scheduling for parallelism
CA2122182A1 (en) 1993-05-20 1994-11-21 Rene Leblanc Method for rapid prototyping of programming problems
JP3203401B2 (en) 1993-05-21 2001-08-27 三菱電機株式会社 Data processing device
US5363448A (en) 1993-06-30 1994-11-08 United Technologies Automotive, Inc. Pseudorandom number generation and cryptographic authentication
CA2107299C (en) 1993-09-29 1997-02-25 Mehrad Yasrebi High performance machine for switched communications in a heterogenous data processing network gateway
US5446736A (en) 1993-10-07 1995-08-29 Ast Research, Inc. Method and apparatus for connecting a node to a wireless network using a standard protocol
DE69415126T2 (en) * 1993-10-21 1999-07-08 Sun Microsystems Inc Counterflow pipeline processor
EP0650117B1 (en) * 1993-10-21 2002-04-10 Sun Microsystems, Inc. Counterflow pipeline
US5450351A (en) 1993-11-19 1995-09-12 International Business Machines Corporation Content addressable memory implementation with random access memory
US5848276A (en) * 1993-12-06 1998-12-08 Cpu Technology, Inc. High speed, direct register access operation for parallel processing units
US5487159A (en) 1993-12-23 1996-01-23 Unisys Corporation System for processing shift, mask, and merge operations in one instruction
US5490204A (en) 1994-03-01 1996-02-06 Safco Corporation Automated quality assessment system for cellular networks
US5659722A (en) 1994-04-28 1997-08-19 International Business Machines Corporation Multiple condition code branching system in a multi-processor environment
US5542088A (en) 1994-04-29 1996-07-30 Intergraph Corporation Method and apparatus for enabling control of task execution
US5544236A (en) 1994-06-10 1996-08-06 At&T Corp. Access to unsubscribed features
US5574922A (en) 1994-06-17 1996-11-12 Apple Computer, Inc. Processor with sequences of processor instructions for locked memory updates
FR2722041B1 (en) 1994-06-30 1998-01-02 Samsung Electronics Co Ltd HUFFMAN DECODER
US5860085A (en) * 1994-08-01 1999-01-12 Cypress Semiconductor Corporation Instruction set for a content addressable memory array with read/write circuits and an interface register logic block
US5640538A (en) 1994-08-22 1997-06-17 Adaptec, Inc. Programmable timing mark sequencer for a disk drive
US5610864A (en) 1994-12-23 1997-03-11 Micron Technology, Inc. Burst EDO memory device with maximized write cycle timing
US5550816A (en) 1994-12-29 1996-08-27 Storage Technology Corporation Method and apparatus for virtual switching
US5649157A (en) 1995-03-30 1997-07-15 Hewlett-Packard Co. Memory controller with priority queues
US5704054A (en) * 1995-05-09 1997-12-30 Yale University Counterflow pipeline processor architecture for semi-custom application specific IC's
US5592622A (en) 1995-05-10 1997-01-07 3Com Corporation Network intermediate system with message passing architecture
GB9509988D0 (en) * 1995-05-17 1995-07-12 Sgs Thomson Microelectronics Matrix transposition
GB9509989D0 (en) * 1995-05-17 1995-07-12 Sgs Thomson Microelectronics Manipulation of data
GB9509983D0 (en) * 1995-05-17 1995-07-12 Sgs Thomson Microelectronics Replication of data
GB9509987D0 (en) * 1995-05-17 1995-07-12 Sgs Thomson Microelectronics Manipulation of data
US5541920A (en) 1995-06-15 1996-07-30 Bay Networks, Inc. Method and apparatus for a delayed replace mechanism for a streaming packet modification engine
KR0180169B1 (en) 1995-06-30 1999-05-01 배순훈 A variable length coder
GB9513515D0 (en) * 1995-07-03 1995-09-06 Sgs Thomson Microelectronics Expansion of data
US5613071A (en) 1995-07-14 1997-03-18 Intel Corporation Method and apparatus for providing remote memory access in a distributed memory multiprocessor system
GB9514695D0 (en) * 1995-07-18 1995-09-13 Sgs Thomson Microelectronics Combining data values
GB9514684D0 (en) * 1995-07-18 1995-09-13 Sgs Thomson Microelectronics An arithmetic unit
KR0150072B1 (en) 1995-11-30 1998-10-15 양승택 Device for controlling memory data path in parallel processing computer system
US5787454A (en) * 1995-12-27 1998-07-28 Intel Corporation Recorder buffer with interleaving mechanism for accessing a multi-parted circular memory array
US5937177A (en) * 1996-10-01 1999-08-10 Sun Microsystems, Inc. Control structure for a high-speed asynchronous pipeline
US5943491A (en) * 1997-10-20 1999-08-24 Sun Microsystems, Inc. Control circuit of mutual exclusion elements
US6052769A (en) * 1998-03-31 2000-04-18 Intel Corporation Method and apparatus for moving select non-contiguous bytes of packed data in a single instruction
US6836838B1 (en) * 1998-06-29 2004-12-28 Cisco Technology, Inc. Architecture for a processor complex of an arrayed pipelined processing engine
US6195739B1 (en) * 1998-06-29 2001-02-27 Cisco Technology, Inc. Method and apparatus for passing data among processor complex stages of a pipelined processing engine
US6101599A (en) * 1998-06-29 2000-08-08 Cisco Technology, Inc. System for context switching between processing elements in a pipeline of processing elements
US6230230B1 (en) * 1998-12-03 2001-05-08 Sun Microsystems, Inc. Elimination of traps and atomics in thread synchronization
US6718457B2 (en) * 1998-12-03 2004-04-06 Sun Microsystems, Inc. Multiple-thread processor for threaded software applications
US6574702B2 (en) * 1999-02-23 2003-06-03 Netlogic Microsystems, Inc. Method and apparatus for determining an exact match in a content addressable memory device
US6304956B1 (en) * 1999-03-25 2001-10-16 Rise Technology Company Using two barrel shifters to implement shift, rotate, rotate with carry, and shift double as specified by the X86 architecture
US6668317B1 (en) * 1999-08-31 2003-12-23 Intel Corporation Microengine for parallel processor architecture
US6606704B1 (en) * 1999-08-31 2003-08-12 Intel Corporation Parallel multithreaded processor with plural microengines executing multiple threads each microengine having loadable microcode
US7191309B1 (en) * 1999-09-01 2007-03-13 Intel Corporation Double shift instruction for micro engine used in multithreaded parallel processor architecture
US6681341B1 (en) * 1999-11-03 2004-01-20 Cisco Technology, Inc. Processor isolation method for integrated multi-processor systems
US7681018B2 (en) * 2000-08-31 2010-03-16 Intel Corporation Method and apparatus for providing large register address space while maximizing cycletime performance for a multi-threaded register file set
US6560671B1 (en) * 2000-09-11 2003-05-06 Intel Corporation Method and apparatus for accelerating exchange or swap instructions using a register alias table (RAT) and content addressable memory (CAM) with logical register numbers as input addresses
US6784889B1 (en) * 2000-12-13 2004-08-31 Micron Technology, Inc. Memory system and method for improved utilization of read and write bandwidth of a graphics processing system
US6862676B1 (en) * 2001-01-16 2005-03-01 Sun Microsystems, Inc. Superscalar processor having content addressable memory structures for determining dependencies
US6934951B2 (en) * 2002-01-17 2005-08-23 Intel Corporation Parallel processor with functional pipeline providing programming engines by supporting multiple contexts and critical section
US7181594B2 (en) * 2002-01-25 2007-02-20 Intel Corporation Context pipelines
US6971103B2 (en) * 2002-10-15 2005-11-29 Sandbridge Technologies, Inc. Inter-thread communications using shared interrupt register

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4754398A (en) * 1985-06-28 1988-06-28 Cray Research, Inc. System for multiprocessor communication using local and common semaphore and information registers
US5357617A (en) * 1991-11-22 1994-10-18 International Business Machines Corporation Method and apparatus for substantially concurrent multiple instruction thread processing by a single pipeline processor
US5652758A (en) * 1993-03-11 1997-07-29 Unisys Corporation Data resynchronization
US6061711A (en) * 1996-08-19 2000-05-09 Samsung Electronics, Inc. Efficient context saving and restoring in a multi-tasking computing system environment
US5913059A (en) * 1996-08-30 1999-06-15 Nec Corporation Multi-processor system for inheriting contents of register from parent thread to child thread
US5996068A (en) * 1997-03-26 1999-11-30 Lucent Technologies Inc. Method and apparatus for renaming registers corresponding to multiple thread identifications
US7421572B1 (en) * 1999-09-01 2008-09-02 Intel Corporation Branch instruction for processor with branching dependent on a specified bit in a register
US7620702B1 (en) * 1999-12-28 2009-11-17 Intel Corporation Providing real-time control data for a network processor

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120151153A1 (en) * 2009-07-03 2012-06-14 Axel JANTSCH Programmable Controller

Also Published As

Publication number Publication date
KR100633959B1 (en) 2006-10-13
AU2003223374A1 (en) 2003-10-20
CN1659514A (en) 2005-08-24
CN100535850C (en) 2009-09-02
EP1381939A1 (en) 2004-01-21
EP1381939B1 (en) 2008-12-03
DE60324992D1 (en) 2009-01-15
WO2003085517A1 (en) 2003-10-16
KR20040017252A (en) 2004-02-26
US20030191866A1 (en) 2003-10-09
US7437724B2 (en) 2008-10-14
ATE416418T1 (en) 2008-12-15
HK1061445A1 (en) 2004-09-17
TW200402657A (en) 2004-02-16
TWI226576B (en) 2005-01-11

Similar Documents

Publication Publication Date Title
US20090119671A1 (en) Registers for data transfers
US7302549B2 (en) Processing packet sequence using same function set pipelined multiple threads spanning over multiple processing engines and having exclusive data access
US7181594B2 (en) Context pipelines
US6671827B2 (en) Journaling for parallel hardware threads in multithreaded processor
EP1236088B1 (en) Register set used in multithreaded parallel processor architecture
US6944850B2 (en) Hop method for stepping parallel hardware threads
US20040205747A1 (en) Breakpoint for parallel hardware threads in multithreaded processor
EP1582980A1 (en) Context switching method, device, program, recording medium, and central processing unit
WO2001016702A1 (en) Register set used in multithreaded parallel processor architecture
US7376950B2 (en) Signal aggregation
US7191309B1 (en) Double shift instruction for micro engine used in multithreaded parallel processor architecture
JPH10207720A (en) Information processor
WO2001016697A2 (en) Local register instruction for micro engine used in multithreadedparallel processor architecture

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTEL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:WOLRICH, GILBERT;ROSENBLUTH, MARK B.;BERNSTEIN, DEBRA;AND OTHERS;REEL/FRAME:021703/0190;SIGNING DATES FROM 20020422 TO 20071001

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: TAHOE RESEARCH, LTD., IRELAND

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTEL CORPORATION;REEL/FRAME:061175/0176

Effective date: 20220718