US20090191030A1 - Apparatus and methods for transporting and processing substrates - Google Patents

Apparatus and methods for transporting and processing substrates Download PDF

Info

Publication number
US20090191030A1
US20090191030A1 US12/368,241 US36824109A US2009191030A1 US 20090191030 A1 US20090191030 A1 US 20090191030A1 US 36824109 A US36824109 A US 36824109A US 2009191030 A1 US2009191030 A1 US 2009191030A1
Authority
US
United States
Prior art keywords
chamber
substrate processing
processing system
accordance
linear
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US12/368,241
Other versions
US9524896B2 (en
Inventor
Terry Bluck
Kevin P. Fairbairn
Michael S. Barnes
Christopher T. Lane
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Brooks Automation US LLC
Brooks Automation Holding LLC
Original Assignee
Intevac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/523,101 external-priority patent/US7901539B2/en
Priority claimed from US12/325,993 external-priority patent/US8293066B2/en
Application filed by Intevac Inc filed Critical Intevac Inc
Priority to US12/368,241 priority Critical patent/US9524896B2/en
Assigned to INTEVAC, INC. reassignment INTEVAC, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FAIRBAIRN, KEVIN P., BARNES, MICHAEL S., BLUCK, TERRY, LANE, CHRISTOPHER T.
Publication of US20090191030A1 publication Critical patent/US20090191030A1/en
Priority to US12/875,414 priority patent/US8419341B2/en
Priority to US13/286,186 priority patent/US9691649B2/en
Assigned to BROOKS AUTOMATION, INC. reassignment BROOKS AUTOMATION, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTEVAC, INC.
Priority to US13/861,693 priority patent/US10204810B2/en
Assigned to WELLS FARGO BANK, NATIONAL ASSOCIATION reassignment WELLS FARGO BANK, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: BIOSTORAGE TECHNOLOGIES, BROOKS AUTOMATION, INC.
Publication of US9524896B2 publication Critical patent/US9524896B2/en
Application granted granted Critical
Assigned to MORGAN STANLEY SENIOR FUNDING, INC. reassignment MORGAN STANLEY SENIOR FUNDING, INC. SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BIOSTORAGE TECHNOLOGIES, INC., BROOKS AUTOMATION, INC.
Assigned to BROOKS AUTOMATION US, LLC reassignment BROOKS AUTOMATION US, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BROOKS AUTOMATION HOLDING, LLC
Assigned to BROOKS AUTOMATION HOLDING, LLC reassignment BROOKS AUTOMATION HOLDING, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BROOKS AUTOMATION,INC
Assigned to GOLDMAN SACHS BANK USA reassignment GOLDMAN SACHS BANK USA SECOND LIEN PATENT SECURITY AGREEMENT Assignors: BROOKS AUTOMATION US, LLC
Assigned to BARCLAYS BANK PLC reassignment BARCLAYS BANK PLC FIRST LIEN PATENT SECURITY AGREEMENT Assignors: BROOKS AUTOMATION US, LLC
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67709Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using magnetic elements

Definitions

  • This invention has to do with novel apparatus and methods for transporting and processing substrates in general and wafers in particular.
  • a common tool In the manufacture of semiconductors, a common tool, referred to as a cluster tool, is one of the key units used in the manufacture of wafers.
  • a typical commercial device has a generally circular central area with chambers attached along the circumference. The chambers extend outward around the central area.
  • wafers When wafers are processed they are moved first from an input output station on the circumference of the central chamber into the central chamber and then from the central chamber into an attached or circumferential chamber where processing is performed.
  • the practice is to process wafers one at a time. A wafer may be moved into a chamber for processing and then back to the central chamber. This can be followed by a further move to another circumferential chamber and then following processing, back to the central chamber.
  • Another tool indexes wafers along a central axis and feeds wafers through surrounding processing chambers. In this tool, all wafers are fed simultaneously to the next processing stop. Wafers cannot move independently although they can be processed independently. They all remain at a process station for the same amount of time but the processes at each station can be independently controlled subject of course to the maximum time permitted by the time permitted for the stop. Although the first described tool could be made to operate in this way, in fact however, it may move wafers so that they do not progress to the adjacent processing chamber in sequence and all are not required to have the same dwell time at a processing chamber.
  • the central area When either of these systems is operating the central area is generally at vacuum but it may also be at some other preselected or predetermined and controlled environment.
  • This central section for example can have presented a gas that is useful to the processes being preformed in the process chambers.
  • the chambers or compartments along the outer surface of the central zone are generally at a vacuum too but may also have a pre-selected and controlled gaseous environment.
  • Processing is also generally performed in a vacuum by moving wafers while in vacuum from the central chamber to an attached chamber or compartment. Generally once the wafer reaches a chamber or compartment for processing, the chamber or compartment is sealed off from the central chamber.
  • This also permits the processing chamber to be set at a vacuum level different than that used in the central chamber for the particular processing to be carried out in the chamber. For example, if the processing technology of a chamber requires more of a vacuum, then with a seal in place between the central zone and the chamber, the chamber itself can be further pumped down to match the process requirements for the particular processes to be performed within that chamber. Alternatively, if less of a vacuum is required, the pressure may be increased without affecting the pressure of the central chamber.
  • the wafer After processing of the wafer is completed, the wafer is moved back to the central chamber and then out of the system. In this way the wafer may progress through this tool sequentially through the chambers and all of the available processes. Alternatively the wafer may proceed through only selected chambers and be exposed to only selected processes.
  • This system has a smaller footprint than is typical in this field since the footprint approximates the footprint of the processing chambers only and does not include a large central zone. This is an advantage of this type equipment.
  • This system is described in a pending published patent application, Publication No. 2006/0102078 A1.
  • This particular system has a uniform dwell time at each process station. This allows for some differences in processing limited of course by the length of the longest dwell period. If one requires independently controlled dwell times at the various stations, another approach may be preferred. Also this type of equipment has the disadvantage that if one station is down for repair or maintenance, then the whole system is itself unavailable for processing.
  • This invention is directed to a novel wafer processing unit intended to permit separately controlled dwell times at processing stations while maintaining a small footprint. It also allows ongoing operations even if one or more of the stations is down for one reason or another. In part this is in recognition that the cost of manufacturing semiconductors is extremely high and costs are increasing. The higher the costs the greater the risks in undertaking investments in this field.
  • An objective is to define equipment that lowers costs by a reasonable percentage and provides improved systems and services in accordance with “Lean” principles of manufacture. Thus an objective is to maximize processing chambers while maintaining a small footprint. Another objective is to maximize process station utilization. Another objective is to simplify robotics and the service of this equipment. The system will also offer considerable redundancy, including up to 100% availability of the system for processing even during mainframe servicing.
  • processing chambers will be set up in a linear arrangement. This assures the smallest footprint for a system that permits individual programs for wafers at the various processing stations.
  • the processing chambers generally may have the capability of performing any of the various processes one uses in connection with processing wafers.
  • the wafer would normally be carried through one or more etching steps, one or more sputtering or physical vapor deposition processes, ion implantation, chemical vapor deposition (CVD) and heating and/or cooling processes, among others.
  • the number of processing steps to make a wafer could mean that multiple tools or tools with large subsystems would have been required if using prior art devices to perform these various processes.
  • the instant system offers the further advantage that additional functional stations can be added without a significant increase in size or without the need to add new total systems.
  • transport of wafers is structured to be independent of chamber design.
  • the chambers are designed to perform as a chamber with certain processing capabilities and the transport system is structured to operate independently of chamber design and is structured to feed wafers to and from processing chambers.
  • Transport in the disclosed preferred embodiment is dependent on a simple linkage arm based on linear and rotary motion coupled through a vacuum wall.
  • the chamber design is based on modularity.
  • the system may have 3 chambers or a matching structure can be utilized and the system can have 6 chambers. Alliteratively this last sentence can be repeated with 4 and 8 chambers as well as with other multiples, or modules may be matched that have a different number of processing stations.
  • the system is expandable and in addition it is expandable independently of technology that might be applied as future processes or applications.
  • a linear wafer transport is used. This results in high throughput in a system of small footprint that is not over demanding of space in clean rooms.
  • different process steps can be structured into the same treatment platform.
  • a substrate processing system comprising an elongated substrate transfer chamber having an evacuated section and an atmospheric section; a first linear track affixed to the transfer chamber within the evacuated section; a second linear track affixed to the transfer chamber at the atmospheric section; a first base linearly riding on the first linear track; a second base linearly riding on the second linear track; a speed reducer mounted onto the first base and having a magnetically-coupled follower as its input and providing a lower rotational speed at its output; a rotary motor mounted onto the second base and rotating a magnetic driver, the magnetic driver imparting a rotational motion to the magnetically-coupled follower across a vacuum partition; and, a robot arm coupled to the output of the speed reducer.
  • a linear motor may be affixed to the second base to impart linear motion, and magnetized wheels may be coupled to the second base.
  • a linear motion encoder may be coupled to the second base and a rotary encoder may be coupled to the rotary motor.
  • an arm extension may be coupled to one of the robot arm so as to enable the axis of rotation of the robot arms to coincide.
  • a method for transferring wafers from a loadlock to a processing chamber via an evacuated transfer chamber comprising: providing a robot arm within the transfer chamber; magnetically coupling linear motion to the robot arm across a vacuum partition; magnetically coupling rotational motion to the robot arm across a vacuum partition; and reducing the speed of the rotational motion within the evacuated transfer chamber.
  • the method may further comprise the steps of: determining a first center point defined as the center of a wafer as it is located in the loadlock; determining a second center point defined as the center of a wafer as it is located in the processing chamber; determining location of a pivotal point of the robot arm; and, calculating a combination linear and rotational motion of the robot arm such that the wafer positioned on the robot arm moves in only straight lines between the loadlock and the processing chamber
  • FIG. 1 is a schematic illustration of a prior art cluster tool intended for PVD applications.
  • FIG. 2 is a schematic illustration of the system described in the aforementioned patent publication (2006/0102078 A1) and is in the nature of a prior art system.
  • FIG. 3 is a schematic illustration of a processing system in accordance with this invention.
  • FIG. 4 is a top schematic view for purposes of more clearly illustrating the transfer chamber. In this Figure this has been done in a three process station structure but this number of stations has been used only for illustrative purposes.
  • FIG. 5 is a schematic view of a segment of the system from the load lock and into the transport or transfer chamber.
  • FIG. 6 is a schematic illustration of the wafer moving mechanism shown outside the encasement for the system.
  • FIG. 7 is a schematic illustration of the track and drive system employed in the preferred embodiment.
  • FIG. 7A illustrate an example of a linear motion assembly.
  • FIG. 7B is a sectional view about line A-A of FIG. 4 , illustrating another embodiment of the linear motion assembly.
  • FIG. 7C is a sectional view illustrating an example of a linear track in atmosphere and linear track in vacuum.
  • FIG. 7D illustrates another example of a linear track in atmosphere and linear track in vacuum.
  • FIG. 8 is a schematic illustration of a 4 -station physical vapor deposition (PVD) or sputtering system in accordance with this invention.
  • PVD physical vapor deposition
  • FIG. 9 is a schematic illustration of an 8-station system in accordance with this invention.
  • FIG. 10 is a schematic illustration of a 6 -chamber system in accordance with this invention.
  • FIGS. 11A and 11B are schematic illustrations of two different embodiments of this invention.
  • FIG. 12 illustrates an example of the innovative mainframe system as applied to tandem-type process chambers.
  • FIG. 13 illustrates yet another example of the innovative mainframe having combinations of different processing chambers.
  • FIG. 14 illustrates another example, where different types of processing chambers are attached to the linear transport chamber.
  • FIG. 15 illustrates another example, wherein the innovative mainframe is utilized for high throughput processing of substrates.
  • FIG. 16 illustrates an embodiment wherein two linear transport systems are vertically stacked one above the other.
  • FIG. 17 illustrates an example of the innovative mainframe system wherein induced current is used to provide motive power to the robot arms.
  • FIG. 1 there is illustrated a cluster tool of the type commonly in use today.
  • this comprises processing chambers 21 radially disposed around and attached to central chambers 22 .
  • central chambers 22 there are two central chambers.
  • Other systems may have only a single central chamber.
  • a system with more than two can exist except that it is cumbersome and instead users will generally acquire another system.
  • a robot is typically located within each central chamber 22 .
  • the robot receives wafers into the system and carries wafers from the central chamber to processing chambers and after processing back to the central chamber.
  • a central robot can access only a single wafer and single chamber at one time. Thus the robot can become engaged or busy during processing in connection while a wafer is in a single chamber.
  • the processing chambers may comprise any form of processor and may comprise for example a chamber for physical vapor deposition, a chamber for chemical vapor deposition (CVD) or for etch or for other processes that may be performed on a wafer during its manufacture.
  • CVD chemical vapor deposition
  • This type tool permits processing for different periods of time since the transfer by the robotic arm into the chamber and its removal from the chamber when the wafer is processed is independent of other factors and is computer controlled. Obviously processing can be set for the same time and for a defined sequence.
  • FIG. 2 there is illustrated a tool for processing wafers in which the dwell time of the wafer within a chamber is the same for each chamber.
  • the processors 23 are lined up linearly and in this instance chambers are positioned adjacent to each other and also on top of one another.
  • an elevator 25 that moves the wafer being processed from one level to the other.
  • a wafer enters and is positioned on a support where it remains as it moves through the system.
  • the support raises the wafer to the upper level of processors and the wafer then moves in sequence one after the other through the process chambers 23 at that level.
  • the elevator 25 changes the level of the wafer and it then moves along the other level, again from one process chamber through it and then through the next chamber and so on, and then out of the system.
  • processing chambers 31 are located linearly along transport chamber 32 .
  • Wafers enter system 34 via EFEM (Equipment Front End Module) 33 or some equivalent feeding device.
  • EFEM 33 comprises stations 30 upon which FOUP (from front opening unified pod) may be situated.
  • the FOUPs (not shown) comprise a housing or enclosure where wafers are housed and kept clean while waiting to enter the processing operations.
  • Associated with the EFEM 33 may also be a feeding mechanism to place wafers into the system for processing and to remove wafers from the system to be temporarily stored after processing.
  • a FOUP of wafers is placed onto the EFEM where wafers are then transferred one by one from the FOUP by a blade that lifts the wafer from the FOUP within EFEM 33 and carries the wafer into load lock compartment 35 thus entering the system. From load lock compartment 35 wafers travel along transport chamber 32 from which they transfer into processing chambers 31 . After a substrate enters a processing chamber, the substrate leaves the support arm and rests instead on a substrate support within the chamber. At this point a valve is closed to separate the atmosphere of the processing chamber from the atmosphere of the transport chamber. This permits changes to be made within the processing chamber without contaminating the transport chamber or other processing chambers.
  • the valve separating the processing chamber from the transport chamber opens and the wafer is removed from the processing chamber and transferred along transport chamber 32 to another processing chamber for additional processing or to the load lock from which the wafer is returned to FOUP on EFEM 33 .
  • processing chambers 31 There is also shown 4 process power supplies 37 and a power distribution unit 36 . These in combination provide the electronics for the system and the power to each individual process chamber.
  • process gas cabinets 38 and information processing cabinets 40 Above the process chambers 31 are process gas cabinets 38 and information processing cabinets 40 . It is through these units that information keyed into the system control movements of the substrates along transport chamber 32 and whether or not the substrate is transferred into a processing chamber for further processing. These units also provide records of what has occurred within the processing chambers.
  • Gases are provided for use within the chambers during processing.
  • the robotic handling mechanism to feed wafers into the system and through the processing stations in the system is described as a two arm system, in fact more than two arms may be present and each can be set to move independently or together within the transport travel chamber.
  • the processing chambers in a system may perform different processes as desired in the manufacture of wafers.
  • Many manufacturers today buy dedicated systems in which the entire system is given over to sputter or etch processes. In essence there are sufficient sputter steps or etch steps in the manufacture of a wafer that a four or more stage system can be entirely devoted to sputtering operations.
  • a wafer can be carried through a series of operations, each different yet each required in leading to a final process. For example, in a five process station, one could reasonably expect the following sequence in use.
  • the wafer will be subjected to a degas operation; the second station could be a precleaning station; the third a sputtering station to deposit titanium for example; the fourth a sputter station to deposit nickel vanadium for example; and, at the fifth station the sputter deposition of gold could occur.
  • FIG. 4 there is illustrated a three station system with top covers removed.
  • An objective in connection with this Figure is to provide more of an understanding of the transport chamber 32 .
  • a wafer to be processed enters this system at load lock 35 .
  • Load lock 35 is a dual level load lock and can hold and process two wafers simultaneously. One is on a lower lever and the other on an upper level.
  • At the load lock wafers entering the system enter into the vacuum or controlled environment. Also wafers that have been processed pass through load lock 35 during their travels leaving this system and the vacuum or other controlled conditions within the system and return into the FOUP (not shown in this Figure). Once a wafer completes its transition from non-vacuum conditions to vacuum conditions, the wafer is lifted onto an arm 41 which moves into transport chamber 32 .
  • One such arm is visible while the other is partially covered by elements in the first processing chamber at the left.
  • the visible arm is shown delivering a wafer into this processing chamber 31 (or alternatively removing a wafer that has been processed from this chamber).
  • Arms 41 move along within the transport chamber on a linear rail 43 .
  • the rails within the transport chamber 32 hold the support arms 41 above the floor of chamber 32 .
  • the driving mechanism acts from outside the vacuum through the walls of the enclosure of chamber 32 . It provides a generally linear movement to arms 41 as well as a rotary movement when it is desired to extend an arm into a chamber or into load lock 35 .
  • the arms are used to move a wafer into or out of the transport chamber 32 , into or out of a processing chamber 31 or into and out of load lock chamber 35 .
  • the arms are used to move a wafer into or out of the transport chamber 32 , into or out of a processing chamber 31 or into and out of load lock chamber 35 .
  • By avoiding contact with the base of this chamber less particles are produced as to maintain the environment in a purer or particle free condition. Additional details of this transport system will be shown and discussed in connection with figures that follow. Also although two arms are illustrated in this figure, it should be readily apparent that a system can have more or less than two arms on a rail and can handle more than two wafer transport devices at any one time.
  • the support arms 41 are operated using a combination of rotary and linear motion in a manner such that the wafer is moved in straight lines only. That is, as shown in FIG. 4 , arm 41 is moved using a combination of linear motion, exemplified by double-head arrow A, and a rotary motion, exemplified by double-head arrow B.
  • the motion is programmed so that the center of the wafer follows straight lines motion, as shown by broken-lines BLl, BLm and BL. This enables making every opening of chambers 31 and load lock 35 only slightly larger than the diameter of the chamber.
  • This also enables attaching any type and any combination of chambers onto transport chamber 32 , as the combined linear-arcuate motion of the arms 41 is actuated by a controller that can be programmed, e.g., via user interface UI ( FIG. 3 ) to any situation.
  • a controller that can be programmed, e.g., via user interface UI ( FIG. 3 ) to any situation.
  • the following process is implemented to calculate the combined linear-arcuate arms' motion executed by the controller.
  • the location of the center of a wafer as it is located in the loadlock is determined.
  • the center of a wafer as it is located inside each of the attached processing chambers is determined.
  • the pivotal point of each arm is determined (note that as discussed below, in some embodiments the pivotal points of both arms may be made to coincide).
  • the order of transport is determined, i.e., whether each wafer needs to move between the loadlock and only one or more chambers. These values can be programmed into the controller using the UI.
  • the linear and rotational motion of each arm is calculated such that a wafer positioned on each arm would move in only straight lines between the determined pivotal point and the center determined for the loadlock and the chambers.
  • one of the support arms 41 is coupled to an arm extension 41 ′, while the other arm 41 is coupled directly to the internal drive and support mechanism 45 (see also FIGS. 5 and 6 ).
  • the arm extension 41 ′ is fixed, i.e., it only follows the linear motion of the drive and support mechanism 45 , but it cannot be rotated. Rather, rotational motion is only imparted to the arm 41 affixed to the end of the arm extension 41 ′.
  • the arm extension 41 ′ is affixed such that the center of rotation or pivotal point of both arms 41 may be made to coincide, i.e., as shown the straight broken line BLm passes through the center of rotation or pivotal point of both arms 41 .
  • the arms 41 may be moved in linear direction such that the center of rotation of both arms 41 exactly coincides one above the other.
  • Such a design allows fabricating the two arms 41 to be identical, as they will follow the same combined linear-arcuate motion from the same pivotal point centerline.
  • FIG. 5 this figure shows portions of system 34 , without covers closing off the internal elements, starting at load lock 35 , continuing into the beginning of transport chamber 32 and including a first processing chamber 31 . Illustrated in this figure a wafer 42 in load lock 35 rests on arm 41 . Another arm 41 is shown extended into process chamber 31 . As shown the arms, which act independently and may be at different levels, can be extended into different areas at the same time. The arms move wafers along transport chamber 32 into the system from the load lock and then about the system from processing chamber to processing chamber. Eventually the arms move the wafers after processing along the transport chamber and into load lock 35 and then out of system 34 .
  • the wafer may then pass back into the FOUP from the load lock where processed wafers are collected.
  • a wafer in the load lock or in process chambers is transferred by being lifted on a support surface associated with arm 41 .
  • Lift pins at the support surface raise the wafer to allow the arm access below the wafer permitting the arm to lift the wafer and move the wafer for next steps in the system.
  • a structure in the nature of a shelf to slide under the wafer and support the wafer during transport may be employed to support and hold the wafer and to accept and release wafers from arms 41 when brought or taken from a chamber or compartment.
  • the arms are positioned to pass above and below each other without contact and can pass by each other. They are connected to an internal drive and support mechanism 45 .
  • Drive and support mechanism 45 is provided with a linear drive track along which drive and support mechanism travels within transport chamber 32 . Movement of drive and support mechanism 45 is brought about by an external driver such as a motor. One form of drive causes drive and support mechanism 45 to move linearly along drive track 46 . Another cause's rotation of arms 41 to extend them from the transport chamber 32 into load lock 35 or process chambers 31 in the course of moving a wafer 42 into and through the system. Within drive track 46 are individual rails 47 (rails 47 are more clearly shown in FIG. 6 ) on which each drive and support mechanism independently rides enabling positioning so that each arm 41 moves and acts independently of the other. Movement of the wafer into a process chamber is in the nature of translating from its linear drive path into the chamber.
  • FIG. 6 there is illustrated the driving system employed in the preferred embodiment of this invention.
  • rails 47 of drive track 46 are each independently viewable.
  • a wafer 42 on one of the support arms 41 .
  • the other support arm is simply shown extended in this figure.
  • Drive and support mechanism 45 each ride on one of rails 47 . This facilitates the positioning of the arms 41 at different levels.
  • a magnetic head or magnetically-coupled follower 48 Positioned at the base of each of the drive and support mechanism 45 is a magnetic head or magnetically-coupled follower 48 .
  • a magnetic driver 50 Positioned spaced from magnetic head 48 is a magnetic driver 50 .
  • Magnetic heads 48 are positioned within the vacuum of the transport chamber and a wall of the vacuum chamber (shown as 53 in FIG.
  • drivers 50 are outside the vacuum wall of transport chamber 32 .
  • arms 41 move wafers 42 into and through the processing system and arms 41 move independently of one another.
  • These arms 41 are driven by a magnetic coupler arrangement comprising driver 50 and magnetic head 48 .
  • the coupler imparts both linear and rotary motion to arms 41 .
  • Driver 50 rides on outer rails 51 which are located outside the vacuum and appear on both sides of the rail system. One set is seen in a facing relationship while another exact rail appears on the opposite side. Rotation of the arm is transferred through the magnetic couplers and is driven by rotary motors 52 .
  • magnetic coupling is illustrated as used for linear movement and for rotation in this figure, it should be readily apparent that separate magnetic couplers and drivers may be used. Thus, although it is preferred to transfer linear and rotational movement through the same couplers, it is also possible to use separate couplers for linear movement and another set for rotational motion.
  • SCARA robot One type of arm that may be used to move and manipulate the wafers through transport chamber 32 including stops at the process stations 31 is described as a selective compliant articulated assembly robotic arm, referred to in a shorthand way as a SCARA robot.
  • a SCARA system tends to be faster and cleaner than the Cartesian system it would likely replace.
  • the magnets that couple the rotary and linear motion into the vacuum have a significant amount of attractive force. This loads the mechanical mechanism that supports the parts. High loads mean lower bearing life and more particle generation.
  • drive mechanism may be included within the enclosed chambers.
  • FIG. 7 there is shown a side view, without cover, of the track and drive system.
  • the vacuum wall or vacuum partition 53 is illustrated in its position between magnetic couplers 48 and 50 that drive and control the position of arms 41 .
  • Drive track 46 encloses rails 47 which provide linear motion imparted by outer rails 51 to drive and support mechanism 45 and thus to arms 41 .
  • Rotational motion is imparted by rotary motors 52 .
  • the side marked Va is in vacuum
  • the side marked At is in atmosphere.
  • magnetic coupler 50 is driven by rotary motor 52 , and causes coupler 48 to follow the same rotational motion due to magnetic coupling across vacuum partition 53 .
  • a reducing gear (sometimes referred to as speed reducer or gear reducer) 55 is interposed between the coupler 48 and the rotation coupler 56 or arm 41 .
  • the speed reducer is for reducing the motion speed imparted onto the robot arm by the motive force.
  • the gear reducer 55 has its input the rotation of the magnetic coupler 48 , and provides an output at a slower rotational speed so as to actuate the arm 41 at a rotational speed that is lower than the rotational speed of motor 52 .
  • the gear reducer is set to a reducing ratio of 50:1. This drastically increases the accuracy of the angular placement of the arm 41 , reduces transient motion, and reduces the moment of inertia of the art on the drive assembly.
  • the reducing gear assembly 55 is mounted onto base 49 .
  • Base 49 is unmotorized and rides freely on linear rails 47 .
  • rotary motor 52 is mounted onto base 54 , which rides on linear rails 51 using mechanized motive power.
  • mechanized motive power linearly moves base 54
  • the magnetic coupling between the magnetic coupler 50 and magnetic follower 48 imparts the linear motion to the free riding base 49 , thereby linearly moving the arm 41 . Consequently, this arrangement is advantageous in that all of the motorized motions, i.e., linear and rotational, are performed in atmospheric conditions, while no motorized system resides inside the vacuum environment.
  • Various embodiments for the motorized motion in atmosphere and the free-unmotorized motion in vacuum are described below as examples.
  • FIG. 7A illustrates an example of linear motion assembly.
  • a belt or chain drive is coupled to a base 54 .
  • the belt or chain 58 rides on rotators 59 , one of which is motorized so as to impart motion in either direction, as illustrated by arrow C.
  • an encoder 57 a sends signals to a controller identifying the linear motion of base 54 .
  • the encoder 57 a may be an optical encoder reading encoding provided on linear track 46 .
  • a rotary encoder 47 b is provided on motor 52 and also sends encoding of the rotational motion to the controller. These readings of rotary and linear motion may be used to control the rotational and linear motion of the arms 41 , such that the centerline of the wafer is moved only in straight lines.
  • FIG. 7B is a sectional view about line A-A of FIG. 4 , illustrating another embodiment of the linear motion assembly.
  • drive track 46 supports rails 47 , upon which wheels 61 and 62 ride. These wheels may be magnetized to provide improved traction.
  • the wheels 61 , 62 are coupled to the base 54 , upon which the rotary motor 52 is mounted.
  • a linear motor 63 is mounted to the lower part of the base 54 and interacts with an array of magnets 64 that are mounted onto the drive track 46 .
  • the linear motor 63 interacts with magnets 64 to impart a linear motive force to move the base 54 in the direction in and out of the page.
  • the linear motion of the base 54 is monitored and reported by encoder 57 b, which reads position/motion encoding 57 c provided on the track 46 .
  • the encoder 57 b has a precision of five-thousands of an inch.
  • FIG. 7C is a sectional view illustrating an example of a linear track in atmosphere and linear track in vacuum.
  • the vacuum side is indicated by VA, while the atmospheric side is marked by AT, and vacuum partition 53 together with the chamber wall 32 , separates between the two sides.
  • riders 61 ride on linear tracks 47 . Since this side is in atmosphere, particle generation is not as important as in the vacuum side. Therefore, riders 61 may include wheels or may simply be made of sliding material, such as Teflon.
  • the base 54 attaches to the sliders 61 and supports the rotary motor that rotates the magnetic coupler 50 .
  • linear tracks 78 are made to accept sliding bearings 73 , which are attached to base 70 via coupler 72 .
  • covers 74 and 76 are provided in order to keep any particles generated within the confines of the bearing assembly.
  • the base 70 extends beyond the bearing assembly and supports the gear reducer 55 , which is coupled to the magnetic follower 48 .
  • FIG. 7D illustrates another example of a linear track in atmosphere and linear track in vacuum.
  • the atmospheric side may be constructed the same as that of FIG. 7C .
  • magnetic levitation is utilized instead of slider bearings.
  • active electromagnetic assemblies 80 cooperate with permanent magnets 82 to form magnetic levitation and allow free linear movement of base 70 .
  • the permanent magnets 82 maintain free space 84 and do not contact electromagnet assemblies 80 .
  • magnetic coupling between coupler 50 and follower 48 impart the linear motion to the levitated base 70 .
  • rotation of the coupler 50 causes rotation of the follower 48 , which transmits the rotation to gear reducer 55 .
  • EFEM 33 receives and stores wafers for presentation to system 34 including process chambers 31 , which in this embodiment are intended to illustrate chambers in which sputter deposition occurs, by transferring the wafers first to load lock 35 and then along transport or transfer chamber 32 . Processed wafers are then fed back along transfer chamber 32 to load lock 35 and then out of the system to EFEM 33 .
  • FIG. 9 there is illustrated an eight station processing system in accordance with this invention.
  • EFEM 33 feeds wafers to load locks 35 . Wafers are then moved along transport chambers 32 and from transport chambers 32 into processing chambers 31 .
  • both sets of transport chambers are positioned in the central area and the process chambers 31 are on the outer sides.
  • the processing sections are all lined up so that one set of processing chambers is a duplicate of the next set. Thus the processing chambers of the system appear lined up in parallel.
  • processing chambers could be positioned one set above another or one set following another. If aligned with one set following another, the sets can be lined up so that the second set continues in line following the first set or alternatively the second set can be set at some form of angle to the first set. Since a transport chamber can feed wafers to each side of the chamber, two sets of processors can be set around a single transport chamber and fed by the same transport chamber (see FIG. 11A where numbers designate the same items as were discussed in connection with earlier figures. It is noted that added to FIGS.
  • 11A and 11B is a showing of the valve 39 that separates the processing chambers 31 from the transport chambers 32 as has been discussed above.) If the second set of processors is a continuation of the first set there can sometimes be benefits to positioning additional load locks along the system. It is of course possible to add an EFEM at the far end and position a load lock before the EFEM so that the wafer can travel in a straight line entering at one end and leaving at the other (see FIG. 11B , where again numbers designate the same item as in earlier figures). In this latter case, the wafer can be programmed to enter or leave at either or both end(s). It is also possible to position processing chambers along the transfer chamber at irregular intervals or with spacing between the processing chambers. In this arrangement the key feature will be the positioning of the transfer chamber so that it can feed wafers to the individual processing chambers as desired and as directed by the computer controls for the system.
  • FIG. 12 illustrates an example of the innovative mainframe system as applied to tandem-type process chambers.
  • the mainframe comprises the linear transport chamber 1232 , having robot arms 12 , 41 and 1243 that move independently of each other, and a single-stack loadlock chamber 1235 .
  • a single-stack i.e., non-tandem, loadlock chamber 1235 is shown.
  • each robot arm can load wafers from a single-stack loadlock onto the tandem processing chambers. For example, two wafers may be placed one over the other inside the loadlock 1235 , so that one arm takes the lower wafer and the other the upper wafer. Then each arm places its wafer in one side of the tandem chamber.
  • each robot may place a substrate on any side of the tandem processing chamber. That is, unlike the prior art wherein there's a one-to-one correspondence between the robot arm and the chambers, i.e., right robot arm can load only the right side of the tandem chamber, here any arm may load any side of the tandem chamber.
  • each of chambers 1201 , 1203 , 1205 , 1207 and 1209 is mounted onto the transport chamber 1232 .
  • Each of chambers 1201 , 1203 , 1205 forms a tandem chamber configured for processing two substrates simultaneously.
  • Chambers 1201 and 1205 are shown with the top cover on, while chamber 1203 is shown with the top cover removed.
  • the pitch i.e., the center-to-center distance, for each tandem processing chamber need not match to the others.
  • the pitch in chamber 1205 shown as distance X need not be the same as the pitch in chamber 1203 shown as distance Y.
  • each robot can be trained to know the center of each processing region of each chamber mounted onto the mainframe, so that each robot arm may deliver a wafer to any processing region and place it exactly in the center.
  • each process zone may have its own independent isolation valve, as shown by 1251 and 1253 for chamber 1201 , or a single valve may be used, as shown by 1255 for chamber 1203 .
  • One advantage of using a tandem chamber is the ability to share resources between each two tandem processing zones.
  • the two processing zones of chamber 1201 share process gas source 1210 and vacuum pump 1212 . That is, while each processing zone has its own gas dispensing mechanism 1214 , 1216 , e.g., a showerhead and related elements, the gas dispensing mechanisms of the two processing zones are coupled to the same gas source 1210 , e.g., gas stick.
  • the vacuum pump 1212 can be connected to an exhaust manifold that leads to both processing zones, thereby maintaining both zones at the same pressure.
  • Other elements, e.g., RF source may also be common to both processing zones, or be provided separately to each zone.
  • Chambers 1207 and 1209 together form a hybrid single-tandem processing chambers. That is, each of chambers 1207 and 1209 is configured for processing a single wafer.
  • process gas supply 1211 and vacuum pumping 1213 may be common to both chambers.
  • Source and bias energy may be supplied from the same or separate power sources.
  • keys 1202 are provided so that the two chambers are aligned while mounted onto the mainframe and function as a regular tandem chamber, but without the complexity and costs of fabricating the larger tandem processing chamber.
  • FIG. 13 illustrates yet another example of the innovative mainframe having two tandem chambers 1301 and 1305 , two independent single-wafer chambers 1303 and 1304 , and one hybrid single-tandem chamber comprising chambers 1307 and 1309 . That is, since using the innovative mainframe 1332 wherein the robots 1341 and 1343 are independent obviate the need to ensure that the pitch is the same for all chambers, here one may mix tandem chambers having the same or different pitch, and single-wafer chambers. Since robots 1341 and 1343 can pass over each other, they can load each of the tandem chambers simultaneously. Also, they can load each of the single-wafer chambers independently or concurrently, thus having the throughput of a tandem chamber arrangement without the need to utilize complex tandem chamber.
  • FIG. 13 Another feature illustrated in FIG. 13 is the use of a single central isolation valve 1357 , for loading a tandem chamber 1305 .
  • the valve 1357 is sized to allow passage of only a single wafer.
  • two wafers are loaded into the tandem chamber 1305 as shown by the curved arrows. This cannot be done in the prior art systems.
  • FIG. 14 illustrates another example, where different types of processing chambers are attached to the linear transport chamber 1432 .
  • a multiple-wafer processing chamber 1405 a triple-tandem chamber 1401 , a single chamber 1404 , and a hybrid single-tandem chambers 1407 and 1409 are attached to the innovative mainframe.
  • Chamber 1405 may be a conventional-batch processing chamber, e.g., a thermal or plasma enhanced CVD chamber, having four wafer stations, i.e., four circularly-arranged processing regions defined therein. The stations may be loaded one or two at a time.
  • Single chamber 1404 may be a single substrate processing chamber or a stacked-multiple wafers cooling station.
  • the robot arms may be a multiple, e.g., 25, wafer stacked cooling station.
  • tandem processing is not limited to two wafers at a time.
  • a triple-substrate tandem processing chamber is shown, enabling concurrent processing of three wafers. While here only two arms are shown, requiring a second trip of one arm for completely loading chamber 1401 , an arrangement having more than two arms may be used, as illustrated in FIG. 15 .
  • Another optional feature illustrate in FIG. 14 is the use of frog-leg, generally referred to as SCARA (Selective Compliance Assembly Robot Arm), robot arms 1441 and 1443 , which ride on the linear rail as in the other embodiments of the invention.
  • SCARA Selective Compliance Assembly Robot Arm
  • FIG. 14 also utilizes a tandem-stack loadlock chamber 1435 , i.e., having two stacks of wafers side by side.
  • loadlock 1435 may be a conventional tandem loadlock
  • the innovative mainframe enables loadlock with features not available previously.
  • the loadlock is tandem, it may be formed of two separate chambers, having partition 1438 .
  • two isolation gates 1437 and 1439 may be provided, one for each of the tandem wafers.
  • each side may be opened or closed independently of the other. In this way, if the robots load two wafers simultaneously, both isolation valves may be opened. However, if a single wafer is loaded, only a single isolation gate needs to be opened.
  • FIG. 15 illustrates another example, wherein the innovative mainframe is utilized for high throughput processing of substrates. This arrangement is beneficial for repeated substrate processing at high throughput, such as, for example, processing of substrates for fabrication of solar cells.
  • two linear rail 1543 and 1543 ′ are situated inside transport chamber 1532 , each of which supports two linear robot arms 1541 .
  • the robot arm on linear track 1543 serve the processing chambers 1501 on the left side of the transport chamber 1532 , while the other robot arms serve the chambers on the other side.
  • the robot arms can be constructed to serve chambers on either side of the transport chamber 1532 .
  • Loadlock 1535 is used to load substrates for processing, while loadlock 1537 is used for unloading substrates after completion of processing. While in this example tandem loadlocks are illustrated, it should be appreciated that a single substrate or stack loadlock may be utilized as well.
  • an unloading loadlock at the opposing side to the loading loadlock if needed another system may be coupled directly to the unloading loadlock, as shown by the broken line silhouette. In this manner the system may be made modular to accommodate various number of processing chambers as required by the particular situation.
  • the innovative mainframe is stacked.
  • an upper linear transport chamber 1633 is situated above lower linear transport chamber 1632 .
  • Each linear transport chamber has a plurality of openings 1601 with appropriate mounting arrangement for connecting processing chambers.
  • An elevator 1662 moves substrates between the lower and upper linear transport chambers.
  • substrates are loaded from load chamber 1671 and removed via unload chamber 1673 ; however, if desired, another elevator may be provided at the front of the system as well, so that chambers are loaded and unloaded at the same level.
  • FIG. 17 illustrates an example of the innovative mainframe system wherein induced current is used to provide motive power to the robot arms.
  • This example is similar to that shown in FIG. 7D , with one main difference.
  • magnetic forces were used to impart linear and rotational motion to the robot arm; however, in this embodiment induced current is used to supply the motive power.
  • the robot arm assembly may include stepper motors for rotation, linear or both rotation and linear motion.
  • the stepper motors are energized using induced current.
  • Each of the stepper motors is coupled to conductive coils, e.g., coils 48 , situated inside the vacuum environment.
  • a driving coil 50 is situated outside the vacuum environment in a position opposing the coil 48 .
  • current is flowed in the proper coil 50 , which induces current in the corresponding coil 48 , to thereby energize the motor.
  • vacuum should also be interpreted as a self contained environment as to encompass special gases for example that may be employed in the total system.
  • the cluster tool includes 7 processing chambers.
  • the disclosed system includes 8 processing chambers.
  • the total footprint of the tool in FIG. 1 with peripherals is approximately 38 m 2 .
  • the total footprint of the tool in FIG. 9 (with an additional processing chamber and peripherals) is 23 m 2 .
  • the footprint for a system with more chambers is considerably less if a linear arrangement in accordance with this invention is employed.
  • this improvement is achieved through the use of an improved feed system illustrated as transport chamber 32 in FIG. 9 as compared to the use of central sections as is done with the system of the type shown in FIG. 1 .
  • the linear architecture of the present invention is extremely flexible and lends itself to multiple substrate sizes and shapes. Wafers used into the fabrication of semiconductors are typically round and about 200 or 300 mm in diameter. The semiconductor industry is always trying to get more devices per wafer and has steadily moved to larger and larger wafer sizes from 75 mm, 100 mm, 200 mm to 300 mm and there is an on going effort to look at moving to 450 mm diameter wafers. Due to the unique architecture the floor space required in the clean room wafer fab would not grow as large as it would with a typical cluster tool with the processes located on the circumference.
  • the design of this equipment is not limited to circular substrates.
  • a cluster tool that moves wafers in paths described by arcs is particularly disadvantaged if the substrates are rectangular as the tool would need to be sized to handle a circular substrate that inscribes the rectangular shape of the actual substrate; whereas, the linear tool need be no larger in any direction than what is required to pass the actual shape. For example, working with a 300 mm square substrate, a cluster tool would need to be sized to handle a 424 mm circular substrate while the linear tool need be no larger than that required for a 300 mm circular substrate.
  • the size of the transport chamber 32 need provide only that room required to move the substrate whether a wafer of some other member, along from the entrance chamber through and into processing chambers and from processing chambers out of the system.
  • the width of this chamber should be slightly larger than the size of the substrate to be processed.
  • smaller members may be processed in the system, and may be processed together as a plurality in a substrate holder.

Abstract

There is described apparatus and methods for transporting and processing substrates including wafers as to efficiently produce at reasonable costs improved throughput as compared to systems in use today. A linear transport chamber includes linear tracks and robot arms riding on the linear tracks to linearly transfer substrates along the sides of processing chambers for feeding substrates into a controlled atmosphere through a load lock and then along a transport chamber as a way of reaching processing chambers.

Description

    RELATED APPLICATIONS
  • This application is a Continuation-in-Part of U.S. application Ser. No. 11/523,101 filed Sep. 19, 2006 and U.S. application Ser. No. 12/325,993 filed Dec. 1, 2008, the disclosures of which are incorporated herein by reference in their entirety.
  • FIELD OF THE INVENTION
  • This invention has to do with novel apparatus and methods for transporting and processing substrates in general and wafers in particular.
  • BACKGROUND
  • In the manufacture of semiconductors, a common tool, referred to as a cluster tool, is one of the key units used in the manufacture of wafers. A typical commercial device has a generally circular central area with chambers attached along the circumference. The chambers extend outward around the central area. When wafers are processed they are moved first from an input output station on the circumference of the central chamber into the central chamber and then from the central chamber into an attached or circumferential chamber where processing is performed. In this tool as in substantially all manufacturing systems used today, the practice is to process wafers one at a time. A wafer may be moved into a chamber for processing and then back to the central chamber. This can be followed by a further move to another circumferential chamber and then following processing, back to the central chamber. Eventually the wafer when fully processed is moved out of the tool altogether. The movement out goes again through an input/output station or chamber which in connection with vacuum systems is generally called a load lock where the wafer moves from vacuum to atmosphere. A unit of this sort is described for example in U.S. Pat. No. 4,951,601.
  • Another tool indexes wafers along a central axis and feeds wafers through surrounding processing chambers. In this tool, all wafers are fed simultaneously to the next processing stop. Wafers cannot move independently although they can be processed independently. They all remain at a process station for the same amount of time but the processes at each station can be independently controlled subject of course to the maximum time permitted by the time permitted for the stop. Although the first described tool could be made to operate in this way, in fact however, it may move wafers so that they do not progress to the adjacent processing chamber in sequence and all are not required to have the same dwell time at a processing chamber.
  • When either of these systems is operating the central area is generally at vacuum but it may also be at some other preselected or predetermined and controlled environment. This central section for example can have presented a gas that is useful to the processes being preformed in the process chambers. The chambers or compartments along the outer surface of the central zone are generally at a vacuum too but may also have a pre-selected and controlled gaseous environment. Processing is also generally performed in a vacuum by moving wafers while in vacuum from the central chamber to an attached chamber or compartment. Generally once the wafer reaches a chamber or compartment for processing, the chamber or compartment is sealed off from the central chamber. This prevents materials and/or gases used in the processing chamber or compartment from reaching the central zone preventing contamination of the atmosphere in the central zone as well as attached processing chambers and/or preventing contamination of wafers located in the central zone waiting to be processed or further processed. This also permits the processing chamber to be set at a vacuum level different than that used in the central chamber for the particular processing to be carried out in the chamber. For example, if the processing technology of a chamber requires more of a vacuum, then with a seal in place between the central zone and the chamber, the chamber itself can be further pumped down to match the process requirements for the particular processes to be performed within that chamber. Alternatively, if less of a vacuum is required, the pressure may be increased without affecting the pressure of the central chamber. After processing of the wafer is completed, the wafer is moved back to the central chamber and then out of the system. In this way the wafer may progress through this tool sequentially through the chambers and all of the available processes. Alternatively the wafer may proceed through only selected chambers and be exposed to only selected processes.
  • Variations on these processes are also in use in equipment offered to the field. However, they all tend to rely on a central area or zone that is integral to the various processes. Also since the predominant usage of such equipment is to make wafers, the discussion will primarily be in terms of wafers. However, it should be understood that most of the processes under discussion are also applicable to substrates in general and that the discussions should be taken to also apply to such substrates and such manufacturing equipment.
  • Recently there has been described a system that is distinct from these, in that it is linear in shape rather than circular and wafers move for processing from one chamber to the next chamber. Since the wafer moves in sequence from one chamber to an adjacent chamber, there is no need for the central zone as part of the equipment. In this tool a wafer enters the unit and is generally attached to a chuck that travels with the wafer as it moves through the system. In this unit, processing is performed for equal amounts of time in each chamber.
  • This system has a smaller footprint than is typical in this field since the footprint approximates the footprint of the processing chambers only and does not include a large central zone. This is an advantage of this type equipment. This system is described in a pending published patent application, Publication No. 2006/0102078 A1. This particular system has a uniform dwell time at each process station. This allows for some differences in processing limited of course by the length of the longest dwell period. If one requires independently controlled dwell times at the various stations, another approach may be preferred. Also this type of equipment has the disadvantage that if one station is down for repair or maintenance, then the whole system is itself unavailable for processing.
  • SUMMARY OF THE INVENTION
  • This invention is directed to a novel wafer processing unit intended to permit separately controlled dwell times at processing stations while maintaining a small footprint. It also allows ongoing operations even if one or more of the stations is down for one reason or another. In part this is in recognition that the cost of manufacturing semiconductors is extremely high and costs are increasing. The higher the costs the greater the risks in undertaking investments in this field. An objective is to define equipment that lowers costs by a reasonable percentage and provides improved systems and services in accordance with “Lean” principles of manufacture. Thus an objective is to maximize processing chambers while maintaining a small footprint. Another objective is to maximize process station utilization. Another objective is to simplify robotics and the service of this equipment. The system will also offer considerable redundancy, including up to 100% availability of the system for processing even during mainframe servicing. In such an event less chambers will be in use but all processes can continue to be available for treatment of wafers. And servicing or processing chambers will be possible from the back or front of the processing chambers. Additionally, in the preferred embodiment the processing chambers will be set up in a linear arrangement. This assures the smallest footprint for a system that permits individual programs for wafers at the various processing stations.
  • The processing chambers generally may have the capability of performing any of the various processes one uses in connection with processing wafers. For example in the manufacture of a wafer, the wafer would normally be carried through one or more etching steps, one or more sputtering or physical vapor deposition processes, ion implantation, chemical vapor deposition (CVD) and heating and/or cooling processes, among others. The number of processing steps to make a wafer could mean that multiple tools or tools with large subsystems would have been required if using prior art devices to perform these various processes. The instant system however, offers the further advantage that additional functional stations can be added without a significant increase in size or without the need to add new total systems.
  • To achieve these various objectives, transport of wafers is structured to be independent of chamber design. Thus the chambers are designed to perform as a chamber with certain processing capabilities and the transport system is structured to operate independently of chamber design and is structured to feed wafers to and from processing chambers. Transport in the disclosed preferred embodiment is dependent on a simple linkage arm based on linear and rotary motion coupled through a vacuum wall. In line with maintaining costs low, the chamber design is based on modularity. Thus in one embodiment, the system may have 3 chambers or a matching structure can be utilized and the system can have 6 chambers. Alliteratively this last sentence can be repeated with 4 and 8 chambers as well as with other multiples, or modules may be matched that have a different number of processing stations.
  • The system is expandable and in addition it is expandable independently of technology that might be applied as future processes or applications. A linear wafer transport is used. This results in high throughput in a system of small footprint that is not over demanding of space in clean rooms. In addition different process steps can be structured into the same treatment platform.
  • According to an aspect of the invention, a substrate processing system is disclose, comprising an elongated substrate transfer chamber having an evacuated section and an atmospheric section; a first linear track affixed to the transfer chamber within the evacuated section; a second linear track affixed to the transfer chamber at the atmospheric section; a first base linearly riding on the first linear track; a second base linearly riding on the second linear track; a speed reducer mounted onto the first base and having a magnetically-coupled follower as its input and providing a lower rotational speed at its output; a rotary motor mounted onto the second base and rotating a magnetic driver, the magnetic driver imparting a rotational motion to the magnetically-coupled follower across a vacuum partition; and, a robot arm coupled to the output of the speed reducer. A linear motor may be affixed to the second base to impart linear motion, and magnetized wheels may be coupled to the second base. A linear motion encoder may be coupled to the second base and a rotary encoder may be coupled to the rotary motor. In a system having two robot arms, an arm extension may be coupled to one of the robot arm so as to enable the axis of rotation of the robot arms to coincide.
  • According to another aspect of the invention, a method for transferring wafers from a loadlock to a processing chamber via an evacuated transfer chamber is provided, comprising: providing a robot arm within the transfer chamber; magnetically coupling linear motion to the robot arm across a vacuum partition; magnetically coupling rotational motion to the robot arm across a vacuum partition; and reducing the speed of the rotational motion within the evacuated transfer chamber. The method may further comprise the steps of: determining a first center point defined as the center of a wafer as it is located in the loadlock; determining a second center point defined as the center of a wafer as it is located in the processing chamber; determining location of a pivotal point of the robot arm; and, calculating a combination linear and rotational motion of the robot arm such that the wafer positioned on the robot arm moves in only straight lines between the loadlock and the processing chamber
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic illustration of a prior art cluster tool intended for PVD applications.
  • FIG. 2 is a schematic illustration of the system described in the aforementioned patent publication (2006/0102078 A1) and is in the nature of a prior art system.
  • FIG. 3 is a schematic illustration of a processing system in accordance with this invention.
  • FIG. 4 is a top schematic view for purposes of more clearly illustrating the transfer chamber. In this Figure this has been done in a three process station structure but this number of stations has been used only for illustrative purposes.
  • FIG. 5 is a schematic view of a segment of the system from the load lock and into the transport or transfer chamber.
  • FIG. 6 is a schematic illustration of the wafer moving mechanism shown outside the encasement for the system.
  • FIG. 7 is a schematic illustration of the track and drive system employed in the preferred embodiment.
  • FIG. 7A illustrate an example of a linear motion assembly.
  • FIG. 7B is a sectional view about line A-A of FIG. 4, illustrating another embodiment of the linear motion assembly.
  • FIG. 7C is a sectional view illustrating an example of a linear track in atmosphere and linear track in vacuum.
  • FIG. 7D illustrates another example of a linear track in atmosphere and linear track in vacuum.
  • FIG. 8 is a schematic illustration of a 4-station physical vapor deposition (PVD) or sputtering system in accordance with this invention.
  • FIG. 9 is a schematic illustration of an 8-station system in accordance with this invention.
  • FIG. 10 is a schematic illustration of a 6-chamber system in accordance with this invention.
  • FIGS. 11A and 11B are schematic illustrations of two different embodiments of this invention.
  • FIG. 12 illustrates an example of the innovative mainframe system as applied to tandem-type process chambers.
  • FIG. 13 illustrates yet another example of the innovative mainframe having combinations of different processing chambers.
  • FIG. 14 illustrates another example, where different types of processing chambers are attached to the linear transport chamber.
  • FIG. 15 illustrates another example, wherein the innovative mainframe is utilized for high throughput processing of substrates.
  • FIG. 16 illustrates an embodiment wherein two linear transport systems are vertically stacked one above the other.
  • FIG. 17 illustrates an example of the innovative mainframe system wherein induced current is used to provide motive power to the robot arms.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Referring now to FIG. 1, there is illustrated a cluster tool of the type commonly in use today. In general this comprises processing chambers 21 radially disposed around and attached to central chambers 22. In this system, there are two central chambers. Other systems may have only a single central chamber. A system with more than two can exist except that it is cumbersome and instead users will generally acquire another system. In operation, a robot is typically located within each central chamber 22. The robot receives wafers into the system and carries wafers from the central chamber to processing chambers and after processing back to the central chamber. In some prior art systems, a central robot can access only a single wafer and single chamber at one time. Thus the robot can become engaged or busy during processing in connection while a wafer is in a single chamber. This combination of a single robot tied to a processing station during processing is a limitation on the throughput of this type of cluster tool. More modern units use robotics that are multi-armed. The processing chambers may comprise any form of processor and may comprise for example a chamber for physical vapor deposition, a chamber for chemical vapor deposition (CVD) or for etch or for other processes that may be performed on a wafer during its manufacture. This type tool permits processing for different periods of time since the transfer by the robotic arm into the chamber and its removal from the chamber when the wafer is processed is independent of other factors and is computer controlled. Obviously processing can be set for the same time and for a defined sequence.
  • Referring now to FIG. 2, there is illustrated a tool for processing wafers in which the dwell time of the wafer within a chamber is the same for each chamber. In this embodiment the processors 23 are lined up linearly and in this instance chambers are positioned adjacent to each other and also on top of one another. At the end there is an elevator 25 that moves the wafer being processed from one level to the other. At the entrance 26 a wafer enters and is positioned on a support where it remains as it moves through the system. In an embodiment of this system, the support raises the wafer to the upper level of processors and the wafer then moves in sequence one after the other through the process chambers 23 at that level. The elevator 25 changes the level of the wafer and it then moves along the other level, again from one process chamber through it and then through the next chamber and so on, and then out of the system.
  • Referring now to FIG. 3, processing chambers 31 are located linearly along transport chamber 32. Wafers enter system 34 via EFEM (Equipment Front End Module) 33 or some equivalent feeding device. EFEM 33 comprises stations 30 upon which FOUP (from front opening unified pod) may be situated. The FOUPs (not shown) comprise a housing or enclosure where wafers are housed and kept clean while waiting to enter the processing operations. Associated with the EFEM 33 may also be a feeding mechanism to place wafers into the system for processing and to remove wafers from the system to be temporarily stored after processing. A FOUP of wafers is placed onto the EFEM where wafers are then transferred one by one from the FOUP by a blade that lifts the wafer from the FOUP within EFEM 33 and carries the wafer into load lock compartment 35 thus entering the system. From load lock compartment 35 wafers travel along transport chamber 32 from which they transfer into processing chambers 31. After a substrate enters a processing chamber, the substrate leaves the support arm and rests instead on a substrate support within the chamber. At this point a valve is closed to separate the atmosphere of the processing chamber from the atmosphere of the transport chamber. This permits changes to be made within the processing chamber without contaminating the transport chamber or other processing chambers. After processing the valve separating the processing chamber from the transport chamber opens and the wafer is removed from the processing chamber and transferred along transport chamber 32 to another processing chamber for additional processing or to the load lock from which the wafer is returned to FOUP on EFEM 33. In this Figure there are shown 4 processing chambers 31. There is also shown 4 process power supplies 37 and a power distribution unit 36. These in combination provide the electronics for the system and the power to each individual process chamber. Above the process chambers 31 are process gas cabinets 38 and information processing cabinets 40. It is through these units that information keyed into the system control movements of the substrates along transport chamber 32 and whether or not the substrate is transferred into a processing chamber for further processing. These units also provide records of what has occurred within the processing chambers. Gases are provided for use within the chambers during processing. Although the robotic handling mechanism to feed wafers into the system and through the processing stations in the system is described as a two arm system, in fact more than two arms may be present and each can be set to move independently or together within the transport travel chamber.
  • The processing chambers in a system may perform different processes as desired in the manufacture of wafers. Many manufacturers today buy dedicated systems in which the entire system is given over to sputter or etch processes. In essence there are sufficient sputter steps or etch steps in the manufacture of a wafer that a four or more stage system can be entirely devoted to sputtering operations. Alternatively, a wafer can be carried through a series of operations, each different yet each required in leading to a final process. For example, in a five process station, one could reasonably expect the following sequence in use. At the first process station the wafer will be subjected to a degas operation; the second station could be a precleaning station; the third a sputtering station to deposit titanium for example; the fourth a sputter station to deposit nickel vanadium for example; and, at the fifth station the sputter deposition of gold could occur.
  • Referring now FIG. 4 there is illustrated a three station system with top covers removed. An objective in connection with this Figure is to provide more of an understanding of the transport chamber 32. A wafer to be processed enters this system at load lock 35. Load lock 35 is a dual level load lock and can hold and process two wafers simultaneously. One is on a lower lever and the other on an upper level. At the load lock wafers entering the system enter into the vacuum or controlled environment. Also wafers that have been processed pass through load lock 35 during their travels leaving this system and the vacuum or other controlled conditions within the system and return into the FOUP (not shown in this Figure). Once a wafer completes its transition from non-vacuum conditions to vacuum conditions, the wafer is lifted onto an arm 41 which moves into transport chamber 32. One such arm is visible while the other is partially covered by elements in the first processing chamber at the left. The visible arm is shown delivering a wafer into this processing chamber 31 (or alternatively removing a wafer that has been processed from this chamber). Arms 41 move along within the transport chamber on a linear rail 43. In this embodiment the rails within the transport chamber 32 hold the support arms 41 above the floor of chamber 32. Also, the driving mechanism, which is not seen in this Figure, acts from outside the vacuum through the walls of the enclosure of chamber 32. It provides a generally linear movement to arms 41 as well as a rotary movement when it is desired to extend an arm into a chamber or into load lock 35. Thus the arms are used to move a wafer into or out of the transport chamber 32, into or out of a processing chamber 31 or into and out of load lock chamber 35. By avoiding contact with the base of this chamber less particles are produced as to maintain the environment in a purer or particle free condition. Additional details of this transport system will be shown and discussed in connection with figures that follow. Also although two arms are illustrated in this figure, it should be readily apparent that a system can have more or less than two arms on a rail and can handle more than two wafer transport devices at any one time.
  • According to a method of the subject invention, the support arms 41 are operated using a combination of rotary and linear motion in a manner such that the wafer is moved in straight lines only. That is, as shown in FIG. 4, arm 41 is moved using a combination of linear motion, exemplified by double-head arrow A, and a rotary motion, exemplified by double-head arrow B. However, the motion is programmed so that the center of the wafer follows straight lines motion, as shown by broken-lines BLl, BLm and BL. This enables making every opening of chambers 31 and load lock 35 only slightly larger than the diameter of the chamber. This also enables attaching any type and any combination of chambers onto transport chamber 32, as the combined linear-arcuate motion of the arms 41 is actuated by a controller that can be programmed, e.g., via user interface UI (FIG. 3) to any situation.
  • According to a method of the invention, the following process is implemented to calculate the combined linear-arcuate arms' motion executed by the controller. The location of the center of a wafer as it is located in the loadlock is determined. The center of a wafer as it is located inside each of the attached processing chambers is determined. The pivotal point of each arm is determined (note that as discussed below, in some embodiments the pivotal points of both arms may be made to coincide). The order of transport is determined, i.e., whether each wafer needs to move between the loadlock and only one or more chambers. These values can be programmed into the controller using the UI. Then, the linear and rotational motion of each arm is calculated such that a wafer positioned on each arm would move in only straight lines between the determined pivotal point and the center determined for the loadlock and the chambers.
  • Partly in order to simplify the combined linear-arcuate motion of the arms 41, the following feature of the invention is implemented in one embodiment. In FIG. 4, one of the support arms 41, specifically the arm 41 that is fully exposed in FIG. 4, is coupled to an arm extension 41′, while the other arm 41 is coupled directly to the internal drive and support mechanism 45 (see also FIGS. 5 and 6). In the embodiment illustrated, the arm extension 41′ is fixed, i.e., it only follows the linear motion of the drive and support mechanism 45, but it cannot be rotated. Rather, rotational motion is only imparted to the arm 41 affixed to the end of the arm extension 41′. Also, in the embodiment illustrated, the arm extension 41′ is affixed such that the center of rotation or pivotal point of both arms 41 may be made to coincide, i.e., as shown the straight broken line BLm passes through the center of rotation or pivotal point of both arms 41. Moreover, as shown in the embodiment of FIG. 5, the arms 41 may be moved in linear direction such that the center of rotation of both arms 41 exactly coincides one above the other. Such a design allows fabricating the two arms 41 to be identical, as they will follow the same combined linear-arcuate motion from the same pivotal point centerline.
  • Referring now to FIG. 5, this figure shows portions of system 34, without covers closing off the internal elements, starting at load lock 35, continuing into the beginning of transport chamber 32 and including a first processing chamber 31. Illustrated in this figure a wafer 42 in load lock 35 rests on arm 41. Another arm 41 is shown extended into process chamber 31. As shown the arms, which act independently and may be at different levels, can be extended into different areas at the same time. The arms move wafers along transport chamber 32 into the system from the load lock and then about the system from processing chamber to processing chamber. Eventually the arms move the wafers after processing along the transport chamber and into load lock 35 and then out of system 34. When processing is completed, the wafer may then pass back into the FOUP from the load lock where processed wafers are collected. A wafer in the load lock or in process chambers is transferred by being lifted on a support surface associated with arm 41. Lift pins at the support surface raise the wafer to allow the arm access below the wafer permitting the arm to lift the wafer and move the wafer for next steps in the system. Alternatively, a structure in the nature of a shelf to slide under the wafer and support the wafer during transport may be employed to support and hold the wafer and to accept and release wafers from arms 41 when brought or taken from a chamber or compartment. The arms are positioned to pass above and below each other without contact and can pass by each other. They are connected to an internal drive and support mechanism 45. Drive and support mechanism 45 is provided with a linear drive track along which drive and support mechanism travels within transport chamber 32. Movement of drive and support mechanism 45 is brought about by an external driver such as a motor. One form of drive causes drive and support mechanism 45 to move linearly along drive track 46. Another cause's rotation of arms 41 to extend them from the transport chamber 32 into load lock 35 or process chambers 31 in the course of moving a wafer 42 into and through the system. Within drive track 46 are individual rails 47 (rails 47 are more clearly shown in FIG. 6) on which each drive and support mechanism independently rides enabling positioning so that each arm 41 moves and acts independently of the other. Movement of the wafer into a process chamber is in the nature of translating from its linear drive path into the chamber. This occurs because the wafer is undergoing two forms of motion simultaneously in the preferred embodiment. It is being moved linearly and rotated at the same time. The use of external motors or other forms of drive mechanism to drive this mechanism within the vacuum of transport chamber 32 reduces unwanted particles within the enclosed vacuum area.
  • Referring now to FIG. 6, there is illustrated the driving system employed in the preferred embodiment of this invention. In this figure, rails 47 of drive track 46 are each independently viewable. There is also shown a wafer 42 on one of the support arms 41. The other support arm is simply shown extended in this figure. Drive and support mechanism 45, each ride on one of rails 47. This facilitates the positioning of the arms 41 at different levels. Positioned at the base of each of the drive and support mechanism 45 is a magnetic head or magnetically-coupled follower 48. Positioned spaced from magnetic head 48 is a magnetic driver 50. Magnetic heads 48 are positioned within the vacuum of the transport chamber and a wall of the vacuum chamber (shown as 53 in FIG. 7) passes beneath each of the magnetic heads 48 and between the magnetic heads 48 and drivers 50. Thus drivers 50 are outside the vacuum wall of transport chamber 32. As has been discussed, arms 41 move wafers 42 into and through the processing system and arms 41 move independently of one another. These arms 41 are driven by a magnetic coupler arrangement comprising driver 50 and magnetic head 48. The coupler imparts both linear and rotary motion to arms 41. Driver 50 rides on outer rails 51 which are located outside the vacuum and appear on both sides of the rail system. One set is seen in a facing relationship while another exact rail appears on the opposite side. Rotation of the arm is transferred through the magnetic couplers and is driven by rotary motors 52. Although magnetic coupling is illustrated as used for linear movement and for rotation in this figure, it should be readily apparent that separate magnetic couplers and drivers may be used. Thus, although it is preferred to transfer linear and rotational movement through the same couplers, it is also possible to use separate couplers for linear movement and another set for rotational motion.
  • One type of arm that may be used to move and manipulate the wafers through transport chamber 32 including stops at the process stations 31 is described as a selective compliant articulated assembly robotic arm, referred to in a shorthand way as a SCARA robot. A SCARA system tends to be faster and cleaner than the Cartesian system it would likely replace.
  • Also in order to reduce and/or eliminate load factors in connection with the magnetic drive system, one can include repulsing magnets that will reduce the attractive forces created by the motion coupling magnets. The magnets that couple the rotary and linear motion into the vacuum have a significant amount of attractive force. This loads the mechanical mechanism that supports the parts. High loads mean lower bearing life and more particle generation. By using magnets located in the magnetic couplers or in a separate arrangement that repulse each other the attractive force can be reduced. In fact, inside the magnetic coupler the inner most magnets are not significant in achieving coupling stiffness. These inner magnets can however, be used to create a repulsive force with the coupling magnets used in attraction disposed in alternating N-S positions around the diameter of the coupler.
  • It should be understood of course that if one is not concerned about particle dust within the enclosed chambers, then drive mechanism may be included within the enclosed chambers.
  • Referring now to FIG. 7, there is shown a side view, without cover, of the track and drive system. In this figure, the vacuum wall or vacuum partition 53 is illustrated in its position between magnetic couplers 48 and 50 that drive and control the position of arms 41. Drive track 46 encloses rails 47 which provide linear motion imparted by outer rails 51 to drive and support mechanism 45 and thus to arms 41. Rotational motion is imparted by rotary motors 52. In Figure 7, the side marked Va is in vacuum, while the side marked At is in atmosphere. As shown in FIG. 7, magnetic coupler 50 is driven by rotary motor 52, and causes coupler 48 to follow the same rotational motion due to magnetic coupling across vacuum partition 53. However, due to hysteresis in the magnetic coupling, the accuracy of the rotational motion of the arm may be degraded. In fact, due to the length of the arm, a small angular error in the couplers 48-50 may lead to a large displacement of the wafer that sits at the end of the arm 41. Also, due to the length and weight of the arm, and changes in weight depending on whether the arm supports a wafer or not, transient motions may persist for an unacceptable length of time. To avoid these problems, a reducing gear (sometimes referred to as speed reducer or gear reducer) 55 is interposed between the coupler 48 and the rotation coupler 56 or arm 41. The speed reducer is for reducing the motion speed imparted onto the robot arm by the motive force. The gear reducer 55 has its input the rotation of the magnetic coupler 48, and provides an output at a slower rotational speed so as to actuate the arm 41 at a rotational speed that is lower than the rotational speed of motor 52. In this particular example, the gear reducer is set to a reducing ratio of 50:1. This drastically increases the accuracy of the angular placement of the arm 41, reduces transient motion, and reduces the moment of inertia of the art on the drive assembly.
  • In FIG. 7 the reducing gear assembly 55 is mounted onto base 49. Base 49 is unmotorized and rides freely on linear rails 47. On the other hand, rotary motor 52 is mounted onto base 54, which rides on linear rails 51 using mechanized motive power. As the mechanized motive power linearly moves base 54, the magnetic coupling between the magnetic coupler 50 and magnetic follower 48 imparts the linear motion to the free riding base 49, thereby linearly moving the arm 41. Consequently, this arrangement is advantageous in that all of the motorized motions, i.e., linear and rotational, are performed in atmospheric conditions, while no motorized system resides inside the vacuum environment. Various embodiments for the motorized motion in atmosphere and the free-unmotorized motion in vacuum are described below as examples.
  • FIG. 7A illustrates an example of linear motion assembly. In FIG. 7A, a belt or chain drive is coupled to a base 54. The belt or chain 58 rides on rotators 59, one of which is motorized so as to impart motion in either direction, as illustrated by arrow C. To control the linear motion, an encoder 57 a sends signals to a controller identifying the linear motion of base 54. For example, the encoder 57 a may be an optical encoder reading encoding provided on linear track 46. Additionally, a rotary encoder 47 b is provided on motor 52 and also sends encoding of the rotational motion to the controller. These readings of rotary and linear motion may be used to control the rotational and linear motion of the arms 41, such that the centerline of the wafer is moved only in straight lines.
  • FIG. 7B is a sectional view about line A-A of FIG. 4, illustrating another embodiment of the linear motion assembly. In FIG. 7C, drive track 46 supports rails 47, upon which wheels 61 and 62 ride. These wheels may be magnetized to provide improved traction. The wheels 61, 62 are coupled to the base 54, upon which the rotary motor 52 is mounted. A linear motor 63 is mounted to the lower part of the base 54 and interacts with an array of magnets 64 that are mounted onto the drive track 46. The linear motor 63 interacts with magnets 64 to impart a linear motive force to move the base 54 in the direction in and out of the page. The linear motion of the base 54 is monitored and reported by encoder 57 b, which reads position/motion encoding 57 c provided on the track 46. In this specific example, the encoder 57 b has a precision of five-thousands of an inch.
  • FIG. 7C is a sectional view illustrating an example of a linear track in atmosphere and linear track in vacuum. The vacuum side is indicated by VA, while the atmospheric side is marked by AT, and vacuum partition 53 together with the chamber wall 32, separates between the two sides. In the atmospheric side, riders 61 ride on linear tracks 47. Since this side is in atmosphere, particle generation is not as important as in the vacuum side. Therefore, riders 61 may include wheels or may simply be made of sliding material, such as Teflon. The base 54 attaches to the sliders 61 and supports the rotary motor that rotates the magnetic coupler 50. On the vacuum side, linear tracks 78 are made to accept sliding bearings 73, which are attached to base 70 via coupler 72. These may be made of stainless steel and should be fabricated to minimize particle generation. Additionally, covers 74 and 76 are provided in order to keep any particles generated within the confines of the bearing assembly. The base 70 extends beyond the bearing assembly and supports the gear reducer 55, which is coupled to the magnetic follower 48.
  • FIG. 7D illustrates another example of a linear track in atmosphere and linear track in vacuum. In FIG. 7D the atmospheric side may be constructed the same as that of FIG. 7C. However, in order to minimize contamination, in the vacuum side magnetic levitation is utilized instead of slider bearings. As illustrated in FIG. 7D, active electromagnetic assemblies 80 cooperate with permanent magnets 82 to form magnetic levitation and allow free linear movement of base 70. Notably, the permanent magnets 82 maintain free space 84 and do not contact electromagnet assemblies 80. As base 54 moves linearly with sliders 61, magnetic coupling between coupler 50 and follower 48 impart the linear motion to the levitated base 70. Similarly, rotation of the coupler 50 causes rotation of the follower 48, which transmits the rotation to gear reducer 55.
  • Referring now to FIG. 8 there is illustrated a processing system in accordance with this invention. As in the case of FIG. 3, EFEM 33 receives and stores wafers for presentation to system 34 including process chambers 31, which in this embodiment are intended to illustrate chambers in which sputter deposition occurs, by transferring the wafers first to load lock 35 and then along transport or transfer chamber 32. Processed wafers are then fed back along transfer chamber 32 to load lock 35 and then out of the system to EFEM 33.
  • Referring now to FIG. 9 there is illustrated an eight station processing system in accordance with this invention. EFEM 33 feeds wafers to load locks 35. Wafers are then moved along transport chambers 32 and from transport chambers 32 into processing chambers 31. In this figure both sets of transport chambers are positioned in the central area and the process chambers 31 are on the outer sides. In FIG. 10 the processing sections are all lined up so that one set of processing chambers is a duplicate of the next set. Thus the processing chambers of the system appear lined up in parallel.
  • Other variations are readily possible and easily conceived. For example, instead of lining up the processing chambers as shown in FIGS. 9 and 10, processing chambers could be positioned one set above another or one set following another. If aligned with one set following another, the sets can be lined up so that the second set continues in line following the first set or alternatively the second set can be set at some form of angle to the first set. Since a transport chamber can feed wafers to each side of the chamber, two sets of processors can be set around a single transport chamber and fed by the same transport chamber (see FIG. 11A where numbers designate the same items as were discussed in connection with earlier figures. It is noted that added to FIGS. 11A and 11B is a showing of the valve 39 that separates the processing chambers 31 from the transport chambers 32 as has been discussed above.) If the second set of processors is a continuation of the first set there can sometimes be benefits to positioning additional load locks along the system. It is of course possible to add an EFEM at the far end and position a load lock before the EFEM so that the wafer can travel in a straight line entering at one end and leaving at the other (see FIG. 11B, where again numbers designate the same item as in earlier figures). In this latter case, the wafer can be programmed to enter or leave at either or both end(s). It is also possible to position processing chambers along the transfer chamber at irregular intervals or with spacing between the processing chambers. In this arrangement the key feature will be the positioning of the transfer chamber so that it can feed wafers to the individual processing chambers as desired and as directed by the computer controls for the system.
  • It is known in the prior art to have tandem processing chambers, wherein each chamber is configured for processing two wafers side-by-side. However, these prior art systems utilize mainframe and robot that are configured to always load two wafers that are at a set distance from each other. That is, the two arms of the prior art tandem loading robot cannot be controlled individually, and are set at a fixed distance from each other. Consequently, the mainframe, loadlock, and chamber configurations are limited to accommodate two wafers separated by this same distance. Additionally, care must be taken to make sure everything in the system, i.e., loadlock, robot arms, chucks in the chambers, etc., are adjusted to be at exactly the same separating distance. This places a tremendous limitations and burden on the system design, operation, and maintenance.
  • The innovative mainframe system may be easily configured to accommodate tandem chambers with increased freedom of design and reduced requirements for adjustments and maintenance. FIG. 12 illustrates an example of the innovative mainframe system as applied to tandem-type process chambers. The mainframe comprises the linear transport chamber 1232, having robot arms 12, 41 and 1243 that move independently of each other, and a single-stack loadlock chamber 1235. To illustrate the versatility of this innovative mainframe, in this example a single-stack, i.e., non-tandem, loadlock chamber 1235 is shown. Notably, unlike the prior art where a mainframe designed for tandem chambers must have a tandem loadlock, here, since the robot arms are independently operated, they can load wafers from a single-stack loadlock onto the tandem processing chambers. For example, two wafers may be placed one over the other inside the loadlock 1235, so that one arm takes the lower wafer and the other the upper wafer. Then each arm places its wafer in one side of the tandem chamber. According to the innovative features of this example, each robot may place a substrate on any side of the tandem processing chamber. That is, unlike the prior art wherein there's a one-to-one correspondence between the robot arm and the chambers, i.e., right robot arm can load only the right side of the tandem chamber, here any arm may load any side of the tandem chamber.
  • In the example of FIG. 12, five chambers, 1201, 1203, 1205, 1207 and 1209 are mounted onto the transport chamber 1232. Each of chambers 1201, 1203, 1205, forms a tandem chamber configured for processing two substrates simultaneously. Chambers 1201 and 1205 are shown with the top cover on, while chamber 1203 is shown with the top cover removed. One advantage of the innovative mainframe is that the pitch, i.e., the center-to-center distance, for each tandem processing chamber need not match to the others. For example, the pitch in chamber 1205 shown as distance X need not be the same as the pitch in chamber 1203 shown as distance Y. Rather, each robot can be trained to know the center of each processing region of each chamber mounted onto the mainframe, so that each robot arm may deliver a wafer to any processing region and place it exactly in the center. Additionally, while in prior art systems a single valve must be provided for the tandem chamber and the loadlock, here, since the robot arms are independent, each process zone may have its own independent isolation valve, as shown by 1251 and 1253 for chamber 1201, or a single valve may be used, as shown by 1255 for chamber 1203.
  • One advantage of using a tandem chamber is the ability to share resources between each two tandem processing zones. For example, the two processing zones of chamber 1201 share process gas source 1210 and vacuum pump 1212. That is, while each processing zone has its own gas dispensing mechanism 1214, 1216, e.g., a showerhead and related elements, the gas dispensing mechanisms of the two processing zones are coupled to the same gas source 1210, e.g., gas stick. The vacuum pump 1212 can be connected to an exhaust manifold that leads to both processing zones, thereby maintaining both zones at the same pressure. Other elements, e.g., RF source may also be common to both processing zones, or be provided separately to each zone.
  • Chambers 1207 and 1209 together form a hybrid single-tandem processing chambers. That is, each of chambers 1207 and 1209 is configured for processing a single wafer. However, some features of tandem processing chambers are implemented in this embodiment. For example, process gas supply 1211 and vacuum pumping 1213 may be common to both chambers. Source and bias energy may be supplied from the same or separate power sources. Optionally, keys 1202 are provided so that the two chambers are aligned while mounted onto the mainframe and function as a regular tandem chamber, but without the complexity and costs of fabricating the larger tandem processing chamber.
  • FIG. 13 illustrates yet another example of the innovative mainframe having two tandem chambers 1301 and 1305, two independent single- wafer chambers 1303 and 1304, and one hybrid single-tandem chamber comprising chambers 1307 and 1309. That is, since using the innovative mainframe 1332 wherein the robots 1341 and 1343 are independent obviate the need to ensure that the pitch is the same for all chambers, here one may mix tandem chambers having the same or different pitch, and single-wafer chambers. Since robots 1341 and 1343 can pass over each other, they can load each of the tandem chambers simultaneously. Also, they can load each of the single-wafer chambers independently or concurrently, thus having the throughput of a tandem chamber arrangement without the need to utilize complex tandem chamber.
  • Another feature illustrated in FIG. 13 is the use of a single central isolation valve 1357, for loading a tandem chamber 1305. As can be seen, the valve 1357 is sized to allow passage of only a single wafer. However, two wafers are loaded into the tandem chamber 1305 as shown by the curved arrows. This cannot be done in the prior art systems.
  • FIG. 14 illustrates another example, where different types of processing chambers are attached to the linear transport chamber 1432. In this example, a multiple-wafer processing chamber 1405, a triple-tandem chamber 1401, a single chamber 1404, and a hybrid single- tandem chambers 1407 and 1409 are attached to the innovative mainframe. Chamber 1405 may be a conventional-batch processing chamber, e.g., a thermal or plasma enhanced CVD chamber, having four wafer stations, i.e., four circularly-arranged processing regions defined therein. The stations may be loaded one or two at a time. Single chamber 1404 may be a single substrate processing chamber or a stacked-multiple wafers cooling station. For example, it may be a multiple, e.g., 25, wafer stacked cooling station. Further, since in this invention the robot arms are independent, tandem processing is not limited to two wafers at a time. In this example a triple-substrate tandem processing chamber is shown, enabling concurrent processing of three wafers. While here only two arms are shown, requiring a second trip of one arm for completely loading chamber 1401, an arrangement having more than two arms may be used, as illustrated in FIG. 15. Another optional feature illustrate in FIG. 14 is the use of frog-leg, generally referred to as SCARA (Selective Compliance Assembly Robot Arm), robot arms 1441 and 1443, which ride on the linear rail as in the other embodiments of the invention.
  • The embodiment of FIG. 14 also utilizes a tandem-stack loadlock chamber 1435, i.e., having two stacks of wafers side by side. While loadlock 1435 may be a conventional tandem loadlock, the innovative mainframe enables loadlock with features not available previously. For example, while the loadlock is tandem, it may be formed of two separate chambers, having partition 1438. Then, two isolation gates 1437 and 1439 may be provided, one for each of the tandem wafers. Using such an arrangement, unlike the prior art where only a single gate may be used so that both sides of the tandem loadloak are opened together, here each side may be opened or closed independently of the other. In this way, if the robots load two wafers simultaneously, both isolation valves may be opened. However, if a single wafer is loaded, only a single isolation gate needs to be opened.
  • FIG. 15 illustrates another example, wherein the innovative mainframe is utilized for high throughput processing of substrates. This arrangement is beneficial for repeated substrate processing at high throughput, such as, for example, processing of substrates for fabrication of solar cells. In this example two linear rail 1543 and 1543′ are situated inside transport chamber 1532, each of which supports two linear robot arms 1541. In one example the robot arm on linear track 1543 serve the processing chambers 1501 on the left side of the transport chamber 1532, while the other robot arms serve the chambers on the other side. However, the robot arms can be constructed to serve chambers on either side of the transport chamber 1532.
  • Another optional feature of the example of FIG. 15 is the provision of two loadlocks. Loadlock 1535 is used to load substrates for processing, while loadlock 1537 is used for unloading substrates after completion of processing. While in this example tandem loadlocks are illustrated, it should be appreciated that a single substrate or stack loadlock may be utilized as well. By having an unloading loadlock at the opposing side to the loading loadlock, if needed another system may be coupled directly to the unloading loadlock, as shown by the broken line silhouette. In this manner the system may be made modular to accommodate various number of processing chambers as required by the particular situation.
  • According to another embodiment of the invention, the innovative mainframe is stacked. As shown in FIG. 16, an upper linear transport chamber 1633 is situated above lower linear transport chamber 1632. Each linear transport chamber has a plurality of openings 1601 with appropriate mounting arrangement for connecting processing chambers. An elevator 1662 moves substrates between the lower and upper linear transport chambers. In this particular example, substrates are loaded from load chamber 1671 and removed via unload chamber 1673; however, if desired, another elevator may be provided at the front of the system as well, so that chambers are loaded and unloaded at the same level.
  • FIG. 17 illustrates an example of the innovative mainframe system wherein induced current is used to provide motive power to the robot arms. This example is similar to that shown in FIG. 7D, with one main difference. Specifically, in the previous embodiments magnetic forces were used to impart linear and rotational motion to the robot arm; however, in this embodiment induced current is used to supply the motive power. For example, the robot arm assembly may include stepper motors for rotation, linear or both rotation and linear motion. In this embodiment, in order to avoid having any electrical wiring in the evacuated portion of the transport chamber, the stepper motors are energized using induced current. Each of the stepper motors is coupled to conductive coils, e.g., coils 48, situated inside the vacuum environment. A driving coil 50 is situated outside the vacuum environment in a position opposing the coil 48. When a stepper motor needs to be energized, current is flowed in the proper coil 50, which induces current in the corresponding coil 48, to thereby energize the motor.
  • Although the chambers have been described as under vacuum conditions, in fact in some instances there can be benefits to including certain gases or other fluids in the contained areas. Accordingly the term vacuum as used herein should also be interpreted as a self contained environment as to encompass special gases for example that may be employed in the total system.
  • In FIG. 1, the cluster tool includes 7 processing chambers. In FIG. 9 the disclosed system includes 8 processing chambers. The total footprint of the tool in FIG. 1 with peripherals is approximately 38 m2. The total footprint of the tool in FIG. 9 (with an additional processing chamber and peripherals) is 23 m2. Thus the footprint for a system with more chambers is considerably less if a linear arrangement in accordance with this invention is employed. In large measure this improvement is achieved through the use of an improved feed system illustrated as transport chamber 32 in FIG. 9 as compared to the use of central sections as is done with the system of the type shown in FIG. 1.
  • The linear architecture of the present invention is extremely flexible and lends itself to multiple substrate sizes and shapes. Wafers used into the fabrication of semiconductors are typically round and about 200 or 300 mm in diameter. The semiconductor industry is always trying to get more devices per wafer and has steadily moved to larger and larger wafer sizes from 75 mm, 100 mm, 200 mm to 300 mm and there is an on going effort to look at moving to 450 mm diameter wafers. Due to the unique architecture the floor space required in the clean room wafer fab would not grow as large as it would with a typical cluster tool with the processes located on the circumference.
  • Further if it is desired to increase the size of the cluster tool type (FIG. 1) to increase output, the add on to the total measurements is to a raised power; whereas, an increase in size of the system described in this application is in a single direction, i.e., length, with the width of the system staying the same. In similar processes, such as an aluminum process, throughput for the same period of time using the system of the type illustrated in FIG. 9, which occupies less space than the equipment shown in FIG. 1, the equipment of FIG. 9 produces almost twice as many wafers (in quick calculations about 170%) as does a system like that of FIG. 1. Thus there is a considerable improvement in wafer output per a measured clean room area using the system disclosed as compared to prior art units. Obviously this achieves an objective of reducing costs in the manufacture of wafers.
  • The design of this equipment is not limited to circular substrates. A cluster tool that moves wafers in paths described by arcs is particularly disadvantaged if the substrates are rectangular as the tool would need to be sized to handle a circular substrate that inscribes the rectangular shape of the actual substrate; whereas, the linear tool need be no larger in any direction than what is required to pass the actual shape. For example, working with a 300 mm square substrate, a cluster tool would need to be sized to handle a 424 mm circular substrate while the linear tool need be no larger than that required for a 300 mm circular substrate.
  • Also the size of the transport chamber 32 need provide only that room required to move the substrate whether a wafer of some other member, along from the entrance chamber through and into processing chambers and from processing chambers out of the system. Thus the width of this chamber should be slightly larger than the size of the substrate to be processed. However, smaller members may be processed in the system, and may be processed together as a plurality in a substrate holder.
  • While this invention has been discussed in terms of exemplary embodiments of specific materials, and specific steps, it should be understood by those skilled in the art that variations of these specific examples may be made and/or used and that such structures and methods will follow from the understanding imparted by the practices described and illustrated as well as the discussions of operations as to facilitate modifications that may be made without departing from the scope of the invention defined by the appended claims.

Claims (20)

1. A substrate processing system, comprising:
an elongated substrate transfer chamber;
a linear track affixed inside the transfer chamber;
at least two robot arms linearly riding on the linear track;
at least one multiple-substrate processing chamber mounted onto the linear track, the multiple-substrate processing chamber having a plurality of processing regions defined therein;
wherein each of the robot arms is structure to load substrates onto any processing region of the multiple-substrate chamber.
2. The substrate processing system in accordance with claim 1, further comprising a single-stack loadlock chamber.
3. The substrate processing system in accordance with claim 1, further comprising a tandem-stack loadlock chamber.
4. The substrate processing system in accordance with claim 1, wherein the multiple-substrate chamber comprises a single chamber body having a plurality of linearly-aligned processing regions defined therein.
5. The substrate processing system in accordance with claim 1, wherein the multiple-substrate chamber comprises two chamber bodies mounted in alignment with each other, each having a single processing region defined therein, and wherein the two chamber bodies share at least one of processing gas supply or vacuum pump.
6. The substrate processing system in accordance with claim 1, wherein the multiple-substrate chamber comprises a single chamber body having a plurality of circularly-arranged processing regions defined therein.
7. The substrate processing system in accordance with claim 1, wherein at least one of the robot arms comprises a SCARA robot arm.
8. The substrate processing system in accordance with claim 1, further comprising an unloading loadlock coupled to the linear track at opposite side to the loadlock.
9. The substrate processing system in accordance with claim 8, wherein the unloading loadlock is configured to be mounted to two linear transport chambers simultaneously.
10. The substrate processing system in accordance with claim 1, further comprising a second linear track having at least one robot arm linearly riding thereupon.
11. The substrate processing system in accordance with claim 1, further comprising a multiple-substrate cooling station mounted onto the linear transfer chamber.
12. The substrate processing system in accordance with claim 1, further comprising an upper liner at transfer chamber mounted above the transfer chamber, and an elevator coupled to the linear transfer chamber and the upper transfer chamber and configured to transfer substrated therebetween.
13. A substrate processing system, comprising:
a loadlock chamber;
an elongated substrate transfer chamber having an evacuated section and coupled to the loadlock chamber;
a linear track affixed to the transfer chamber within the evacuated section;
at least one robot arm riding on the linear track;
a magnetic coupler arrangement providing motive power to the robot arm;
a speed reducer for reducing motion speed imparted onto the robot arm by the motive force;
a plurality of processing chambers mounted onto at least one side of the transfer chamber.
14. The substrate processing system in accordance with claim 13, wherein at least one of the processing chambers is a multiple-substrate processing chamber.
15. The substrate processing system in accordance with claim 13, further comprising a second linear track affixed to the transfer chamber within the evacuated section and having at least one robot arm riding thereon.
16. The substrate processing system in accordance with claim 13, further comprising a linear motion encoder coupled to the robot arm.
17. The substrate processing system in accordance with claim 13, further comprising an unloading loadlock coupled to the linear track at opposite side to the loadlock.
18. The substrate processing system in accordance with claim 17, wherein the unloading loadlock is configured to be mounted to two linear transport chambers simultaneously.
19. The substrate processing system in accordance with claim 13, wherein at least two of the processing chambers share at least one of processing gas supply and vacuum pump.
20. The substrate processing system in accordance with claim 13, further comprising keys structured for alignment of two of the processing chambers.
US12/368,241 2006-09-19 2009-02-09 Apparatus and methods for transporting and processing substrates Active 2030-02-08 US9524896B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US12/368,241 US9524896B2 (en) 2006-09-19 2009-02-09 Apparatus and methods for transporting and processing substrates
US12/875,414 US8419341B2 (en) 2006-09-19 2010-09-03 Linear vacuum robot with Z motion and articulated arm
US13/286,186 US9691649B2 (en) 2006-09-19 2011-10-31 Linear vacuum robot with z motion and articulated arm
US13/861,693 US10204810B2 (en) 2006-09-19 2013-04-12 Linear vacuum robot with Z motion and articulated arm

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/523,101 US7901539B2 (en) 2006-09-19 2006-09-19 Apparatus and methods for transporting and processing substrates
US12/325,993 US8293066B2 (en) 2006-09-19 2008-12-01 Apparatus and methods for transporting and processing substrates
US12/368,241 US9524896B2 (en) 2006-09-19 2009-02-09 Apparatus and methods for transporting and processing substrates

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/523,101 Continuation-In-Part US7901539B2 (en) 2006-09-19 2006-09-19 Apparatus and methods for transporting and processing substrates

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/325,993 Continuation-In-Part US8293066B2 (en) 2006-09-19 2008-12-01 Apparatus and methods for transporting and processing substrates

Publications (2)

Publication Number Publication Date
US20090191030A1 true US20090191030A1 (en) 2009-07-30
US9524896B2 US9524896B2 (en) 2016-12-20

Family

ID=40899410

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/368,241 Active 2030-02-08 US9524896B2 (en) 2006-09-19 2009-02-09 Apparatus and methods for transporting and processing substrates

Country Status (1)

Country Link
US (1) US9524896B2 (en)

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080073577A1 (en) * 2006-09-27 2008-03-27 Applied Materials, Inc. Substrate holding apparatus
US20090078374A1 (en) * 2006-09-19 2009-03-26 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US20100329827A1 (en) * 2006-09-19 2010-12-30 Gee Sun Hoey Linear vacuum robot with z motion and articulated arm
US20110158773A1 (en) * 2006-09-19 2011-06-30 Intevac, Inc. Apparatus and methods for transporting and processing substrates
WO2011137050A1 (en) * 2010-04-27 2011-11-03 Alion, Inc. Rail systems and methods for installation and operation of photovoltaic arrays
WO2012047531A2 (en) * 2010-10-06 2012-04-12 Lam Research Corporation Substrate processing system with multiple processing devices deployed in shared ambient emvironment and associated methods
US20140054136A1 (en) * 2012-08-21 2014-02-27 Sinfonia Technology Co., Ltd. Conveying apparatus
TWI458612B (en) * 2009-11-10 2014-11-01 Intevac Inc Linear vacuum robot with z motion and articulated arm
US9343592B2 (en) 2010-08-03 2016-05-17 Alion Energy, Inc. Electrical interconnects for photovoltaic modules and methods thereof
US9352941B2 (en) 2012-03-20 2016-05-31 Alion Energy, Inc. Gantry crane vehicles and methods for photovoltaic arrays
US9453660B2 (en) 2013-09-11 2016-09-27 Alion Energy, Inc. Vehicles and methods for magnetically managing legs of rail-based photovoltaic modules during installation
US9502275B1 (en) * 2015-10-20 2016-11-22 Lam Research Corporation Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs
US9524896B2 (en) 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
CN106449466A (en) * 2015-08-11 2017-02-22 中微半导体设备(上海)有限公司 Substrate processing system
US20170062258A1 (en) * 2012-04-19 2017-03-02 Intevac, Inc. Wafer plate and mask arrangement for substrate fabrication
US9641123B2 (en) 2011-03-18 2017-05-02 Alion Energy, Inc. Systems for mounting photovoltaic modules
US9657967B2 (en) 2012-05-16 2017-05-23 Alion Energy, Inc. Rotatable support system for mounting one or more photovoltaic modules
US9948155B2 (en) 2013-11-13 2018-04-17 Brooks Automation, Inc. Sealed robot drive
WO2018075262A1 (en) * 2016-10-18 2018-04-26 Mattson Technology, Inc. Systems and methods for workpiece processing
US9988776B2 (en) 2015-09-11 2018-06-05 Alion Energy, Inc. Wind screens for photovoltaic arrays and methods thereof
US10122319B2 (en) 2013-09-05 2018-11-06 Alion Energy, Inc. Systems, vehicles, and methods for maintaining rail-based arrays of photovoltaic modules
US10348172B2 (en) 2013-11-13 2019-07-09 Brooks Automation, Inc. Sealed switched reluctance motor
US10453694B2 (en) 2011-03-01 2019-10-22 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US10468282B2 (en) 2011-03-01 2019-11-05 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
US10564221B2 (en) 2013-11-13 2020-02-18 Brooks Automation, Inc. Method and apparatus for brushless electrical machine control
US10566205B2 (en) 2012-02-29 2020-02-18 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
US10742092B2 (en) 2013-11-13 2020-08-11 Brooks Automation, Inc. Position feedback for sealed environments
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US11348767B2 (en) 2019-05-14 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Plasma processing apparatus having a focus ring adjustment assembly
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
US11923729B2 (en) 2022-08-02 2024-03-05 Brook Automation US, LLC Position feedback for sealed environments

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11565402B2 (en) 2020-03-09 2023-01-31 Applied Materials, Inc. Substrate transfer devices, systems and methods of use thereof

Citations (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US5227708A (en) * 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
US5293107A (en) * 1993-02-24 1994-03-08 Fanuc Robotics North America, Inc. Motorized rotary joint and method of constructing a modular robot utilizing same
US5584647A (en) * 1988-09-16 1996-12-17 Tokyo Ohka Kogyo Co., Ltd. Object handling devices
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6189482B1 (en) * 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
US6248176B1 (en) * 1997-07-11 2001-06-19 Applied Materials, Inc. Apparatus and method for delivering a gas
US6285102B1 (en) * 1999-04-02 2001-09-04 Tokyo Electron Limited Drive mechanism having a gas bearing operable under a negative pressure environment
US20010024611A1 (en) * 1997-12-15 2001-09-27 Woodruff Daniel J. Integrated tools with transfer devices for handling microelectronic workpieces
US6318951B1 (en) * 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US6440178B2 (en) * 1996-07-15 2002-08-27 Semitool, Inc. Modular semiconductor workpiece processing tool
US6446572B1 (en) * 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6468353B1 (en) * 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
US6672820B1 (en) * 1996-07-15 2004-01-06 Semitool, Inc. Semiconductor processing apparatus having linear conveyer system
US20040003828A1 (en) * 2002-03-21 2004-01-08 Jackson David P. Precision surface treatments using dense fluids and a plasma
US20040151562A1 (en) * 2002-07-22 2004-08-05 Christopher Hofmeister Substrate processing apparatus
US20050005847A1 (en) * 2002-01-08 2005-01-13 Tsutomu Hiroki Semiconductor processing system and semiconductor carrying mechanism
US20050014368A1 (en) * 2002-06-21 2005-01-20 Junichiro Yoshioka Substrate holder and plating apparatus
US20050087300A1 (en) * 2001-12-25 2005-04-28 Shigeru Ishizawa Processed body carrying device, and processing system with carrying device
US20050120578A1 (en) * 2003-11-10 2005-06-09 Blueshift Technologies, Inc. Methods and systems for handling a workpiece in vacuum-based material handling system
US20050218507A1 (en) * 2004-02-26 2005-10-06 Applied Materials, Inc. Lid assembly for front end of line fabrication
US20060045664A1 (en) * 2004-08-17 2006-03-02 Leszek Niewmierzycki Low cost high throughput processing platform
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
US20060102078A1 (en) * 2004-11-18 2006-05-18 Intevac Inc. Wafer fab
US20060182529A1 (en) * 2003-02-24 2006-08-17 Tokyo Electron Limited Transfer device and semiconductor processing system
US7293950B2 (en) * 2001-08-31 2007-11-13 Aysts Technologies, Inc. Universal modular wafer transport system
US20080066678A1 (en) * 2006-09-19 2008-03-20 Intevac Inc. Apparatus and methods for transporting and processing substrates
US20080073324A1 (en) * 2004-07-09 2008-03-27 Sekisui Chemical Co., Ltd. Method For Processing Outer Periphery Of Substrate And Apparatus Thereof
US20090078374A1 (en) * 2006-09-19 2009-03-26 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US7737035B1 (en) * 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20100329827A1 (en) * 2006-09-19 2010-12-30 Gee Sun Hoey Linear vacuum robot with z motion and articulated arm
US7905960B2 (en) * 2004-03-24 2011-03-15 Jusung Engineering Co., Ltd. Apparatus for manufacturing substrate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0649529B2 (en) 1986-11-28 1994-06-29 日本真空技術株式会社 Transfer method of objects in vacuum chamber
JPH0446781A (en) 1990-06-11 1992-02-17 Seiko Instr Inc Magnetic levitation type carrying robot in vacuum
JPH08119409A (en) 1994-10-27 1996-05-14 Tokyo Electron Ltd Centralized treating device
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
JP2003527737A (en) 1998-07-11 2003-09-16 セミトゥール・インコーポレイテッド Robot for handling microelectronic workpieces
KR100281119B1 (en) 1998-12-21 2001-03-02 김영환 Wafer loading device
WO2000041855A1 (en) 1999-01-15 2000-07-20 Asyst Technologies, Inc. Workpiece handling robot
JP2000216214A (en) 1999-01-22 2000-08-04 Kokusai Electric Co Ltd Substrate treatment device
JP2002066976A (en) 2000-08-28 2002-03-05 Assist Japan Kk Vacuum robot for carrying substrate
JP4660434B2 (en) 2006-07-21 2011-03-30 株式会社安川電機 Conveying mechanism and processing apparatus having the same
US9524896B2 (en) 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
KR100904392B1 (en) 2007-06-18 2009-06-26 세메스 주식회사 Apparatus for processing a substrate

Patent Citations (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5584647A (en) * 1988-09-16 1996-12-17 Tokyo Ohka Kogyo Co., Ltd. Object handling devices
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US5227708A (en) * 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
US5293107A (en) * 1993-02-24 1994-03-08 Fanuc Robotics North America, Inc. Motorized rotary joint and method of constructing a modular robot utilizing same
US6440178B2 (en) * 1996-07-15 2002-08-27 Semitool, Inc. Modular semiconductor workpiece processing tool
US6672820B1 (en) * 1996-07-15 2004-01-06 Semitool, Inc. Semiconductor processing apparatus having linear conveyer system
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US6189482B1 (en) * 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
US6468353B1 (en) * 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
US6248176B1 (en) * 1997-07-11 2001-06-19 Applied Materials, Inc. Apparatus and method for delivering a gas
US20010024611A1 (en) * 1997-12-15 2001-09-27 Woodruff Daniel J. Integrated tools with transfer devices for handling microelectronic workpieces
US6285102B1 (en) * 1999-04-02 2001-09-04 Tokyo Electron Limited Drive mechanism having a gas bearing operable under a negative pressure environment
US6318951B1 (en) * 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
US6446572B1 (en) * 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US7293950B2 (en) * 2001-08-31 2007-11-13 Aysts Technologies, Inc. Universal modular wafer transport system
US7841820B2 (en) * 2001-08-31 2010-11-30 Crossing Automation, Inc. Universal modular wafer transport system
US20050087300A1 (en) * 2001-12-25 2005-04-28 Shigeru Ishizawa Processed body carrying device, and processing system with carrying device
US20050005847A1 (en) * 2002-01-08 2005-01-13 Tsutomu Hiroki Semiconductor processing system and semiconductor carrying mechanism
US20040003828A1 (en) * 2002-03-21 2004-01-08 Jackson David P. Precision surface treatments using dense fluids and a plasma
US20050014368A1 (en) * 2002-06-21 2005-01-20 Junichiro Yoshioka Substrate holder and plating apparatus
US20040151562A1 (en) * 2002-07-22 2004-08-05 Christopher Hofmeister Substrate processing apparatus
US20060182529A1 (en) * 2003-02-24 2006-08-17 Tokyo Electron Limited Transfer device and semiconductor processing system
US20050120578A1 (en) * 2003-11-10 2005-06-09 Blueshift Technologies, Inc. Methods and systems for handling a workpiece in vacuum-based material handling system
US20050218507A1 (en) * 2004-02-26 2005-10-06 Applied Materials, Inc. Lid assembly for front end of line fabrication
US7905960B2 (en) * 2004-03-24 2011-03-15 Jusung Engineering Co., Ltd. Apparatus for manufacturing substrate
US20080073324A1 (en) * 2004-07-09 2008-03-27 Sekisui Chemical Co., Ltd. Method For Processing Outer Periphery Of Substrate And Apparatus Thereof
US20060045664A1 (en) * 2004-08-17 2006-03-02 Leszek Niewmierzycki Low cost high throughput processing platform
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
US20060102078A1 (en) * 2004-11-18 2006-05-18 Intevac Inc. Wafer fab
US7737035B1 (en) * 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20090078374A1 (en) * 2006-09-19 2009-03-26 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US20100329827A1 (en) * 2006-09-19 2010-12-30 Gee Sun Hoey Linear vacuum robot with z motion and articulated arm
US7901539B2 (en) * 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US20080066678A1 (en) * 2006-09-19 2008-03-20 Intevac Inc. Apparatus and methods for transporting and processing substrates
US20110158773A1 (en) * 2006-09-19 2011-06-30 Intevac, Inc. Apparatus and methods for transporting and processing substrates

Cited By (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8293066B2 (en) 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US9524896B2 (en) 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US20100329827A1 (en) * 2006-09-19 2010-12-30 Gee Sun Hoey Linear vacuum robot with z motion and articulated arm
US10204810B2 (en) 2006-09-19 2019-02-12 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US8303764B2 (en) 2006-09-19 2012-11-06 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
US20090078374A1 (en) * 2006-09-19 2009-03-26 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US9691649B2 (en) 2006-09-19 2017-06-27 Brooks Automation, Inc. Linear vacuum robot with z motion and articulated arm
US20110158773A1 (en) * 2006-09-19 2011-06-30 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US7777203B2 (en) * 2006-09-27 2010-08-17 Applied Materials, Inc. Substrate holding apparatus
US20080073577A1 (en) * 2006-09-27 2008-03-27 Applied Materials, Inc. Substrate holding apparatus
TWI458612B (en) * 2009-11-10 2014-11-01 Intevac Inc Linear vacuum robot with z motion and articulated arm
WO2011137050A1 (en) * 2010-04-27 2011-11-03 Alion, Inc. Rail systems and methods for installation and operation of photovoltaic arrays
US9462734B2 (en) 2010-04-27 2016-10-04 Alion Energy, Inc. Rail systems and methods for installation and operation of photovoltaic arrays
US9655292B2 (en) 2010-04-27 2017-05-16 Alion Energy, Inc. Methods of making photovoltaic arrays and rail systems
US9343592B2 (en) 2010-08-03 2016-05-17 Alion Energy, Inc. Electrical interconnects for photovoltaic modules and methods thereof
WO2012047531A2 (en) * 2010-10-06 2012-04-12 Lam Research Corporation Substrate processing system with multiple processing devices deployed in shared ambient emvironment and associated methods
WO2012047531A3 (en) * 2010-10-06 2012-06-28 Lam Research Corporation Substrate processing system with multiple processing devices deployed in shared ambient emvironment and associated methods
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US11177136B2 (en) 2011-03-01 2021-11-16 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US10468282B2 (en) 2011-03-01 2019-11-05 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
US10453694B2 (en) 2011-03-01 2019-10-22 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US11574831B2 (en) 2011-03-01 2023-02-07 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
US9641123B2 (en) 2011-03-18 2017-05-02 Alion Energy, Inc. Systems for mounting photovoltaic modules
US10943788B2 (en) 2012-02-29 2021-03-09 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
US10566205B2 (en) 2012-02-29 2020-02-18 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
US9352941B2 (en) 2012-03-20 2016-05-31 Alion Energy, Inc. Gantry crane vehicles and methods for photovoltaic arrays
US10679883B2 (en) * 2012-04-19 2020-06-09 Intevac, Inc. Wafer plate and mask arrangement for substrate fabrication
US20170062258A1 (en) * 2012-04-19 2017-03-02 Intevac, Inc. Wafer plate and mask arrangement for substrate fabrication
US9657967B2 (en) 2012-05-16 2017-05-23 Alion Energy, Inc. Rotatable support system for mounting one or more photovoltaic modules
US9156632B2 (en) * 2012-08-21 2015-10-13 Sinfonia Technology Co., Ltd. Conveying apparatus
US20140054136A1 (en) * 2012-08-21 2014-02-27 Sinfonia Technology Co., Ltd. Conveying apparatus
US10122319B2 (en) 2013-09-05 2018-11-06 Alion Energy, Inc. Systems, vehicles, and methods for maintaining rail-based arrays of photovoltaic modules
US9453660B2 (en) 2013-09-11 2016-09-27 Alion Energy, Inc. Vehicles and methods for magnetically managing legs of rail-based photovoltaic modules during installation
US9937846B2 (en) 2013-09-11 2018-04-10 Alion Energy, Inc. Vehicles and methods for magnetically managing legs of rail-based photovoltaic modules during installation
US10742092B2 (en) 2013-11-13 2020-08-11 Brooks Automation, Inc. Position feedback for sealed environments
US10468936B2 (en) 2013-11-13 2019-11-05 Brooks Automation, Inc. Sealed robot drive
US10564221B2 (en) 2013-11-13 2020-02-18 Brooks Automation, Inc. Method and apparatus for brushless electrical machine control
US11821953B2 (en) 2013-11-13 2023-11-21 Brooks Automation Us, Llc Method and apparatus for brushless electrical machine control
US9948155B2 (en) 2013-11-13 2018-04-17 Brooks Automation, Inc. Sealed robot drive
US11404939B2 (en) 2013-11-13 2022-08-02 Brooks Automation, US LLC Position feedback for sealed environments
US11444521B2 (en) 2013-11-13 2022-09-13 Brooks Automation Us, Llc Sealed switched reluctance motor
US11799346B2 (en) 2013-11-13 2023-10-24 Brooks Automation Us, Llc Sealed robot drive
US10348172B2 (en) 2013-11-13 2019-07-09 Brooks Automation, Inc. Sealed switched reluctance motor
US11181582B2 (en) 2013-11-13 2021-11-23 Brooks Automation, Inc. Method and apparatus for brushless electrical machine control
CN106449466A (en) * 2015-08-11 2017-02-22 中微半导体设备(上海)有限公司 Substrate processing system
US9988776B2 (en) 2015-09-11 2018-06-05 Alion Energy, Inc. Wind screens for photovoltaic arrays and methods thereof
US20170110350A1 (en) * 2015-10-20 2017-04-20 Lam Research Corporation Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs
US9502275B1 (en) * 2015-10-20 2016-11-22 Lam Research Corporation Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs
US9929028B2 (en) * 2015-10-20 2018-03-27 Lam Research Corporation Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs
TWI762518B (en) * 2016-10-18 2022-05-01 美商得昇科技股份有限公司 Systems and methods for workpiece processing
US11257696B2 (en) 2016-10-18 2022-02-22 Mattson Technology, Inc. Systems and methods for workpiece processing
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
US10580672B2 (en) 2016-10-18 2020-03-03 Mattson Technology, Inc. Systems and methods for workpiece processing
WO2018075262A1 (en) * 2016-10-18 2018-04-26 Mattson Technology, Inc. Systems and methods for workpiece processing
US11348767B2 (en) 2019-05-14 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Plasma processing apparatus having a focus ring adjustment assembly
US11508560B2 (en) 2019-05-14 2022-11-22 Beijing E-Town Semiconductor Technology Co., Ltd Focus ring adjustment assembly of a system for processing workpieces under vacuum
US11515127B2 (en) 2019-05-14 2022-11-29 Beijing E-Town Semiconductor Technology Co., Ltd End effectors for moving workpieces and replaceable parts within a system for processing workpieces under vacuum
US11923729B2 (en) 2022-08-02 2024-03-05 Brook Automation US, LLC Position feedback for sealed environments
US11923215B2 (en) 2022-10-19 2024-03-05 Beijing E-town Semiconductor Technology Co., Ltd. Systems and methods for workpiece processing

Also Published As

Publication number Publication date
US9524896B2 (en) 2016-12-20

Similar Documents

Publication Publication Date Title
US9524896B2 (en) Apparatus and methods for transporting and processing substrates
US10204810B2 (en) Linear vacuum robot with Z motion and articulated arm
US8293066B2 (en) Apparatus and methods for transporting and processing substrates
US7901539B2 (en) Apparatus and methods for transporting and processing substrates
US20100147396A1 (en) Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US20060137609A1 (en) Multi-single wafer processing apparatus
TWI394224B (en) Apparatus and methods for transporting and processing substrates
US9177842B2 (en) Degassing apparatus adapted to process substrates in multiple tiers with second actuator
JP2008520837A (en) Wafer fab
JP2008516457A (en) Substrate processing equipment
JP5984036B2 (en) A linear vacuum robot with z-motion and multi-joint arm
JP5247094B2 (en) Substrate processing system
JP5388279B2 (en) Substrate transfer processing apparatus and method
US20090162170A1 (en) Tandem type semiconductor-processing apparatus
KR101718540B1 (en) Apparatus and methods for transporting and processing substrates
EP2187433B1 (en) Apparatus and method for transporting and processing substrates
TWI488247B (en) Apparatus and method for transporting and processing substrates
JP5578539B2 (en) Substrate transfer processing apparatus and method
KR20110049751A (en) Apparatus and methods for transporting and processing substrates
KR20100052321A (en) Apparatus and methods for transporting and processing substrates

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTEVAC, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BLUCK, TERRY;FAIRBAIRN, KEVIN P.;BARNES, MICHAEL S.;AND OTHERS;SIGNING DATES FROM 20090213 TO 20090223;REEL/FRAME:022539/0304

Owner name: INTEVAC, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BLUCK, TERRY;FAIRBAIRN, KEVIN P.;BARNES, MICHAEL S.;AND OTHERS;REEL/FRAME:022539/0304;SIGNING DATES FROM 20090213 TO 20090223

AS Assignment

Owner name: BROOKS AUTOMATION, INC., MASSACHUSETTS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTEVAC, INC.;REEL/FRAME:027555/0518

Effective date: 20120105

AS Assignment

Owner name: WELLS FARGO BANK, NATIONAL ASSOCIATION, MASSACHUSETTS

Free format text: SECURITY AGREEMENT;ASSIGNORS:BROOKS AUTOMATION, INC.;BIOSTORAGE TECHNOLOGIES;REEL/FRAME:038891/0765

Effective date: 20160526

Owner name: WELLS FARGO BANK, NATIONAL ASSOCIATION, MASSACHUSE

Free format text: SECURITY AGREEMENT;ASSIGNORS:BROOKS AUTOMATION, INC.;BIOSTORAGE TECHNOLOGIES;REEL/FRAME:038891/0765

Effective date: 20160526

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., MARYLAND

Free format text: SECURITY INTEREST;ASSIGNORS:BROOKS AUTOMATION, INC.;BIOSTORAGE TECHNOLOGIES, INC.;REEL/FRAME:044142/0258

Effective date: 20171004

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

AS Assignment

Owner name: BROOKS AUTOMATION US, LLC, MASSACHUSETTS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:BROOKS AUTOMATION HOLDING, LLC;REEL/FRAME:058482/0001

Effective date: 20211001

Owner name: BROOKS AUTOMATION HOLDING, LLC, MASSACHUSETTS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:BROOKS AUTOMATION,INC;REEL/FRAME:058481/0740

Effective date: 20211001

AS Assignment

Owner name: GOLDMAN SACHS BANK USA, NEW YORK

Free format text: SECOND LIEN PATENT SECURITY AGREEMENT;ASSIGNOR:BROOKS AUTOMATION US, LLC;REEL/FRAME:058945/0748

Effective date: 20220201

Owner name: BARCLAYS BANK PLC, UNITED KINGDOM

Free format text: FIRST LIEN PATENT SECURITY AGREEMENT;ASSIGNOR:BROOKS AUTOMATION US, LLC;REEL/FRAME:058950/0146

Effective date: 20220201