US20090192753A1 - Apparatus and method for testing electronic systems - Google Patents

Apparatus and method for testing electronic systems Download PDF

Info

Publication number
US20090192753A1
US20090192753A1 US12/401,357 US40135709A US2009192753A1 US 20090192753 A1 US20090192753 A1 US 20090192753A1 US 40135709 A US40135709 A US 40135709A US 2009192753 A1 US2009192753 A1 US 2009192753A1
Authority
US
United States
Prior art keywords
test
signals
behavior
circuits
capturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/401,357
Inventor
Peter C. Salmon
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/401,357 priority Critical patent/US20090192753A1/en
Publication of US20090192753A1 publication Critical patent/US20090192753A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31727Clock circuits aspects, e.g. test clock circuit details, timing aspects for signal generation, circuits for testing clocks
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31724Test controller, e.g. BIST state machine
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3187Built-in tests

Definitions

  • This invention relates to apparatus and method for testing electronic systems, and more particularly to apparatus and method for functionally testing electronic systems at clock rates of 1 GHz and higher.
  • test fixture between the device under test, DUT, and the tester.
  • the test fixture normally includes a switch matrix for connecting tester pins to DUT pins, and a collection of driver and receiver circuits, switches and relays that are commonly referred to as the “pin electronics” of the tester.
  • AOI Automatic optical inspection
  • AXI Automated X-ray inspection
  • ICT In circuit test, ICT, is often employed to measure values of discrete components, and to find open and short circuits.
  • the fixture for ICT is typically a grid of electronic probes (or “bed of nails”) that make contact with test points designed into the board assembly.
  • the maximum number of nodes that can be tested using ICT is currently around 7,000.
  • Complex system boards may have 10,000 nodes and upward.
  • Boundary scan is a test method commonly used to check that all components are present and properly oriented.
  • Boundary scan methods add logic and control signals to each component, internal blocks are chained together, and access to internal nodes is greatly improved.
  • a board functional test is typically required. Normally, a test fixture is used to connect system nodes to tester nodes. With the system under power, stimulus vectors are applied while system outputs are measured for the correct values. A lot of effort is required to determine the stimulus vectors and the correct system responses, and the effort increases as system complexity increases. For some complex systems this approach is abandoned because the time and effort are judged to be too great. In these cases, less rigorous testing may be accomplished using a “hot mockup” which is a version of the end-user system, or by using system equivalency tests. These methods are weak in terms of diagnosing problems, because only system level results are accessible.
  • Recent test fixtures have included expensive GaAs circuits for increased switching speed and electro-optical receivers for isolation between test circuits. Despite these efforts, some systems cannot be tested at full speed and others have to compromise on test coverage to keep the test time within reasonable bounds.
  • BIST Built in self test
  • the proposed test method tester on board (TOB) performs the system functional test with most of the tester functionality implemented on an IC test chip that is placed on the system board.
  • the test chip is connected to system nodes using the interconnection method of the system board; preferably the components are assembled as bare die using flip chip assembly methods. Since in circuit tests and boundary scans are typically low speed tests employing simple test hardware, they are preferably provided by a test support computer that includes such hardware, without involving the IC test chip.
  • the number of bits in the word corresponds to the number of digital bits sampled.
  • Analog test signals are preferably converted from analog to digital format; the number of bits in each analog test vector is calculated as the number of analog signals sampled times the number of digitized bits per sample.
  • RF test signals may be down-converted to a suitable intermediate frequency, then demodulated and digitized. The number of bits in each RF test vector is equal to the number of RF signals sampled times the number of digitized bits per sample.
  • the test system includes a learn mode and a test mode.
  • the learn mode allows test vectors generated during selected cycles of system behavior to be automatically accumulated in memory. These vectors can be created by a reference system that is hopefully fully functional, or close to fully functional.
  • the cycles selected are test cycles; they capture critical system behaviors or responses. Eliminating redundant or unnecessary test cycles has the benefit of reducing the required amount of memory on the test chip.
  • a “1” in the mask memory represents a selected cycle for which verification is required (a test cycle); a “0” represents an unselected cycle for which verification is not required. Typically, only a small percentage of total system cycles are required to be test cycles in order to reliably validate system behavior.
  • test vectors become proven test vectors; they can be loaded into reference memories for comparison with observed behavior of a system under test (SUT).
  • SUT system under test
  • a test support computer stores a master copy of the test program, a master copy of the test mask memory, and a complete set of proven test vectors. These are loaded into the appropriate memories before testing commences.
  • a test is performed by running the test program and comparing test vectors generated by the SUT against the proven test vectors. Any mismatch raises a flag which is reported at a given test cycle count.
  • the test result is passed to the test support computer which diagnoses potential causes of any flagged mismatches, and displays recommendations to the test operator for reworking defective components in the system.
  • FIG. 1 is a high level block diagram of the test system of the current invention, showing primary data and control flows;
  • FIG. 2 is a timing diagram showing representative test signal waveforms for both a passing and a failing test event.
  • FIG. 1 shows a high-level block diagram of a test apparatus of the current invention including a test chip 1 and a test support computer 2 connected to the system under test (SUT) 3 .
  • SUT 3 includes digital circuits 4 , analog circuits 5 , and RF circuits 6 .
  • System access port 7 is preferably a high-density connection between SUT 3 and test support computer 2 . This port is preferably used to validate the interconnection circuits of the SUT using continuity-testing circuits typically provided on a plug-in board within test support computer 2 . Similarly, another plug-in board within test support computer 2 may include circuits for testing boundary scan circuits 8 of SUT 3 .
  • Plug-in boards can be used for these tests because the clock rates are typically slower than for functional testing; the test hardware is less demanding and is typically available as a commercial-off-the-shelf (COTS) item. Also, connecting wires or cables can be tolerated at the lower test frequencies.
  • COTS commercial-off-the-shelf
  • the continuity and boundary scan tests validate the integrity of the conductive traces and also the placement and orientation of components mounted on the system board. The rest of this description will focus on the more challenging task of functionally testing the SUT at clock rates of 1 GHz and higher.
  • Digital input bus 10 is a collection of digital signals bussed to input pads and input buffers (not shown) of test chip 1 .
  • analog input bus 11 is a collection of analog signals bussed to test chip 1 .
  • RF inputs 12 are collected at one or more antenna sites on the SUT as shown.
  • signals of each type are routed in a manner that protects them from electrical noise sources in the system, typically by providing spatial separation between circuit blocks of different types, and among the signals of a given type.
  • Timing circuits 13 on the SUT provide a timing reference (TREF) 14 .
  • TREF 14 may be a digital system clock, with one system cycle represented by one period of the system clock. This is the most common type of system and for the purpose of illustration this is the type of system discussed herein. However, asynchronous designs and other timing methods are possible and are included within the scope of the present invention.
  • TREF 14 is an input to cycle counter 15 which increments every system cycle, and provides a pointer (CCNT) 16 to every system cycle within a test program.
  • CCNT pointer
  • an application program that would run in system processor 17 is augmented with additional instructions to produce a test program.
  • the application software is typically written in a high level language; both the software and the program language are usually familiar to the system developers.
  • the program is written to thoroughly exercise all of the features and components of the system. It is augmented with additional instructions that generate a test enable signal (TSTEN) 18 to highlight critical system cycles whenever it is judged that system behavior should be captured and tested.
  • TSTEN test enable signal
  • An example of a critical system cycle would be an instruction to read a register in a system component containing a digital variable that has just been calculated or has otherwise just changed to reflect a new result.
  • the selected cycles are combined with TREF 14 to produce a mask memory 19 and also to generate test strobes such as TSTB 20 .
  • the mask memory is a serial memory that contains a 1 for system cycles that are selected as test cycles, and a 0 for all other cycles.
  • the sampling circuits may operate continuously, with only a few of the samples tested.
  • sample and test as a unified sequence that includes both sampling and testing of the sampled input. System behavior is only sampled and tested during test cycles, which are typically a small fraction, perhaps 1% of the total system cycles.
  • test strobe 20 is generated only during test cycles, and is referenced to TREF 14 .
  • This preliminary process for generating test vectors may be imprecise because the instruction used to generate TSTEN 18 typically occupies several system cycles. Consequently, too many 1s may be placed in mask memory. However, a test program developer can easily scan these sequences and cull the number of 1s to create an optimal set. This refinement of the mask memory is typically performed using software running on test support computer 2 .
  • a bi-directional bus interface 21 is provided between test support computer 2 and system processor 17 .
  • Interface 21 is used for general communications such as may be required for synchronization, and also for passing test programs and augmented test programs back and forth between SUT 3 and test support computer 2 .
  • Bi-directional interface 22 allows the mask image to be passed back and forth between mask memory 19 and test support computer 2 as it is successively refined and tested.
  • test support computer 2 Other interfaces to test support computer 2 include results bus 23 for reporting test results from the fail memories, and a bi-directional interface 24 to the reference memories.
  • the reference memories contain proven test vectors used for comparison with system outputs. As with the test program and the mask memory, it is desirable to pass the contents of the reference memories in and out of test support computer 2 during the process of refining them.
  • a core logic block 25 on test chip 1 includes a reference memory 26 , a digital comparator 27 , and a fail memory 28 .
  • Digital input bus 10 is sampled to form a test vector, DVIN, 29 at one input to comparator 27 .
  • the number of bits in test vector DVIN 29 corresponds to the number of digital bits sampled.
  • the other input to comparator 27 is provided by reference memory 26 in the form of a proven digital test vector, PDV 30 .
  • learn mode digital inputs 10 are sampled and captured in reference memory 26 . If the SUT is fully functional, then the learned test vectors will indicate correct system behavior. This method of learning the correct system behavior can eliminate a lot of work in generating and validating the test vectors by simulation or other means.
  • the learned test vectors are transferred to test support computer 2 where they are assembled and saved in a memory block comprising a full set of digital test vectors. For a given test cycle, if the compared vectors DVIN and PDV are the same, then no error flag is raised and no entries are written to fail memory 28 . Conversely, if the two vectors are not the same, comparator 27 will send an error flag for digital signals, DFLG, 31 to fail memory 28 . DFLG 31 will cause CCNT 16 to be saved in fail memory 28 as a pointer to a failed test cycle.
  • fail memory 28 It is preferable to store in fail memory 28 the value of CCNT when the failure occurred, plus all the bits of the comparison vector. At least one of these bits will be a zero to indicate that a failure occurred.
  • the location of 0's within the comparison vector may be used by diagnostic software hosted in test support computer 2 to help determine the specific failing component or components, to support subsequent recommendations to the test operator about which components need to be replaced.
  • test vector AVIN test vector
  • system behavior can be learned by loading sampled and digitized analog inputs into reference memory 36 and then reading the digital words into test support computer 2 , to create a memory block comprising a full set of analog test vectors.
  • reference memory 36 has been pre-loaded with known good or proven test vectors from test support computer 2 . If a digital comparison produces a mismatch between test vector AVIN 39 and proven test vector PAV 40 , error flag AFLG 41 for analog signals is sent to fail memory 37 .
  • the same core logic block 25 is used in the test path for radio frequency inputs 12 , enumerated as digital comparator 45 , reference memory 46 , and fail memory 47 .
  • An RF converter, RFC down-converts each RF signal to a suitable intermediate frequency, IF, and demodulates the signal.
  • the parallel stream of demodulated signals 49 is digitized by analog to digital converter, ADC, 50 , and the digitized words are concatenated to form test vector, RVIN, 51 .
  • reference memory 46 has been pre-loaded with known good or proven test vectors from test support computer 2 . If a digital comparison produces a mismatch between test vector RVIN 51 and proven test vector PRV 52 , error flag RFLG 53 for RF signals is sent to fail memory 47 .
  • FIG. 2 shows representative timing of both a passing test event [designated “(A)”] and a failing test event [designated “(B)”]. Waveforms are presented with voltage on the vertical axis and time on the horizontal axis. The timings are shown for a digital input signal and represent just one possible example of how the various edges of TREF could be used to generate test control signals. Since in the preferred embodiment analog and RF signals are converted to digital signals in test chip 1 prior to the comparison event, a similar timing diagram would apply for those signal types as well.
  • TREF 14 is the timing reference previously discussed. It has a system cycle time, T, 55 as shown.
  • TSTB 20 is the test strobe previously discussed.
  • DVIN i 60 is one bit of a digital test vector that has been sampled from digital input bus 10 .
  • PDV i 61 is the corresponding bit of a known good or proven test vector for comparison with DVIN i 60 .
  • DFLG i 62 is the flag used to indicate a failure in bit i of the comparison word, and CCNT 16 is the cycle count. CCNT 16 increments for every system cycle T, 55 .
  • Sequence (A) in FIG. 2 is for a passing test event.
  • a rising edge 63 of TREF causes transition 64 in DVIN i , because the sampled digital signal is high during this test cycle.
  • the compared value PDV i also transitions 65 to a high because the corresponding ith bit of the proven test vector in reference memory 26 is high.
  • CCNT also switches, 66 , in response to rising edge 63 of TREF.
  • the following trailing edge of TREF 67 causes a positive transition 68 in TSTB as shown.
  • TSTB activates the comparison of level 70 of DVIN i with level 71 of PDV i . Since the compared levels are the same, indicating correct system behavior, DFLG i remains low 72 , and the corresponding value of CCNT is not saved in fail memory 28 .
  • sequence (B) in FIG. 2 is for a failing test event.
  • the waveforms are similar to those depicted for sequence (A) except for DVIN i and DFLG i
  • the sampled test vector bit DVIN i is low when it should be high.
  • the compared levels 80 of DVIN i and 81 of PDV i are not the same.

Abstract

The technology and economics of system testing have evolved to the point where a radical change in methodology is needed for effective functional testing of systems at clock rates of 1 GHz and higher. Rather than providing a test fixture to interface between the system under test and an external tester, it is preferable to provide critical testing functions within each electronic system in the form of one or more special-purpose test chips. An architecture is proposed that supports full-speed testing with improved noise margins, and also efficient methods for learning correct system behavior and generating the test vectors. The test program is preferably written using the same programming language as used for the system application.

Description

    RELATED APPLICATIONS
  • This application is a continuation of pending U.S. patent application Ser. No. 10/448,611 filed May 29, 2003, which claims priority to provisional Application Ser. No. 60/452,793 filed Mar. 7, 2003. These applications are each incorporated by reference herein.
  • BRIEF DESCRIPTION OF THE INVENTION
  • This invention relates to apparatus and method for testing electronic systems, and more particularly to apparatus and method for functionally testing electronic systems at clock rates of 1 GHz and higher.
  • BACKGROUND OF THE INVENTION
  • Conventional test methods include a hierarchy of tests including wafer probe testing, packaged part testing, and system testing. In each of these, it is customary to use a test fixture between the device under test, DUT, and the tester. The test fixture normally includes a switch matrix for connecting tester pins to DUT pins, and a collection of driver and receiver circuits, switches and relays that are commonly referred to as the “pin electronics” of the tester.
  • In digital systems, clock rates have increased to 1 GHz and beyond. At high frequencies it becomes desirable to place components close together, and minimize trace lengths. To this end, systems have been produced that employ bare IC chips rather than packaged parts, with the IC chips attached to the system board using flip chip bonding methods, resulting in dense systems and short trace lengths. It is similarly desirable to minimize the electrical path lengths between a system under test, SUT, and the comparator circuits that are used to verify system behavior.
  • Conventional testing methods provide a hierarchy of inspections and tests for testing complex systems. Automatic optical inspection, AOI, employs cameras and lighting systems to examine board assemblies for correct component placement and orientation, acceptable traces and solder joints. Automated X-ray inspection, AXI, can check solder joints that are hidden from AOI equipment. In circuit test, ICT, is often employed to measure values of discrete components, and to find open and short circuits. The fixture for ICT is typically a grid of electronic probes (or “bed of nails”) that make contact with test points designed into the board assembly. The maximum number of nodes that can be tested using ICT is currently around 7,000. Complex system boards may have 10,000 nodes and upward. Boundary scan is a test method commonly used to check that all components are present and properly oriented. Boundary scan methods add logic and control signals to each component, internal blocks are chained together, and access to internal nodes is greatly improved. After all the passive elements of the system have been verified and boundary scan has been performed, a board functional test is typically required. Normally, a test fixture is used to connect system nodes to tester nodes. With the system under power, stimulus vectors are applied while system outputs are measured for the correct values. A lot of effort is required to determine the stimulus vectors and the correct system responses, and the effort increases as system complexity increases. For some complex systems this approach is abandoned because the time and effort are judged to be too great. In these cases, less rigorous testing may be accomplished using a “hot mockup” which is a version of the end-user system, or by using system equivalency tests. These methods are weak in terms of diagnosing problems, because only system level results are accessible.
  • Recent test fixtures have included expensive GaAs circuits for increased switching speed and electro-optical receivers for isolation between test circuits. Despite these efforts, some systems cannot be tested at full speed and others have to compromise on test coverage to keep the test time within reasonable bounds.
  • Recent advances in high-density interconnection (HDI), circuits, and in flip chip bonding techniques have made it possible to add additional IC chips to a system board at a low assembly cost. Also, advances in IC chip design and implementation make it possible to include most or all of the functions of a sophisticated tester on a single IC chip, especially if the pin electronics are simplified.
  • Built in self test (BIST) is a methodology that has been developed for testing individual components by providing test circuits within the component, rather than connecting them to an external tester. TOB is similar in concept, except that the test target is a system board rather than a component of that board.
  • SUMMARY OF THE INVENTION
  • The proposed test method, tester on board (TOB), performs the system functional test with most of the tester functionality implemented on an IC test chip that is placed on the system board. The test chip is connected to system nodes using the interconnection method of the system board; preferably the components are assembled as bare die using flip chip assembly methods. Since in circuit tests and boundary scans are typically low speed tests employing simple test hardware, they are preferably provided by a test support computer that includes such hardware, without involving the IC test chip.
  • In the preferred embodiment, a single test chip samples test signals of three different types: digital, analog, and radio frequency (RF). The digital signals are carried on digital bus lines, the analog signals are carried on analog bus lines, and the RF signals are sampled at antenna inputs. System behavior is evidenced by the various signals sampled and tested at the discrete instants in time represented by test cycles. The ultimate format for each item of captured behavior is preferably a test vector or digital word, although analog comparisons may be preferred for parametric tests such as a leakage current test. The main focus of this application applies to functional testing of digitized signals. However, reference sources including voltage and current sources, amplifiers and analog comparators may be included in the preferred test hardware for parametric testing. For digital signals the number of bits in the word corresponds to the number of digital bits sampled. Analog test signals are preferably converted from analog to digital format; the number of bits in each analog test vector is calculated as the number of analog signals sampled times the number of digitized bits per sample. RF test signals may be down-converted to a suitable intermediate frequency, then demodulated and digitized. The number of bits in each RF test vector is equal to the number of RF signals sampled times the number of digitized bits per sample.
  • The test system includes a learn mode and a test mode. The learn mode allows test vectors generated during selected cycles of system behavior to be automatically accumulated in memory. These vectors can be created by a reference system that is hopefully fully functional, or close to fully functional. The cycles selected are test cycles; they capture critical system behaviors or responses. Eliminating redundant or unnecessary test cycles has the benefit of reducing the required amount of memory on the test chip. The test program starts at time=0 with cycle count=0. The cycle count increments with each cycle of the timing reference or system clock. The temporal locations of selected cycles (cycle counts) are captured in a test mask which includes a memory bit for each test cycle performed. A “1” in the mask memory represents a selected cycle for which verification is required (a test cycle); a “0” represents an unselected cycle for which verification is not required. Typically, only a small percentage of total system cycles are required to be test cycles in order to reliably validate system behavior.
  • Successive approximations are employed to capture and refine the learned behavior of a properly functioning system. The goal is to automate the process where possible, avoid writing a detailed test program in a software language foreign to the system designers, and reduce the amount of labor required to optimize the selection of effective test vectors. After the learned behavior is refined and verified, the test vectors become proven test vectors; they can be loaded into reference memories for comparison with observed behavior of a system under test (SUT).
  • A test support computer stores a master copy of the test program, a master copy of the test mask memory, and a complete set of proven test vectors. These are loaded into the appropriate memories before testing commences. A test is performed by running the test program and comparing test vectors generated by the SUT against the proven test vectors. Any mismatch raises a flag which is reported at a given test cycle count. The test result is passed to the test support computer which diagnoses potential causes of any flagged mismatches, and displays recommendations to the test operator for reworking defective components in the system.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Preferred embodiments of the invention are described below with reference to the following accompanying drawings.
  • FIG. 1 is a high level block diagram of the test system of the current invention, showing primary data and control flows; and
  • FIG. 2 is a timing diagram showing representative test signal waveforms for both a passing and a failing test event.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • FIG. 1 shows a high-level block diagram of a test apparatus of the current invention including a test chip 1 and a test support computer 2 connected to the system under test (SUT) 3. SUT 3 includes digital circuits 4, analog circuits 5, and RF circuits 6. System access port 7 is preferably a high-density connection between SUT 3 and test support computer 2. This port is preferably used to validate the interconnection circuits of the SUT using continuity-testing circuits typically provided on a plug-in board within test support computer 2. Similarly, another plug-in board within test support computer 2 may include circuits for testing boundary scan circuits 8 of SUT 3. Plug-in boards can be used for these tests because the clock rates are typically slower than for functional testing; the test hardware is less demanding and is typically available as a commercial-off-the-shelf (COTS) item. Also, connecting wires or cables can be tolerated at the lower test frequencies. In combination, the continuity and boundary scan tests validate the integrity of the conductive traces and also the placement and orientation of components mounted on the system board. The rest of this description will focus on the more challenging task of functionally testing the SUT at clock rates of 1 GHz and higher.
  • Three signal types are tested by test chip 1 in the preferred embodiment. Digital input bus 10 is a collection of digital signals bussed to input pads and input buffers (not shown) of test chip 1. Similarly analog input bus 11 is a collection of analog signals bussed to test chip 1. RF inputs 12 are collected at one or more antenna sites on the SUT as shown. Preferably, signals of each type are routed in a manner that protects them from electrical noise sources in the system, typically by providing spatial separation between circuit blocks of different types, and among the signals of a given type.
  • Timing circuits 13 on the SUT provide a timing reference (TREF) 14. In a digital system employing synchronous design TREF 14 may be a digital system clock, with one system cycle represented by one period of the system clock. This is the most common type of system and for the purpose of illustration this is the type of system discussed herein. However, asynchronous designs and other timing methods are possible and are included within the scope of the present invention. TREF 14 is an input to cycle counter 15 which increments every system cycle, and provides a pointer (CCNT) 16 to every system cycle within a test program.
  • As a preferred first step in the process of learning correct system behavior, an application program that would run in system processor 17 is augmented with additional instructions to produce a test program. This is a convenient way to generate the first draft of the test program. The application software is typically written in a high level language; both the software and the program language are usually familiar to the system developers. The program is written to thoroughly exercise all of the features and components of the system. It is augmented with additional instructions that generate a test enable signal (TSTEN) 18 to highlight critical system cycles whenever it is judged that system behavior should be captured and tested. The behavior is preferably captured in test vectors. An example of a critical system cycle would be an instruction to read a register in a system component containing a digital variable that has just been calculated or has otherwise just changed to reflect a new result. The selected cycles are combined with TREF 14 to produce a mask memory 19 and also to generate test strobes such as TSTB 20. The mask memory is a serial memory that contains a 1 for system cycles that are selected as test cycles, and a 0 for all other cycles. In practice the sampling circuits may operate continuously, with only a few of the samples tested. We shall define “sample and test” as a unified sequence that includes both sampling and testing of the sampled input. System behavior is only sampled and tested during test cycles, which are typically a small fraction, perhaps 1% of the total system cycles. Similarly a test strobe 20 is generated only during test cycles, and is referenced to TREF 14. This preliminary process for generating test vectors may be imprecise because the instruction used to generate TSTEN 18 typically occupies several system cycles. Consequently, too many 1s may be placed in mask memory. However, a test program developer can easily scan these sequences and cull the number of 1s to create an optimal set. This refinement of the mask memory is typically performed using software running on test support computer 2.
  • A bi-directional bus interface 21 is provided between test support computer 2 and system processor 17. Interface 21 is used for general communications such as may be required for synchronization, and also for passing test programs and augmented test programs back and forth between SUT 3 and test support computer 2.
  • After the first phase of selecting critical test cycles is complete as outlined above, a preliminary version of mask memory 19 is available in test chip 1. Bi-directional interface 22 allows the mask image to be passed back and forth between mask memory 19 and test support computer 2 as it is successively refined and tested.
  • Other interfaces to test support computer 2 include results bus 23 for reporting test results from the fail memories, and a bi-directional interface 24 to the reference memories. As will be described, the reference memories contain proven test vectors used for comparison with system outputs. As with the test program and the mask memory, it is desirable to pass the contents of the reference memories in and out of test support computer 2 during the process of refining them.
  • We shall first examine testing of system behavior represented by digital signals. A core logic block 25 on test chip 1 includes a reference memory 26, a digital comparator 27, and a fail memory 28. Digital input bus 10 is sampled to form a test vector, DVIN, 29 at one input to comparator 27. The number of bits in test vector DVIN 29 corresponds to the number of digital bits sampled. During test mode, the other input to comparator 27 is provided by reference memory 26 in the form of a proven digital test vector, PDV 30. During learn mode, digital inputs 10 are sampled and captured in reference memory 26. If the SUT is fully functional, then the learned test vectors will indicate correct system behavior. This method of learning the correct system behavior can eliminate a lot of work in generating and validating the test vectors by simulation or other means.
  • Multiple system prototypes may be used to generate the learned behavior; some will contribute only a portion of the total behavior, others will be used to statistically validate the learned responses. The learned test vectors are transferred to test support computer 2 where they are assembled and saved in a memory block comprising a full set of digital test vectors. For a given test cycle, if the compared vectors DVIN and PDV are the same, then no error flag is raised and no entries are written to fail memory 28. Conversely, if the two vectors are not the same, comparator 27 will send an error flag for digital signals, DFLG, 31 to fail memory 28. DFLG 31 will cause CCNT 16 to be saved in fail memory 28 as a pointer to a failed test cycle. It is preferable to store in fail memory 28 the value of CCNT when the failure occurred, plus all the bits of the comparison vector. At least one of these bits will be a zero to indicate that a failure occurred. The location of 0's within the comparison vector may be used by diagnostic software hosted in test support computer 2 to help determine the specific failing component or components, to support subsequent recommendations to the test operator about which components need to be replaced.
  • An alternative embodiment of the test architecture will provide the comparison test vector in the appropriate reference memory by sampling a second operating system with known good behavior. The critical requirement is that a known good test vector be provided at the instant of comparison, whether it is predetermined and loaded into reference memory or provided by a parallel operating system.
  • Next we shall examine testing of system behavior represented by analog signals. The same core logic block 25 is used in the test path for analog inputs 11, enumerated as digital comparator 35, reference memory 36, and fail memory 37. An analog to digital converter, ADC, 38 digitizes each waveform of the sampled data and concatenates the digitized words to form a test vector, AVIN, 39. Again, system behavior can be learned by loading sampled and digitized analog inputs into reference memory 36 and then reading the digital words into test support computer 2, to create a memory block comprising a full set of analog test vectors. In test mode however, reference memory 36 has been pre-loaded with known good or proven test vectors from test support computer 2. If a digital comparison produces a mismatch between test vector AVIN 39 and proven test vector PAV 40, error flag AFLG 41 for analog signals is sent to fail memory 37.
  • Next we shall examine testing of system behavior represented by RF signals. The same core logic block 25 is used in the test path for radio frequency inputs 12, enumerated as digital comparator 45, reference memory 46, and fail memory 47. An RF converter, RFC, down-converts each RF signal to a suitable intermediate frequency, IF, and demodulates the signal. The parallel stream of demodulated signals 49 is digitized by analog to digital converter, ADC, 50, and the digitized words are concatenated to form test vector, RVIN, 51. Again, system behavior can be learned by loading sampled and digitized RF inputs into reference memory 46 and then reading the digital words into test support computer 2, to create a memory block comprising a full set of RF test vectors. In test mode however, reference memory 46 has been pre-loaded with known good or proven test vectors from test support computer 2. If a digital comparison produces a mismatch between test vector RVIN 51 and proven test vector PRV 52, error flag RFLG 53 for RF signals is sent to fail memory 47.
  • FIG. 2 shows representative timing of both a passing test event [designated “(A)”] and a failing test event [designated “(B)”]. Waveforms are presented with voltage on the vertical axis and time on the horizontal axis. The timings are shown for a digital input signal and represent just one possible example of how the various edges of TREF could be used to generate test control signals. Since in the preferred embodiment analog and RF signals are converted to digital signals in test chip 1 prior to the comparison event, a similar timing diagram would apply for those signal types as well. TREF 14 is the timing reference previously discussed. It has a system cycle time, T, 55 as shown. TSTB 20 is the test strobe previously discussed. DVIN i 60 is one bit of a digital test vector that has been sampled from digital input bus 10. PDV i 61 is the corresponding bit of a known good or proven test vector for comparison with DVIN i 60. DFLG i 62 is the flag used to indicate a failure in bit i of the comparison word, and CCNT 16 is the cycle count. CCNT 16 increments for every system cycle T, 55. Sequence (A) in FIG. 2 is for a passing test event. A rising edge 63 of TREF causes transition 64 in DVINi, because the sampled digital signal is high during this test cycle. The compared value PDVi also transitions 65 to a high because the corresponding ith bit of the proven test vector in reference memory 26 is high. CCNT also switches, 66, in response to rising edge 63 of TREF. The following trailing edge of TREF 67 causes a positive transition 68 in TSTB as shown. TSTB activates the comparison of level 70 of DVINi with level 71 of PDVi. Since the compared levels are the same, indicating correct system behavior, DFLGi remains low 72, and the corresponding value of CCNT is not saved in fail memory 28. Conversely, sequence (B) in FIG. 2 is for a failing test event. The waveforms are similar to those depicted for sequence (A) except for DVINi and DFLGi The sampled test vector bit DVINi is low when it should be high. Thus at edge 79 of TSTB the compared levels 80 of DVINi and 81 of PDVi are not the same. This causes DFLGi to transition high 82 which in turn causes the value of CCNT 84 to be captured in fail memory 28, along with the comparison vector having 0's for the failed bit locations.

Claims (21)

1. A method for testing electronic system behavior comprising the steps of:
providing a test chip that resides in said system;
providing a timing reference signal to said test chip;
operating said system using a test program;
capturing signals of interest of said system during operation using sampling circuits provided on said test chip; and
functionally testing said system by comparing in said test chip said captured signals with signals representing known good system behavior.
2. The method of claim 1 wherein said signals representing known good system behavior are test vectors.
3. The method of claim 2 wherein said test vectors are predetermined and stored on said test chip.
4. The method of claim 1 wherein said signals representing known good system behavior are provided by a second system operating in parallel with the system under test.
5. The method of claim 1, including the generation of one or more test strobes from said timing reference signal, said test strobes being used to control the timing of said sampling circuits and said comparator circuits.
6. The method of claim 1 and including provision of a test mask that provides a means to select critical test cycles as a subset of all system cycles.
7. The method of claim 3 wherein said predetermined test vectors are learned by capturing and storing behavior of a correctly functioning system.
8. The method of claim 1 wherein said test program is written in the same programming language as the system application software.
9. An apparatus of an electronic system comprising:
a test support computer connected to said electronic system;
one or more test chips embedded in said electronic system that communicate with said test support computer using selected ones of said connections;
said test chips including sampling circuits, comparator circuits and memory circuits for capturing signals of interest of said electronic system, comparing said signals of interest against predetermined test signals, and storing the results of said comparisons, respectively.
10. The apparatus of claim 9 and further including a test mask for controlling when to sample and test said signals of interest.
11. The apparatus of claim 9 wherein said sampling circuits are capable of capturing digital signals.
12. The apparatus of claim 9 wherein said sampling circuits are capable of capturing analog signals.
13. The apparatus of claim 9 wherein said sampling circuits are capable of capturing radio frequency signals.
14. An integrated circuit test chip comprising:
sampling circuits for sampling behavior of a system under test;
comparator circuits for comparing said sampled behavior with known good behavior; and
a mask memory for controlling when to sample said behavior of said system under test.
15. The integrated circuit test chip of claim 14 wherein said sampling circuits are capable of capturing digital signals.
16. The integrated circuit test chip of claim 14 wherein said sampling circuits are capable of capturing analog signals.
17. The integrated circuit test chip of claim 14 wherein said sampling circuits are capable of capturing radio frequency signals.
18. A method of learning system behavior for test purposes comprising the steps of:
providing a functionally correct system;
generating a test program that exercises all of the important functions and components of said functionally correct system;
augmenting said test program with special test instructions that highlight particular system cycles that represent critical system behavior; and,
capturing said highlighted critical system behavior in the form of test vectors.
19. The method of claim 18 and including refinement of said test vectors to find a minimum set that represents all of the critical behaviors of said functionally correct system.
20. An apparatus for testing electronic system behavior comprising:
a test support computer;
one or more test chips embedded in said electronic system that communicate with said test support computer;
said test chips including
sampling circuits for capturing system behavior signals at selected times;
reference memory circuits for storing signals representing good system behavior at said selected times;
comparator circuits for comparing said captured system behavior signals with said good system behavior signals at said selected times, and
fail memory means for storing failed comparisons.
21. An apparatus as in claim 20 including a mask memory for storing and selecting test periods.
US12/401,357 2003-03-07 2009-03-10 Apparatus and method for testing electronic systems Abandoned US20090192753A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/401,357 US20090192753A1 (en) 2003-03-07 2009-03-10 Apparatus and method for testing electronic systems

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US45279303P 2003-03-07 2003-03-07
US10/448,611 US7505862B2 (en) 2003-03-07 2003-05-29 Apparatus and method for testing electronic systems
US12/401,357 US20090192753A1 (en) 2003-03-07 2009-03-10 Apparatus and method for testing electronic systems

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/448,611 Continuation US7505862B2 (en) 2003-03-07 2003-05-29 Apparatus and method for testing electronic systems

Publications (1)

Publication Number Publication Date
US20090192753A1 true US20090192753A1 (en) 2009-07-30

Family

ID=32930487

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/448,611 Expired - Lifetime US7505862B2 (en) 2003-03-07 2003-05-29 Apparatus and method for testing electronic systems
US12/401,357 Abandoned US20090192753A1 (en) 2003-03-07 2009-03-10 Apparatus and method for testing electronic systems

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/448,611 Expired - Lifetime US7505862B2 (en) 2003-03-07 2003-05-29 Apparatus and method for testing electronic systems

Country Status (2)

Country Link
US (2) US7505862B2 (en)
WO (1) WO2004081587A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7969171B1 (en) 2010-01-06 2011-06-28 General Electric Company Test circuit and system
CN105574452A (en) * 2015-10-30 2016-05-11 无锡职业技术学院 RFID application testing system
US10248520B2 (en) 2015-09-25 2019-04-02 Oracle International Corporation High speed functional test vectors in low power test conditions of a digital integrated circuit

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7408258B2 (en) * 2003-08-20 2008-08-05 Salmon Technologies, Llc Interconnection circuit and electronic module utilizing same
US20050046430A1 (en) * 2003-09-03 2005-03-03 Jouko Kinnunen RF testing method and arrangement
US20050184376A1 (en) * 2004-02-19 2005-08-25 Salmon Peter C. System in package
US20050255722A1 (en) * 2004-05-07 2005-11-17 Salmon Peter C Micro blade assembly
US7427809B2 (en) * 2004-12-16 2008-09-23 Salmon Technologies, Llc Repairable three-dimensional semiconductor subsystem
US20070007983A1 (en) * 2005-01-06 2007-01-11 Salmon Peter C Semiconductor wafer tester
US20070023889A1 (en) * 2005-08-01 2007-02-01 Salmon Peter C Copper substrate with feedthroughs and interconnection circuits
US20070023904A1 (en) * 2005-08-01 2007-02-01 Salmon Peter C Electro-optic interconnection apparatus and method
US20070023923A1 (en) * 2005-08-01 2007-02-01 Salmon Peter C Flip chip interface including a mixed array of heat bumps and signal bumps
US7586747B2 (en) * 2005-08-01 2009-09-08 Salmon Technologies, Llc. Scalable subsystem architecture having integrated cooling channels
US7308656B1 (en) * 2005-10-04 2007-12-11 Xilinx, Inc. Method and apparatus for generating a boundary scan description and model
US8149721B2 (en) * 2008-12-08 2012-04-03 Advantest Corporation Test apparatus and test method
CN101770967A (en) * 2009-01-03 2010-07-07 上海芯豪微电子有限公司 Test method, device and system of common substrate integrated circuit
CN103150483A (en) * 2013-03-29 2013-06-12 王少夫 Wiener noise-contained two-dimensional chaotic system
US9496154B2 (en) 2014-09-16 2016-11-15 Invensas Corporation Use of underfill tape in microelectronic components, and microelectronic components with cavities coupled to through-substrate vias
TWI677844B (en) * 2018-07-13 2019-11-21 致伸科技股份有限公司 Product testing system with assistance judgment function and assistance method applied thereto
US20200249275A1 (en) 2019-01-31 2020-08-06 Tektronix, Inc. Systems, methods and devices for high-speed input/output margin testing
US11940483B2 (en) * 2019-01-31 2024-03-26 Tektronix, Inc. Systems, methods and devices for high-speed input/output margin testing
US11393807B2 (en) 2020-03-11 2022-07-19 Peter C. Salmon Densely packed electronic systems
US11546991B2 (en) 2020-03-11 2023-01-03 Peter C. Salmon Densely packed electronic systems
WO2022115494A1 (en) 2020-11-24 2022-06-02 Tektronix, Inc. Systems, methods, and devices for high-speed input/output margin testing
US11523543B1 (en) 2022-02-25 2022-12-06 Peter C. Salmon Water cooled server
US11445640B1 (en) 2022-02-25 2022-09-13 Peter C. Salmon Water cooled server

Citations (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4455654A (en) * 1981-06-05 1984-06-19 John Fluke Mfg. Co., Inc. Test apparatus for electronic assemblies employing a microprocessor
US4748495A (en) * 1985-08-08 1988-05-31 Dypax Systems Corporation High density multi-chip interconnection and cooling package
US4862322A (en) * 1988-05-02 1989-08-29 Bickford Harry R Double electronic device structure having beam leads solderlessly bonded between contact locations on each device and projecting outwardly from therebetween
US4912844A (en) * 1988-08-10 1990-04-03 Dimensional Circuits Corporation Methods of producing printed circuit boards
US5001548A (en) * 1989-03-13 1991-03-19 Coriolis Corporation Multi-chip module cooling
US5159529A (en) * 1991-05-15 1992-10-27 International Business Machines Corporation Composite liquid cooled plate for electronic equipment
US5214250A (en) * 1991-09-19 1993-05-25 International Business Machines Corporation Method of reworking circuit panels, and circuit panels reworked thereby
US5239448A (en) * 1991-10-28 1993-08-24 International Business Machines Corporation Formulation of multichip modules
US5239200A (en) * 1991-08-21 1993-08-24 International Business Machines Corporation Apparatus for cooling integrated circuit chips
US5267867A (en) * 1992-09-11 1993-12-07 Digital Equipment Corporation Package for multiple removable integrated circuits
US5281151A (en) * 1991-07-05 1994-01-25 Hitachi, Ltd. Semiconductor chip carrier, module having same chip carrier mounted therein, and electronic device incorporating same module
US5291064A (en) * 1991-04-16 1994-03-01 Nec Corporation Package structure for semiconductor device having a flexible wiring circuit member spaced from the package casing
US5290970A (en) * 1992-09-18 1994-03-01 Unisys Corporation Multilayer printed circuit board rework method and rework pin
US5305184A (en) * 1992-12-16 1994-04-19 Ibm Corporation Method and apparatus for immersion cooling or an electronic board
US5334279A (en) * 1993-04-08 1994-08-02 Gregoire George D Method and apparatus for making printed circuit boards
US5367593A (en) * 1993-09-03 1994-11-22 Motorola, Inc. Optical/electrical connector and method of fabrication
US5510758A (en) * 1993-04-07 1996-04-23 Matsushita Electric Industrial Co., Ltd. Multilayer microstrip wiring board with a semiconductor device mounted thereon via bumps
US5579574A (en) * 1994-01-28 1996-12-03 Molex Incorporated Method of fabricating flat flexible circuits
US5627406A (en) * 1994-12-22 1997-05-06 Pace; Benedict G. Inverted chip bonded module with high packaging efficiency
US5635767A (en) * 1995-06-02 1997-06-03 Motorola, Inc. Semiconductor device having built-in high frequency bypass capacitor
US5640051A (en) * 1993-12-13 1997-06-17 Matsushita Electric Industrial Co., Ltd. Chip package, a chip carrier, a terminal electrode for a circuit substrate and a chip package-mounted complex
US5774475A (en) * 1996-12-05 1998-06-30 National Semiconductor Corporation Testing scheme that re-uses original stimulus for testing circuitry embedded within a larger circuit
US5800600A (en) * 1994-07-14 1998-09-01 Tonejet Corporation Pty Ltd Solid ink jet ink
US5900738A (en) * 1993-11-16 1999-05-04 Formfactor, Inc. Contact structure device for interconnections, interposer, semiconductor assembly and package using the same and method
US5972152A (en) * 1997-05-16 1999-10-26 Micron Communications, Inc. Methods of fixturing flexible circuit substrates and a processing carrier, processing a flexible circuit and processing a flexible circuit substrate relative to a processing carrier
US5998738A (en) * 1996-08-30 1999-12-07 Motorola Inc. Electronic control module
US6005198A (en) * 1997-10-07 1999-12-21 Dimensional Circuits Corporation Wiring board constructions and methods of making same
US6103554A (en) * 1998-01-08 2000-08-15 Samsung Electronics, Co., Ltd. Method for packaging integrated circuits with elastomer chip carriers
US6121676A (en) * 1996-12-13 2000-09-19 Tessera, Inc. Stacked microelectronic assembly and method therefor
US6138348A (en) * 1989-12-18 2000-10-31 Polymer Flip Chip Corporation Method of forming electrically conductive polymer interconnects on electrical substrates
US6162974A (en) * 1994-01-31 2000-12-19 Pioneer Hi-Bred International Seed vigor by pre-harvest defoliation of maize plants
US6174804B1 (en) * 1998-05-26 2001-01-16 United Microelectronics Corp. Dual damascene manufacturing process
US6208511B1 (en) * 1998-12-31 2001-03-27 Lucent Technologies, Inc. Arrangement for enclosing a fluid and method of manufacturing a fluid retaining enclosure
US6225688B1 (en) * 1997-12-11 2001-05-01 Tessera, Inc. Stacked microelectronic assembly and method therefor
US6246010B1 (en) * 1998-11-25 2001-06-12 3M Innovative Properties Company High density electronic package
US6304447B1 (en) * 1998-12-31 2001-10-16 Lucent Technologies, Inc. Arrangement for cooling an electrical assembly
US6310484B1 (en) * 1996-04-01 2001-10-30 Micron Technology, Inc. Semiconductor test interconnect with variable flexure contacts
US20020030975A1 (en) * 2000-06-28 2002-03-14 Moon Ow Chee Packaged microelectronic die assemblies and methods of manufacture
US6372549B2 (en) * 2000-04-24 2002-04-16 Nec Corporation Semiconductor package and semiconductor package fabrication method
US6392301B1 (en) * 1999-10-22 2002-05-21 Intel Corporation Chip package and method
US6441476B1 (en) * 2000-10-18 2002-08-27 Seiko Epson Corporation Flexible tape carrier with external terminals formed on interposers
US20020121689A1 (en) * 2000-03-09 2002-09-05 Nec Corporation Flip chip type semiconductor device and method for manufacturing the same
US6515870B1 (en) * 2000-11-27 2003-02-04 Intel Corporation Package integrated faraday cage to reduce electromagnetic emissions from an integrated circuit
US6528891B2 (en) * 1998-12-17 2003-03-04 Charles Wen Chyang Lin Bumpless flip chip assembly with solder via
US20030106004A1 (en) * 2001-12-04 2003-06-05 Intellitech Corporation Method and apparatus for embedded built-in self-test (BIST) of electronic circuits and systems
US6587345B2 (en) * 2001-11-09 2003-07-01 International Business Machines Corporation Electronic device substrate assembly with impermeable barrier and method of making
US20030168725A1 (en) * 1996-12-13 2003-09-11 Tessera, Inc. Methods of making microelectronic assemblies including folded substrates
US6631344B1 (en) * 1999-03-26 2003-10-07 Synopsys, Inc. Method and system for performing deterministic analysis and speculative analysis for more efficient automatic test pattern generation
US6683377B1 (en) * 2000-05-30 2004-01-27 Amkor Technology, Inc. Multi-stacked memory package
US6722893B2 (en) * 2002-03-18 2004-04-20 High Connection Density, Inc. Test and burn-in connector
US6763880B1 (en) * 2003-06-26 2004-07-20 Evserv Tech Corporation Liquid cooled radiation module for servers
US20040148121A1 (en) * 2003-01-17 2004-07-29 Texas Instruments Incorporated On-chip test mechanism for transceiver power amplifier and oscillator frequency
US6784554B2 (en) * 2001-12-26 2004-08-31 Hitachi, Ltd. Semiconductor device and manufacturing method thereof
US6845477B2 (en) * 2000-05-29 2005-01-18 Renesas Technology Corp. Semiconductor test device for conducting an operation test in parallel on many chips in a wafer test and semiconductor test method
US20050040513A1 (en) * 2003-08-20 2005-02-24 Salmon Peter C. Copper-faced modules, imprinted copper circuits, and their application to supercomputers
US6881609B2 (en) * 2001-09-07 2005-04-19 Peter C. Salmon Component connections using bumps and wells
US6891732B2 (en) * 2001-09-25 2005-05-10 Shinko Electric Industries Co., Ltd. Multilayer circuit board and semiconductor device using the same
US6927471B2 (en) * 2001-09-07 2005-08-09 Peter C. Salmon Electronic system modules and method of fabrication
US20050184376A1 (en) * 2004-02-19 2005-08-25 Salmon Peter C. System in package
US6938678B1 (en) * 2000-06-23 2005-09-06 Lucent Technologies Inc. Arrangement for liquid cooling an electrical assembly using assisted flow
US6942493B2 (en) * 2001-11-13 2005-09-13 Unitechno Inc. Connector structure for connecting electronic parts
US6956284B2 (en) * 2001-10-26 2005-10-18 Staktek Group L.P. Integrated circuit stacking system and method
US6956285B2 (en) * 2003-01-15 2005-10-18 Sun Microsystems, Inc. EMI grounding pins for CPU/ASIC chips
US6955063B2 (en) * 2003-06-14 2005-10-18 Nanomist Systems, Llc Cooling of electronics and high density power dissipation systems by fine-mist flooding
US20050255722A1 (en) * 2004-05-07 2005-11-17 Salmon Peter C Micro blade assembly
US6973717B2 (en) * 1998-07-14 2005-12-13 Infineon Technologies Ag Method for producing a semiconductor device in chip format
US6990176B2 (en) * 2003-10-30 2006-01-24 General Electric Company Methods and apparatus for tileable sensor array
US7009412B2 (en) * 1999-05-27 2006-03-07 Nanonexus, Inc. Massively parallel interface for electronic circuit
US20060077638A1 (en) * 2004-10-12 2006-04-13 Salmon Peter C Adaptive interface using flexible fingers
US7040383B2 (en) * 2001-08-16 2006-05-09 Nec Corporation Telecommunication device including a housing having improved heat conductivity
US20060131728A1 (en) * 2004-12-16 2006-06-22 Salmon Peter C Repairable three-dimensional semiconductor subsystem
US7078926B2 (en) * 1993-11-16 2006-07-18 Formfactor, Inc. Wafer-level burn-in and test
US20060209512A1 (en) * 2005-03-17 2006-09-21 Fujitsu Limited Heat receiving member, heat receiving device and electronic equipment
US20070007983A1 (en) * 2005-01-06 2007-01-11 Salmon Peter C Semiconductor wafer tester
US7163830B2 (en) * 2004-10-12 2007-01-16 Salmon Peter C Method for temporarily engaging electronic component for test
US20070025079A1 (en) * 2005-08-01 2007-02-01 Salmon Peter C Scalable subsystem architecture having integrated cooling channels
US20070023889A1 (en) * 2005-08-01 2007-02-01 Salmon Peter C Copper substrate with feedthroughs and interconnection circuits
US20070023904A1 (en) * 2005-08-01 2007-02-01 Salmon Peter C Electro-optic interconnection apparatus and method
US20070023923A1 (en) * 2005-08-01 2007-02-01 Salmon Peter C Flip chip interface including a mixed array of heat bumps and signal bumps
US7254024B2 (en) * 2004-05-11 2007-08-07 Salmon Peter C Cooling apparatus and method

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4626775A (en) * 1984-05-04 1986-12-02 The United States Of America As Represented By The Secretary Of The Air Force Radio frequency probing apparatus for surface acoustic wave devices
US5162974A (en) * 1991-04-15 1992-11-10 Unisys Corporation Heat sink assembly for cooling electronic components
US5534917A (en) * 1991-05-09 1996-07-09 Very Vivid, Inc. Video image based control system
DE4227434C2 (en) * 1992-08-19 1994-08-18 Geraberger Thermometerwerk Gmb thermometer
JP2606110B2 (en) 1993-12-15 1997-04-30 日本電気株式会社 Multilayer substrate and method of manufacturing the same
JPH086708A (en) * 1994-04-22 1996-01-12 Canon Inc Display device
US5563988A (en) * 1994-08-01 1996-10-08 Massachusetts Institute Of Technology Method and system for facilitating wireless, full-body, real-time user interaction with a digitally represented visual environment
US5649021A (en) * 1995-06-07 1997-07-15 David Sarnoff Research Center, Inc. Method and system for object detection for instrument control
JP3869005B2 (en) * 1995-06-22 2007-01-17 3ディブイ・システムズ・リミテッド Telecentric stereoscopic camera and method
US5768415A (en) * 1995-09-08 1998-06-16 Lucent Technologies Inc. Apparatus and methods for performing electronic scene analysis and enhancement
JP4079463B2 (en) * 1996-01-26 2008-04-23 ソニー株式会社 Subject detection apparatus and subject detection method
JP2891159B2 (en) * 1996-02-14 1999-05-17 日本電気株式会社 Object detection method from multi-view images
US6400374B2 (en) * 1996-09-18 2002-06-04 Eyematic Interfaces, Inc. Video superposition system and method
US6243491B1 (en) * 1996-12-31 2001-06-05 Lucent Technologies Inc. Methods and apparatus for controlling a video system with visually recognized props
US6061055A (en) * 1997-03-21 2000-05-09 Autodesk, Inc. Method of tracking objects with an imaging device
US6428411B1 (en) * 1997-05-02 2002-08-06 Konami Co., Ltd. Volleyball video game system
US5847936A (en) 1997-06-20 1998-12-08 Sun Microsystems, Inc. Optimized routing scheme for an integrated circuit/printed circuit board
US6075895A (en) * 1997-06-20 2000-06-13 Holoplex Methods and apparatus for gesture recognition based on templates
AU1099899A (en) * 1997-10-15 1999-05-03 Electric Planet, Inc. Method and apparatus for performing a clean background subtraction
US6195104B1 (en) * 1997-12-23 2001-02-27 Philips Electronics North America Corp. System and method for permitting three-dimensional navigation through a virtual reality environment using camera-based gesture inputs
US6545706B1 (en) * 1999-07-30 2003-04-08 Electric Planet, Inc. System, method and article of manufacture for tracking a head of a camera-generated image of a person
US6441825B1 (en) * 1999-10-04 2002-08-27 Intel Corporation Video token tracking system for animation
US6977960B2 (en) * 2001-08-16 2005-12-20 Matsushita Electric Industrial Co., Ltd. Self test circuit for evaluating a high-speed serial interface
US7577540B2 (en) * 2002-03-01 2009-08-18 Nec Corporation Re-configurable embedded core test protocol for system-on-chips (SOC) and circuit boards

Patent Citations (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4455654B1 (en) * 1981-06-05 1991-04-30 Test apparatus for electronic assemblies employing a microprocessor
US4455654A (en) * 1981-06-05 1984-06-19 John Fluke Mfg. Co., Inc. Test apparatus for electronic assemblies employing a microprocessor
US4748495A (en) * 1985-08-08 1988-05-31 Dypax Systems Corporation High density multi-chip interconnection and cooling package
US4862322A (en) * 1988-05-02 1989-08-29 Bickford Harry R Double electronic device structure having beam leads solderlessly bonded between contact locations on each device and projecting outwardly from therebetween
US4912844A (en) * 1988-08-10 1990-04-03 Dimensional Circuits Corporation Methods of producing printed circuit boards
US5001548A (en) * 1989-03-13 1991-03-19 Coriolis Corporation Multi-chip module cooling
US6138348A (en) * 1989-12-18 2000-10-31 Polymer Flip Chip Corporation Method of forming electrically conductive polymer interconnects on electrical substrates
US5291064A (en) * 1991-04-16 1994-03-01 Nec Corporation Package structure for semiconductor device having a flexible wiring circuit member spaced from the package casing
US5159529A (en) * 1991-05-15 1992-10-27 International Business Machines Corporation Composite liquid cooled plate for electronic equipment
US5281151A (en) * 1991-07-05 1994-01-25 Hitachi, Ltd. Semiconductor chip carrier, module having same chip carrier mounted therein, and electronic device incorporating same module
US5239200A (en) * 1991-08-21 1993-08-24 International Business Machines Corporation Apparatus for cooling integrated circuit chips
US5214250A (en) * 1991-09-19 1993-05-25 International Business Machines Corporation Method of reworking circuit panels, and circuit panels reworked thereby
US5239448A (en) * 1991-10-28 1993-08-24 International Business Machines Corporation Formulation of multichip modules
US5267867A (en) * 1992-09-11 1993-12-07 Digital Equipment Corporation Package for multiple removable integrated circuits
US5290970A (en) * 1992-09-18 1994-03-01 Unisys Corporation Multilayer printed circuit board rework method and rework pin
US5305184A (en) * 1992-12-16 1994-04-19 Ibm Corporation Method and apparatus for immersion cooling or an electronic board
US5510758A (en) * 1993-04-07 1996-04-23 Matsushita Electric Industrial Co., Ltd. Multilayer microstrip wiring board with a semiconductor device mounted thereon via bumps
US5390412A (en) * 1993-04-08 1995-02-21 Gregoire; George D. Method for making printed circuit boards
US5451722A (en) * 1993-04-08 1995-09-19 Gregoire; George D. Printed circuit board with metallized grooves
US5334279A (en) * 1993-04-08 1994-08-02 Gregoire George D Method and apparatus for making printed circuit boards
US5367593A (en) * 1993-09-03 1994-11-22 Motorola, Inc. Optical/electrical connector and method of fabrication
US5900738A (en) * 1993-11-16 1999-05-04 Formfactor, Inc. Contact structure device for interconnections, interposer, semiconductor assembly and package using the same and method
US7078926B2 (en) * 1993-11-16 2006-07-18 Formfactor, Inc. Wafer-level burn-in and test
US5640051A (en) * 1993-12-13 1997-06-17 Matsushita Electric Industrial Co., Ltd. Chip package, a chip carrier, a terminal electrode for a circuit substrate and a chip package-mounted complex
US5579574A (en) * 1994-01-28 1996-12-03 Molex Incorporated Method of fabricating flat flexible circuits
US6162974A (en) * 1994-01-31 2000-12-19 Pioneer Hi-Bred International Seed vigor by pre-harvest defoliation of maize plants
US5800600A (en) * 1994-07-14 1998-09-01 Tonejet Corporation Pty Ltd Solid ink jet ink
US5627406A (en) * 1994-12-22 1997-05-06 Pace; Benedict G. Inverted chip bonded module with high packaging efficiency
US5635767A (en) * 1995-06-02 1997-06-03 Motorola, Inc. Semiconductor device having built-in high frequency bypass capacitor
US6310484B1 (en) * 1996-04-01 2001-10-30 Micron Technology, Inc. Semiconductor test interconnect with variable flexure contacts
US5998738A (en) * 1996-08-30 1999-12-07 Motorola Inc. Electronic control module
US5774475A (en) * 1996-12-05 1998-06-30 National Semiconductor Corporation Testing scheme that re-uses original stimulus for testing circuitry embedded within a larger circuit
US20030168725A1 (en) * 1996-12-13 2003-09-11 Tessera, Inc. Methods of making microelectronic assemblies including folded substrates
US6121676A (en) * 1996-12-13 2000-09-19 Tessera, Inc. Stacked microelectronic assembly and method therefor
US5972152A (en) * 1997-05-16 1999-10-26 Micron Communications, Inc. Methods of fixturing flexible circuit substrates and a processing carrier, processing a flexible circuit and processing a flexible circuit substrate relative to a processing carrier
US6005198A (en) * 1997-10-07 1999-12-21 Dimensional Circuits Corporation Wiring board constructions and methods of making same
US6460247B1 (en) * 1997-10-07 2002-10-08 Dimensional Circuits Corp. Wiring board constructions and methods of making same
US6225688B1 (en) * 1997-12-11 2001-05-01 Tessera, Inc. Stacked microelectronic assembly and method therefor
US6103554A (en) * 1998-01-08 2000-08-15 Samsung Electronics, Co., Ltd. Method for packaging integrated circuits with elastomer chip carriers
US6174804B1 (en) * 1998-05-26 2001-01-16 United Microelectronics Corp. Dual damascene manufacturing process
US6973717B2 (en) * 1998-07-14 2005-12-13 Infineon Technologies Ag Method for producing a semiconductor device in chip format
US6246010B1 (en) * 1998-11-25 2001-06-12 3M Innovative Properties Company High density electronic package
US6528891B2 (en) * 1998-12-17 2003-03-04 Charles Wen Chyang Lin Bumpless flip chip assembly with solder via
US6304447B1 (en) * 1998-12-31 2001-10-16 Lucent Technologies, Inc. Arrangement for cooling an electrical assembly
US6208511B1 (en) * 1998-12-31 2001-03-27 Lucent Technologies, Inc. Arrangement for enclosing a fluid and method of manufacturing a fluid retaining enclosure
US6631344B1 (en) * 1999-03-26 2003-10-07 Synopsys, Inc. Method and system for performing deterministic analysis and speculative analysis for more efficient automatic test pattern generation
US7009412B2 (en) * 1999-05-27 2006-03-07 Nanonexus, Inc. Massively parallel interface for electronic circuit
US6392301B1 (en) * 1999-10-22 2002-05-21 Intel Corporation Chip package and method
US20020121689A1 (en) * 2000-03-09 2002-09-05 Nec Corporation Flip chip type semiconductor device and method for manufacturing the same
US6372549B2 (en) * 2000-04-24 2002-04-16 Nec Corporation Semiconductor package and semiconductor package fabrication method
US6845477B2 (en) * 2000-05-29 2005-01-18 Renesas Technology Corp. Semiconductor test device for conducting an operation test in parallel on many chips in a wafer test and semiconductor test method
US6683377B1 (en) * 2000-05-30 2004-01-27 Amkor Technology, Inc. Multi-stacked memory package
US6938678B1 (en) * 2000-06-23 2005-09-06 Lucent Technologies Inc. Arrangement for liquid cooling an electrical assembly using assisted flow
US20020030975A1 (en) * 2000-06-28 2002-03-14 Moon Ow Chee Packaged microelectronic die assemblies and methods of manufacture
US6441476B1 (en) * 2000-10-18 2002-08-27 Seiko Epson Corporation Flexible tape carrier with external terminals formed on interposers
US6515870B1 (en) * 2000-11-27 2003-02-04 Intel Corporation Package integrated faraday cage to reduce electromagnetic emissions from an integrated circuit
US7040383B2 (en) * 2001-08-16 2006-05-09 Nec Corporation Telecommunication device including a housing having improved heat conductivity
US6927471B2 (en) * 2001-09-07 2005-08-09 Peter C. Salmon Electronic system modules and method of fabrication
US6881609B2 (en) * 2001-09-07 2005-04-19 Peter C. Salmon Component connections using bumps and wells
US6891732B2 (en) * 2001-09-25 2005-05-10 Shinko Electric Industries Co., Ltd. Multilayer circuit board and semiconductor device using the same
US6956284B2 (en) * 2001-10-26 2005-10-18 Staktek Group L.P. Integrated circuit stacking system and method
US6587345B2 (en) * 2001-11-09 2003-07-01 International Business Machines Corporation Electronic device substrate assembly with impermeable barrier and method of making
US6942493B2 (en) * 2001-11-13 2005-09-13 Unitechno Inc. Connector structure for connecting electronic parts
US20030106004A1 (en) * 2001-12-04 2003-06-05 Intellitech Corporation Method and apparatus for embedded built-in self-test (BIST) of electronic circuits and systems
US6784554B2 (en) * 2001-12-26 2004-08-31 Hitachi, Ltd. Semiconductor device and manufacturing method thereof
US6722893B2 (en) * 2002-03-18 2004-04-20 High Connection Density, Inc. Test and burn-in connector
US6956285B2 (en) * 2003-01-15 2005-10-18 Sun Microsystems, Inc. EMI grounding pins for CPU/ASIC chips
US20040148121A1 (en) * 2003-01-17 2004-07-29 Texas Instruments Incorporated On-chip test mechanism for transceiver power amplifier and oscillator frequency
US6955063B2 (en) * 2003-06-14 2005-10-18 Nanomist Systems, Llc Cooling of electronics and high density power dissipation systems by fine-mist flooding
US6763880B1 (en) * 2003-06-26 2004-07-20 Evserv Tech Corporation Liquid cooled radiation module for servers
US20050040513A1 (en) * 2003-08-20 2005-02-24 Salmon Peter C. Copper-faced modules, imprinted copper circuits, and their application to supercomputers
US6990176B2 (en) * 2003-10-30 2006-01-24 General Electric Company Methods and apparatus for tileable sensor array
US20050184376A1 (en) * 2004-02-19 2005-08-25 Salmon Peter C. System in package
US20050255722A1 (en) * 2004-05-07 2005-11-17 Salmon Peter C Micro blade assembly
US7254024B2 (en) * 2004-05-11 2007-08-07 Salmon Peter C Cooling apparatus and method
US7163830B2 (en) * 2004-10-12 2007-01-16 Salmon Peter C Method for temporarily engaging electronic component for test
US20060077638A1 (en) * 2004-10-12 2006-04-13 Salmon Peter C Adaptive interface using flexible fingers
US20060131728A1 (en) * 2004-12-16 2006-06-22 Salmon Peter C Repairable three-dimensional semiconductor subsystem
US20070007983A1 (en) * 2005-01-06 2007-01-11 Salmon Peter C Semiconductor wafer tester
US20060209512A1 (en) * 2005-03-17 2006-09-21 Fujitsu Limited Heat receiving member, heat receiving device and electronic equipment
US20070025079A1 (en) * 2005-08-01 2007-02-01 Salmon Peter C Scalable subsystem architecture having integrated cooling channels
US20070023889A1 (en) * 2005-08-01 2007-02-01 Salmon Peter C Copper substrate with feedthroughs and interconnection circuits
US20070023904A1 (en) * 2005-08-01 2007-02-01 Salmon Peter C Electro-optic interconnection apparatus and method
US20070023923A1 (en) * 2005-08-01 2007-02-01 Salmon Peter C Flip chip interface including a mixed array of heat bumps and signal bumps

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7969171B1 (en) 2010-01-06 2011-06-28 General Electric Company Test circuit and system
US10248520B2 (en) 2015-09-25 2019-04-02 Oracle International Corporation High speed functional test vectors in low power test conditions of a digital integrated circuit
CN105574452A (en) * 2015-10-30 2016-05-11 无锡职业技术学院 RFID application testing system

Also Published As

Publication number Publication date
WO2004081587A2 (en) 2004-09-23
US20040176924A1 (en) 2004-09-09
US7505862B2 (en) 2009-03-17
WO2004081587A3 (en) 2005-06-09

Similar Documents

Publication Publication Date Title
US20090192753A1 (en) Apparatus and method for testing electronic systems
US6983441B2 (en) Embedding a JTAG host controller into an FPGA design
US7661048B2 (en) Apparatus and method for embedded boundary scan testing
US6510398B1 (en) Constrained signature-based test
US6617842B2 (en) Semiconductor device testing method and system employing trace data
EP0170878A1 (en) Method and apparatus for testing electronic equipment
US6961885B2 (en) System and method for testing video devices using a test fixture
US5510704A (en) Powered testing of mixed conventional/boundary-scan logic
JPH02171668A (en) Method and apparatus for electronic element
US7761751B1 (en) Test and diagnosis of semiconductors
US10997343B1 (en) In-system scan test of chips in an emulation system
US7146584B2 (en) Scan diagnosis system and method
US7640468B2 (en) Method and apparatus for an embedded time domain reflectometry test
CN113157501A (en) Micro-system module AC parameter testing method based on ATE tester
CN108957301B (en) Test method and device for testable chip and built-in circuit of testable chip
CN112067978A (en) FPGA screening test system and method based on FPGA
US7496474B2 (en) Dynamic on-chip logic analysis
Brindley Automatic test equipment
US20070035321A1 (en) Device and method for testing mixed-signal circuits
US20060090113A1 (en) Design for test of analog module systems
US6895565B2 (en) Methods for predicting board test coverage
US20050193295A1 (en) Tester channel count reduction using observe logic and pattern generator
US6986087B2 (en) Method and apparatus for improving testability of I/O driver/receivers
Johnson The increasing importance of utilizing non-intrusive board test technologies for printed circuit board defect coverage
JP2004280426A (en) Internal signal tracing device for logic integrated circuit

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION