US20090195777A1 - Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current - Google Patents

Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current Download PDF

Info

Publication number
US20090195777A1
US20090195777A1 US12/417,289 US41728909A US2009195777A1 US 20090195777 A1 US20090195777 A1 US 20090195777A1 US 41728909 A US41728909 A US 41728909A US 2009195777 A1 US2009195777 A1 US 2009195777A1
Authority
US
United States
Prior art keywords
plasma
bias power
sensor
current
power supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/417,289
Inventor
Kartik Ramaswamy
Seon-Mee Cho
Tsutomu Tanaka
Majeed A. Foad
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/971,772 external-priority patent/US7666464B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US12/417,289 priority Critical patent/US20090195777A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FOAD, MAJEED A., CHO, SEON-MEE, RAMASWAMY, KARTIK, TANAKA, TSUTOMU
Publication of US20090195777A1 publication Critical patent/US20090195777A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Definitions

  • Embodiments of the present invention generally relate to method and apparatus for processing a substrate. Particularly, embodiments of the present invention relate to methods and apparatus for monitoring dosages of one or more species during plasma processing of semiconductor substrates.
  • PECVD plasma enhanced chemical vapor deposition
  • HDPCVD high density plasma chemical vapor deposition
  • P3I plasma immersion ion implantation process
  • plasma etch process plasma etch process.
  • Ion implantation processes in integrated circuit fabrication particularly require instrumentation and control to achieve a desired ion dose on a semiconductor substrate.
  • the dose in ion implantation generally refers to the total number of ions per unit area passing through an imaginary surface plane of a substrate being processing.
  • the implanted ions distribute themselves throughout the volume of the substrate.
  • the principal variation in implanted ion density occurs along the direction of the ion flux, usually the perpendicular (vertical) direction relative to the substrate surface.
  • the distribution of ion density (ions per unit volume) along the vertical direction is referred to as the ion implantation depth profile.
  • Instrumentation and control systems for regulating ion implant dose (ions per unit area) is sometimes referred to as dosimetry.
  • Ion implantation may be performed in ion beam implant apparatus and in plasma immersion ion implantation apparatus.
  • Ion beam implant apparatus which generate a narrow ion beam that must be raster-scanned over the surface of the substrate, typically implant only a single atomic species at one time. The ion current in such an apparatus is precisely measured and integrated over time to compute the actual dose. Because the entire ion beam impacts the substrate and because the atomic species in the beam is known, the ion implant dose can be accurately determined. This is critical in an ion beam implant apparatus, because it employs a D.C.
  • ion source which is subject to significant drift in its output current, and the various grids and electrodes employed in the beam implant machine drift as well (due to the susceptibility of a D.C. source to accumulation of deposited material on component surfaces). Accordingly, precise dosimetry is essential in an ion beam implant apparatus.
  • the precisely monitored ion beam current is integrated over time to compute an instantaneous current implant dose, and the process is halted as soon as the dose reaches a predetermined target value.
  • plasma immersion ion implantation reactors present a difficult problem in dosimetry.
  • the atomic weight of the ions incident on the substrate cannot be precisely determined because such a reactor employs a precursor gas containing the desired ion implantation species as well as other species.
  • plasma immersion ion implantation of boron must employ a multi-species gas such as B 2 H 6 as the plasma precursor, so that both boron and hydrogen ions are incident on the substrate. As a result, determining the boron dose from a measured current is difficult.
  • Another difficulty in implementing dosimetry in a plasma immersion ion implantation reactor is that the plasma ions impact the entire substrate continuously, so that it is difficult to effect a direct measurement above the substrate of the total ion current to the substrate. Instead, the dose must be indirectly inferred from measurements taken over a very small area. This is particularly true of reactors employing RF (Radio Frequency) plasma source power or RF plasma bias power.
  • RF Radio Frequency
  • Plasma immersion ion implantation reactors employing D.C. (or pulsed D.C.) plasma source power are susceptible to drift in the plasma ion current due to deposition of material on internal reactor components from the plasma. Such reactors therefore require precise real-time dosimetry.
  • This problem has been addressed by providing a small orifice in the wafer support pedestal or cathode outside of the substrate periphery, for plasma ions to pass through into the interior volume of the cathode.
  • An electrode sometimes referred to as a Faraday cup faces the orifice and is biased to collect the ions passing through the orifice.
  • the interior of the cathode can be evacuated to a slightly lower pressure than the plasma chamber to ensure efficient collection of ions through the orifice.
  • a current sensor inside the cathode interior measures the current flowing between the ion-collecting electrode and its bias source. This current can be used as the basis of a dosimetry measurement.
  • One problem with such an arrangement is that the current measurement cannot distinguish between different atomic species, and therefore cannot provide an accurate measurement of the species of interest (e.g., boron).
  • Another problem is that the transmission of the measured current from the current sensor inside the cathode interior to an external controller or processor can be distorted by the noisy electromagnetic environment of the plasma reactor.
  • Another problem is that the orifice in the cathode constitutes an intrusion upon the ideal plasma environment, because the orifice can distort the electric field in the vicinity of the substrate periphery. Furthermore, plasma passing through the orifice can cause problems by either sputtering the orifice surfaces or by depositing on the orifice interior surfaces, requiring the periodic cleaning of the orifice interior.
  • the present invention generally provides methods and apparatus for controlling ion dosage in real time during plasma processes.
  • One embodiment of the present invention provides a method for processing a substrate comprising positioning the substrate in a plasma reactor configured to perform a plasma process, generating a plasma in the plasma reactor to start the plasma process by supplying a RF bias to the plasma reactor, obtaining a value of the at least one attribute of the plasma using a first sensor configured to monitor at least one attribute of a plasma generated in the plasma reactor, obtaining a value of the at least one attribute of the RF bias power using a second sensor configured to monitor at least one attribute of a RF bias power configured to supply the RF bias to the plasma reactor, and determining a real time dose value of one or more ion species in the plasma from the value of the at least one attribute of the plasma and the value of the at least on attribute of the RF bias power.
  • Another embodiment of the present invention provides an apparatus for processing a substrate comprising a process chamber defining a process volume, a conductive support pedestal positioned in the process volume, a gas distribution assembly connected to a gas panel and positioned parallel the conductive support pedestal, wherein an RF plasma bias power supply is coupled between the gas distribution assembly and the conductive support pedestal, a first sensor configured to monitor one or more attributes of a plasma generated in the process volume, a second sensor configured to monitor one or more attribute of the RF plasma bias power supply, and a controller coupled to the first and second sensors, wherein the controller is configured to receive and analyze signals from the first and second sensors.
  • Yet another embodiment of the present invention provides a method for implanting a desired dose of a material into a substrate comprising positioning the substrate in a plasma reactor having a RF bias power configured to generate a plasma in the plasma reactor, generating a plasma comprising the material in the plasma reactor using the RF bias power, obtaining a value of the attribute of the material in the plasma using a first sensor configured to monitor an attribute of the plasma in the plasma reactor, obtaining a value of the at least one attribute of the RF bias power using a second sensor configured to monitor at least one attribute of the RF bias power, determining a real time dosage value of the material using the value of the attribute of the material and the value of the at least one attribute of the RF bias power, and terminating the plasma when the real time dosage value is within an error range of the desired dose.
  • FIG. 1 schematically illustrates an isometric cross-sectional view of a plasma chamber in accordance with one embodiment of the present invention.
  • FIG. 2 schematically illustrates an isometric top view of the plasma chamber of FIG. 1 .
  • FIG. 3 schematically illustrates an exemplary method for monitoring real time dosage using a mass distribution sensor in conjunction with a current sensor.
  • FIG. 4 schematically illustrates a method for transforming current/voltage values from a feedpoint to a substrate surface positioned adjacent the plasma.
  • FIG. 5 illustrates a flow chart of a method for endpoint detection for a plasma processing in accordance with one embodiment of the present invention.
  • Embodiments of the present invention provide methods for controlling ion dosages in real time during plasma processes and apparatus for performing the methods.
  • FIG. 1 schematically illustrates an isometric cross-sectional view of a plasma chamber 1 in accordance with one embodiment of the present invention.
  • the plasma chamber 1 may be configured for a plasma enhanced chemical vapor deposition (PECVD) process, a high density plasma chemical vapor deposition (HDPCVD) process, an ion implantation process, an etch process, and other plasma processes.
  • PECVD plasma enhanced chemical vapor deposition
  • HDPCVD high density plasma chemical vapor deposition
  • ion implantation process ion implantation process
  • etch process etch process
  • the plasma chamber 1 comprises a toroidal plasma source 100 coupled to a body 3 of the plasma chamber 1 .
  • the body 3 comprises sidewalls 5 coupled to a lid 10 and a bottom 15 , which bounds an interior volume 20 .
  • Other examples of the plasma chamber 1 may be found in U.S. Pat. No. 6,939,434, filed Jun. 5, 2002 and issued on Sep. 6, 2005 and U.S. Pat. No. 6,893,907, filed Feb. 24, 2004 and issued May 17, 2005, both of which are incorporated by reference herein in their entireties.
  • the interior volume 20 includes a processing region 25 formed between a gas distribution assembly 200 and a substrate support 300 .
  • a pumping region 30 surrounds a portion of the substrate support 300 .
  • the pumping region 30 is in selective communication with a vacuum pump 40 through a valve 35 disposed in a port 45 formed in the bottom 15 .
  • the valve 35 is a throttle valve adapted to control the flow of gas or vapor from the interior volume 20 and through the port 45 to the vacuum pump 40 .
  • the valve 35 operates without the use of o-rings, and is further described in U.S. Patent Publication No. 2006/0237136, filed Apr. 26, 2005, which is incorporated by reference in its entirety.
  • a toroidal plasma source 100 is disposed on the lid 10 of the body 3 .
  • the toroidal plasma source 100 comprises a first conduit 150 A having a general “U” shape, and a second conduit 150 B having a general “M” shape.
  • the first conduit 150 A and the second conduit 150 B each include at least one antenna 170 A and 170 B respectively.
  • the antennas 170 A and 170 B are configured to form an inductively coupled plasma within an interior region 155 A/ 155 B of each of the conduits 150 A/ 150 B, respectively.
  • each antenna 170 A/ 170 B may be a winding or a coil coupled to a power source, such as a RF plasma power source 171 A/ 172 A.
  • An RF impedance matching systems 171 B/ 172 B may also be coupled to each antenna 170 A/ 170 B.
  • Process gases such as helium, argon, and other gases, may be provided to an interior region 155 A, 155 B of each of the conduits 150 A, 150 B, respectively.
  • the process gases may contain a dopant containing gases that is supplied to the interior regions 155 A/ 155 B of each conduit 150 A/ 150 B.
  • the process gases may be delivered to the toriodal plasma source 100 from a gas panel 130 B.
  • the process gases may be delivered through the gas distribution assembly 200 from a gas panel 130 A connected to a port 55 formed in the body 3 of the plasma chamber 1 .
  • each opposing end of the conduits 150 A/ 150 B are coupled to respective ports 50 A- 50 D (only 50 A and 50 B are shown in this view) formed in the lid 10 of the plasma chamber 1 .
  • a process gas is supplied to the interior region 155 A/ 155 B of each of the conduits 150 A/ 150 B, and RF power is applied to each antenna 170 A/ 170 B, to generate a circulating plasma path that travels through the ports 50 A- 50 D and the processing region 25 .
  • the circulating plasma path travels through port 50 A to port 50 B, or vise versa, through the processing region 25 between the gas distribution assembly 200 and the substrate support 300 .
  • Each conduit 150 A/ 150 B comprises a plasma channeling means 400 coupled between respective ends of the conduit 150 A/ 150 B and the ports 50 A- 50 D.
  • the plasma channeling means 400 is configured to split and widen the plasma path formed within each of the conduits 150 A/ 150 B.
  • the gas distribution assembly 200 comprises an annular wall 210 and a perforated plate 220 .
  • the annular wall 210 , the perforated plate 220 and the lid 10 define a plenum 230 .
  • the perforated plate 220 includes a plurality of openings 221 formed therethrough in a symmetrical or non-symmetrical pattern or patterns.
  • the dopant containing process gases may be delivered to processing region 25 from the gas distribution assembly 200 connected to the gas panel 130 A.
  • the process gases, such as dopant-containing gases may be provided to the plenum 230 from the port 55 .
  • the dopant-containing gas is a chemical consisting of the dopant impurity atom, such as boron (a p-type conductivity impurity in silicon) or phosphorus (an n-type conductivity impurity in silicon) and a volatile species such as fluorine and/or hydrogen.
  • the dopant-containing gas may contain boron trifluoride (BF 3 ) or diborane (B 2 H 6 ). The gases may flow through the openings 221 and into the processing region 25 below the perforated plate 220 .
  • the perforated plate 220 is RF biased to help generate and/or maintain a plasma in the processing region 25 .
  • the substrate support 300 comprises an upper plate 310 and a cathode assembly 320 .
  • the upper plate 310 has a smooth substrate supporting surface 310 B configured to support a substrate thereon.
  • the upper plate 310 comprises an embedded electrode 315 which is connected to a DC power source 306 to facilitate electrostatic attraction between a substrate and the substrate supporting surface 310 B of the upper plate 310 during process.
  • the embedded electrode 315 may also be used as an electrode for providing capacitive RF energy to the processing region 25 .
  • the embedded electrode 315 may be coupled to a RF plasma bias power 305 A via an RF impedance matching circuit 305 B.
  • the substrate support 300 may also include a lift pin assembly 500 that contains a plurality of lift pins 510 configured to transfer one or more substrates by selectively lifting and supporting a substrate above the upper plate 310 and are spaced to allow a robot blade to position therebetween.
  • a lift pin assembly 500 that contains a plurality of lift pins 510 configured to transfer one or more substrates by selectively lifting and supporting a substrate above the upper plate 310 and are spaced to allow a robot blade to position therebetween.
  • FIG. 2 schematically illustrates an isometric top view of the plasma chamber 1 shown in FIG. 1 .
  • the sidewall 5 of the plasma chamber 1 have a substrate port 7 that may be selectively sealed by a slit valve (not shown).
  • Process gases are supplied to the gas distribution assembly 200 by the gas panel 130 A coupled to the port 55 .
  • One or more process gases may be supplied to the toroidal sources 150 A, 150 B through the gas panel 130 B.
  • the plasma chamber 1 further comprises a controller 600 configured to monitor and control processes performed in the plasma chamber 1 .
  • the controller 600 may be connected with one or more sensors and configured to sampling, analyzing and storing sensor data.
  • the controller 600 may have the capacity to perform control tasks for different processes.
  • the controller 600 may be connected to operating parts of the plasma chamber 1 and send control signals to the operating parts.
  • the controller 600 may perform a closed loop control task by adjusting process parameters according to sensor data to achieve desired process result.
  • the controller 600 may be configured to perform dosage control of one or more species, end point detection, and other control tasks.
  • a RF probe 606 is positioned on a feedpoint 607 between the RF impedance matching circuit 305 B and the embedded electrode 315 .
  • the RF probe 606 may be a voltage/current coupler or a directional coupler.
  • the RF probe 606 may be replaced by individual instruments, such as a voltage probe and a current probe.
  • the RF probe 606 is capable of simultaneously or nearly simultaneously measuring RF voltage, RF current and an instantaneous impedance angle between the RF voltage and the RF current.
  • Total current or real part of current may be measured directly or calculated from indirect measurements from the RF probe 606 .
  • the measured current many be the RMS (root means squared) current, the peak current or the peak to peak current.
  • the measured current may be used to estimate ion dose rate and/or dose, which may be used to control dosage or determine an endpoint.
  • the measured current may be used to control dose-rate.
  • the RF current measured by the RF probe 606 substantially reflects a total current of radials flowing from a ground electrode, such as the perforated plate 220 , to a RF biased electrode (or the substrate disposed on the biased electrode), such as the embedded electrode 315 .
  • a transformation may be computed to transform a RF voltage/current value at the feedpoint 607 to a RF voltage/current value at a substrate positioned on the embedded electrode 315 . The transform is described in detail in accordance of FIG. 4 .
  • ion current may be the RF current value corresponding to the minimum RF voltage value.
  • determining ion current value using the minimum value of RF voltage can be found in “Measuring the Ion Current in High-density Plasma Using Radio Frequency Current and Voltage Measurements”, by Mark A. Sobolewski, Journal of Applied Physics, Volume 90, No. 6, pp. 2660-2671, 2001.
  • Current value obtained from the RF probe 606 is usually not equal to a current of one or more ion species intended to conduct the plasma process because, at least in part, there are other ion species in the plasma.
  • Boron ion is intended to be implanted into a substrate during a plasma implantation using B 2 H 6 as the plasma precursor.
  • the plasma may include ion species B 3+ and H + and both boron and hydrogen ions may incident on the substrate.
  • the current value obtained from the RF probe 606 may include current of both boron and hydrogen ions. To obtain desired dosage of Boron, it is necessary to obtain a ratio of the boron current relative to the total ion current measured by the RF probe.
  • ratio of one or more ion species of interest may be obtained in-situ using a mass distribution sensor configured to monitor a plasma generated in the plasma reactor.
  • the mass distribution sensor may be an optical emission spectrometer, a residual gas analyzer, a ground side mass spectrometer, or any suitable sensor.
  • an optical emission spectrometer 601 is disposed adjacent a quartz window 6 formed on the body 3 .
  • the optical emission spectrometer 601 is configured to quantitatively measure optical emissions from excited species in the plasma generated inside the plasma chamber 1 . Excited species in a plasma may decay back from the excited energy level to the lower energy level of emitting light. Since the transition is between distinct atomic energy levels, wavelength of the emitted light may be used to identify the excited species. In one embodiment, intensity of the emitted lights may reflect concentration or distribution of different species in a plasma including one or more species.
  • Plasma generally generate electromagnetic radiation that includes emissions having wavelengths in the optical spectrum, i.e., from about 180 nm to about 1100 nm. A portion of these emissions can be detected by a spectrometer, such as the optical emission spectrometer 601 , or other suitable devices such as a monochromator of a spectral filter equipped with one or more photodiodes.
  • the optical emission spectrometer (OES) 601 may comprise a lens 602 disposed next to the quartz window 6 .
  • the lens 602 may be configured to collimate radiation of the plasma passes through the quartz window 6 in to an optical fiber cable 603 connected to the spectrometer 604 .
  • the spectrometer 604 spectrally separates the radiation based on wavelength and generates detection signals for one or more spatially separated wavelengths.
  • a data acquisition device in the controller 600 may be used to collect data representing separated wavelength, hence properties of the ion species in the plasma, at a periodic sampling rate.
  • the collected data may be processed and analyzed for generating control singles to the RF plasma bias power 305 A, the RF plasma source powers 171 A/ 172 A, the gas panels 130 A/ 130 B, the pump 40 , or any other controllable components of the plasma chamber 1 to adjust process parameters, for example pressure, power intensities, flow rates, process duration.
  • a residual gas analyzer 608 shown in FIG. 1 , may be disposed on the sidewalls 5 .
  • the residual gas analyzer 608 is in fluid communication with the process region 25 so that the residual gas analyzer 608 can separate, identify and measure the quantity of all species in the process region 25 .
  • the residual gas analyzer 608 can monitor real time plasma behavior and provide data to compute ratio of different ion species in the plasma.
  • the residual gas analyzer 608 is connected to the controller 600 which may process and analyze measurements from the residual gas analyzer 608 to generate control singles to the RF plasma bias power 305 A, the RF plasma source powers 171 A/ 172 A, the gas panels 130 A/ 130 B, the pump 40 , or any other controllable components of the plasma chamber 1 to adjust process parameters, for example pressure, power intensities, flow rates, or process duration.
  • a mass spectrometer 605 configured to measure distribution of different species in the plasma may be positioned in the gas distribution assembly 200 . Similar to the residual gas analyzer 608 or the optical emission spectrometer 601 , the mass spectrometer 605 may monitor the plasma in real time and provide measurement to the controller 600 which may perform a closed loop control to achieve desired result during a plasma process.
  • a mass distribution sensor such as the optical emission spectrometer 601 , the residual gas analyzer 608 , the mass spectrometer 605 , or any other suitable devices, may be used in conjunction with a plasma current sensor, such as the RF probe 606 , to monitor real time dosage of one or more ion species of interest, to detect an endpoint, or to achieve desired processing result.
  • FIG. 3 schematically illustrates an exemplary method 700 for monitoring real time dosage using a mass distribution sensor in conjunction with a current sensor.
  • a substrate 703 is processed by a plasma 702 generated between an electrode 704 and a grounded electrode 701 .
  • the electrode 704 is connected with a bias power supply 707 through an impedance matching circuit 706 at a feedpoint 714 .
  • the plasma 702 is generated by an RF power supplied by the bias power supply 707 .
  • An RF probe 705 is connected to the electrode 704 at the feedpoint 714 .
  • the RF probe 705 is configured to monitor the real time voltage, current and phase of the RF bias power supplied to the electrode 704 .
  • a mass distribution sensor 710 is positioned to monitor the real time mass distribution of one or more ion species in the plasma.
  • the mass distribution sensor 710 may be one of an optical emission spectrometer, a residual gas analyzer, or a mass spectrometer. Both of the mass distribution sensor 710 and the RF probe 705 are connected to a processor 720 configured to calculate dosage values in real time according to the measurements from the mass distribution sensor 710 and the RF probe 705 .
  • the processor 720 may be programmed to estimate the ion implantation dose. This may be accomplished as illustrated in the flow diagram inside the processor 720 shown in FIG. 3 .
  • the processor 720 may track the incoming stream of instantaneous current values from the RF probe 705 .
  • a total ion current may be calculated in block 709 from the input of the RF probe 705 .
  • This total ion current may be obtained by multiplying each value of current by the cosine of the impedance angle from the RF sensor 705 .
  • the voltage, current and impedance angle measurements of the RF probe 705 may be transformed, in block 708 , from the feedpoint 714 to the surface of the substrate 703 , in accordance with a feature that is discussed later in this specification.
  • a mass distribution data may be input to the processor 720 from the mass distribution sensor 710 .
  • Instantaneous ratios of one or more ion species of interest in the plasma 702 may be calculated, in block 711 , from the measurements of the mass distribution sensor 710 .
  • the ion ratio and the total ion current is then combined together to obtain an actual ion current of the one or more ion species of interest, in block 712 of FIG. 3 .
  • the actual ion current of one ion species may be obtained by multiplying the ion ratio, the total ion current, the reciprocal of the electron charge of the ion species, and the reciprocal of surface area of the substrate 703 .
  • a real time dosage value of the ion species of interest may be obtained by integrating the actual ion current over time, in block 713 .
  • FIG. 4 schematically illustrates a method 800 for transforming current/voltage values from a feedpoint to a substrate surface positioned adjacent the plasma.
  • the method 800 may be used in the block 708 of FIG. 3 .
  • voltage/current input from a RF probe 802 positioned at a feedpoint is first digitized in a digitizer 804 to discrete values in time domain.
  • a fast Fourier Transformation maybe performed in step 806 to transform the voltage/current measurement into the frequency domain.
  • correction may be added to the voltage/current measurement using calibration data 810 for the RF probe.
  • calibrating the RF probe may be found in co-pending U.S. patent application Ser. No. 10/971,772 (Attorney Docket No. 9615), filed Oct. 23, 2004, which is herein incorporated by reference.
  • a feedpoint to substrate surface transformation may conducted to the corrected voltage/current measurement in the frequency domain.
  • an inverted Fourier transform may be performed to the transformed voltage/current value in the frequency domain.
  • the instantaneous voltage/current value on the substrate surface in the time domain is then obtained in step 816 and may be used in a precise process monitoring and controlling.
  • This feedpoint to substrate surface transformation may be found in co-pending U.S. patent application Ser. No. 10/971,772 (Attorney Docket No. 9615), filed Oct. 23, 2004, which is herein incorporated by reference.
  • FIG. 5 illustrates a flow chart of a method 900 for endpoint detection for a plasma processing in accordance with one embodiment of the present invention.
  • a substrate to be processed may be positioned in a plasma reactor.
  • step 920 the plasma process may be started. This step may include pumping down the plasma chamber, flowing in processing gases, and/or generating a plasma.
  • total ion current of the plasma in the plasma reactor may be monitored using a RF probe.
  • a RF probe connected to a RF bias power supply near a feed point.
  • a feedpoint to substrate surface transformation may be performed to obtain total ion current near the substrate surface.
  • mass distribution of the plasma in the plasma reactor may be monitored using a mass distribution sensor, such as an optical emission spectrometer, a residual gas analyzer, or a mass spectrometer. Ratio of one or more ion species of interest may be calculated instantaneously from the measurement of the mass distribution sensor.
  • a mass distribution sensor such as an optical emission spectrometer, a residual gas analyzer, or a mass spectrometer. Ratio of one or more ion species of interest may be calculated instantaneously from the measurement of the mass distribution sensor.
  • the real time dose value of the one or more ion species of interest may be calculated using the ration of the one or more ion species calculated in step 940 and the total ion current calculated in step 930 .
  • Calculation of the real time dose value may comprise calculating actual ion current of the ion species and integrating the actual ion current over time.
  • Calculation the actual ion current may comprise multiplying the ratio of the ion species, the total ion current, the reciprocal of the electron charge of the ion species, and the reciprocal of surface area of the substrate.
  • step 960 the real time dose value may be compared to a desired dose value. if the real time dose value is within an error limit of the desired dose value, the process may be terminated in step 980 . Alternatively, the process may be continued with repeating steps of 930 , 940 , 950 and 960 . In one embodiment, step 970 may be performed to adjust operating parameters to according to the real time dose value to achieve a close loop control.
  • the method and apparatus of the present invention may be used to monitor and control dosage of Arsenic (As), Phosphorus (P), Hydrogen (H), Oxygen (O), Fluorine (F), Silicon (Si), and other species used in a plasma process.
  • Arsenic Arsenic
  • Phosphorus P
  • Hydrogen H
  • Oxygen O
  • Fluorine F
  • Si Silicon
  • PECVD plasma enhanced chemical vapor deposition
  • HDPCVD high density plasma chemical vapor deposition
  • ion implantation process ion implantation process
  • etch process ion implantation process

Abstract

The present invention generally provides methods and apparatus for controlling ion dosage in real time during plasma processes. In one embodiment, ion dosages may be controlled using in-situ measurement of the plasma from a mass distribution sensor combined with in-situ measurement from an RF probe.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional application of co-pending U.S. patent application Ser. No. 11/681,313 (Attorney Docket No. 9615P1), filed Mar. 2, 2007, which is a continuation-in-part of co-pending U.S. patent application Ser. No. 10/971,772 (Attorney Docket No. 9615), filed Oct. 23, 2004, which is herein incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the present invention generally relate to method and apparatus for processing a substrate. Particularly, embodiments of the present invention relate to methods and apparatus for monitoring dosages of one or more species during plasma processing of semiconductor substrates.
  • 2. Description of the Related Art
  • It is important to control ion dosage during plasma processes, such as plasma enhanced chemical vapor deposition (PECVD) process, high density plasma chemical vapor deposition (HDPCVD) process, plasma immersion ion implantation process (P3I), and plasma etch process. Ion implantation processes in integrated circuit fabrication particularly require instrumentation and control to achieve a desired ion dose on a semiconductor substrate.
  • The dose in ion implantation generally refers to the total number of ions per unit area passing through an imaginary surface plane of a substrate being processing. The implanted ions distribute themselves throughout the volume of the substrate. The principal variation in implanted ion density (number of ions per unit volume) occurs along the direction of the ion flux, usually the perpendicular (vertical) direction relative to the substrate surface. The distribution of ion density (ions per unit volume) along the vertical direction is referred to as the ion implantation depth profile. Instrumentation and control systems for regulating ion implant dose (ions per unit area) is sometimes referred to as dosimetry.
  • Ion implantation may be performed in ion beam implant apparatus and in plasma immersion ion implantation apparatus. Ion beam implant apparatus, which generate a narrow ion beam that must be raster-scanned over the surface of the substrate, typically implant only a single atomic species at one time. The ion current in such an apparatus is precisely measured and integrated over time to compute the actual dose. Because the entire ion beam impacts the substrate and because the atomic species in the beam is known, the ion implant dose can be accurately determined. This is critical in an ion beam implant apparatus, because it employs a D.C. ion source, which is subject to significant drift in its output current, and the various grids and electrodes employed in the beam implant machine drift as well (due to the susceptibility of a D.C. source to accumulation of deposited material on component surfaces). Accordingly, precise dosimetry is essential in an ion beam implant apparatus. The precisely monitored ion beam current is integrated over time to compute an instantaneous current implant dose, and the process is halted as soon as the dose reaches a predetermined target value.
  • In contrast, plasma immersion ion implantation reactors present a difficult problem in dosimetry. Typically, the atomic weight of the ions incident on the substrate cannot be precisely determined because such a reactor employs a precursor gas containing the desired ion implantation species as well as other species. For example, since pure boron is a solid at room temperature, plasma immersion ion implantation of boron must employ a multi-species gas such as B2H6 as the plasma precursor, so that both boron and hydrogen ions are incident on the substrate. As a result, determining the boron dose from a measured current is difficult. Another difficulty in implementing dosimetry in a plasma immersion ion implantation reactor is that the plasma ions impact the entire substrate continuously, so that it is difficult to effect a direct measurement above the substrate of the total ion current to the substrate. Instead, the dose must be indirectly inferred from measurements taken over a very small area. This is particularly true of reactors employing RF (Radio Frequency) plasma source power or RF plasma bias power.
  • Plasma immersion ion implantation reactors employing D.C. (or pulsed D.C.) plasma source power are susceptible to drift in the plasma ion current due to deposition of material on internal reactor components from the plasma. Such reactors therefore require precise real-time dosimetry. This problem has been addressed by providing a small orifice in the wafer support pedestal or cathode outside of the substrate periphery, for plasma ions to pass through into the interior volume of the cathode. An electrode sometimes referred to as a Faraday cup faces the orifice and is biased to collect the ions passing through the orifice. The interior of the cathode can be evacuated to a slightly lower pressure than the plasma chamber to ensure efficient collection of ions through the orifice. A current sensor inside the cathode interior measures the current flowing between the ion-collecting electrode and its bias source. This current can be used as the basis of a dosimetry measurement. One problem with such an arrangement is that the current measurement cannot distinguish between different atomic species, and therefore cannot provide an accurate measurement of the species of interest (e.g., boron). Another problem is that the transmission of the measured current from the current sensor inside the cathode interior to an external controller or processor can be distorted by the noisy electromagnetic environment of the plasma reactor.
  • Another problem is that the orifice in the cathode constitutes an intrusion upon the ideal plasma environment, because the orifice can distort the electric field in the vicinity of the substrate periphery. Furthermore, plasma passing through the orifice can cause problems by either sputtering the orifice surfaces or by depositing on the orifice interior surfaces, requiring the periodic cleaning of the orifice interior.
  • In plasma immersion ion implantation reactors employing RF plasma source power, precise or real-time dose measurement typically was not critical. This is due in part to the fact that an RF plasma is relatively impervious to deposition of material on internal chamber components, so that the ion flux at the wafer surface does not drift significantly, compared to a reactor employing a D.C. plasma source. Moreover, real-time dose measurement in such a reactor is difficult. For example, the harsh RF environment of such a reactor would distort an ion current measurement taken inside the cathode (as described above) as it is conveyed to an external controller or processor. To avoid such problems, implant dose can be reliably controlled based upon the predicted or estimated time required to reach the target implant dose. However, a real-time does control is more and more in need as the feature size becomes smaller and smaller in the semiconductor devices.
  • Therefore, there is a need for precise real-time dosimetry in a plasma processing chamber, such as an RF plasma immersion ion implantation reactor.
  • SUMMARY OF THE INVENTION
  • The present invention generally provides methods and apparatus for controlling ion dosage in real time during plasma processes.
  • One embodiment of the present invention provides a method for processing a substrate comprising positioning the substrate in a plasma reactor configured to perform a plasma process, generating a plasma in the plasma reactor to start the plasma process by supplying a RF bias to the plasma reactor, obtaining a value of the at least one attribute of the plasma using a first sensor configured to monitor at least one attribute of a plasma generated in the plasma reactor, obtaining a value of the at least one attribute of the RF bias power using a second sensor configured to monitor at least one attribute of a RF bias power configured to supply the RF bias to the plasma reactor, and determining a real time dose value of one or more ion species in the plasma from the value of the at least one attribute of the plasma and the value of the at least on attribute of the RF bias power.
  • Another embodiment of the present invention provides an apparatus for processing a substrate comprising a process chamber defining a process volume, a conductive support pedestal positioned in the process volume, a gas distribution assembly connected to a gas panel and positioned parallel the conductive support pedestal, wherein an RF plasma bias power supply is coupled between the gas distribution assembly and the conductive support pedestal, a first sensor configured to monitor one or more attributes of a plasma generated in the process volume, a second sensor configured to monitor one or more attribute of the RF plasma bias power supply, and a controller coupled to the first and second sensors, wherein the controller is configured to receive and analyze signals from the first and second sensors.
  • Yet another embodiment of the present invention provides a method for implanting a desired dose of a material into a substrate comprising positioning the substrate in a plasma reactor having a RF bias power configured to generate a plasma in the plasma reactor, generating a plasma comprising the material in the plasma reactor using the RF bias power, obtaining a value of the attribute of the material in the plasma using a first sensor configured to monitor an attribute of the plasma in the plasma reactor, obtaining a value of the at least one attribute of the RF bias power using a second sensor configured to monitor at least one attribute of the RF bias power, determining a real time dosage value of the material using the value of the attribute of the material and the value of the at least one attribute of the RF bias power, and terminating the plasma when the real time dosage value is within an error range of the desired dose.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 schematically illustrates an isometric cross-sectional view of a plasma chamber in accordance with one embodiment of the present invention.
  • FIG. 2 schematically illustrates an isometric top view of the plasma chamber of FIG. 1.
  • FIG. 3 schematically illustrates an exemplary method for monitoring real time dosage using a mass distribution sensor in conjunction with a current sensor.
  • FIG. 4 schematically illustrates a method for transforming current/voltage values from a feedpoint to a substrate surface positioned adjacent the plasma.
  • FIG. 5 illustrates a flow chart of a method for endpoint detection for a plasma processing in accordance with one embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Embodiments of the present invention provide methods for controlling ion dosages in real time during plasma processes and apparatus for performing the methods.
  • FIG. 1 schematically illustrates an isometric cross-sectional view of a plasma chamber 1 in accordance with one embodiment of the present invention. The plasma chamber 1 may be configured for a plasma enhanced chemical vapor deposition (PECVD) process, a high density plasma chemical vapor deposition (HDPCVD) process, an ion implantation process, an etch process, and other plasma processes.
  • The plasma chamber 1 comprises a toroidal plasma source 100 coupled to a body 3 of the plasma chamber 1. The body 3 comprises sidewalls 5 coupled to a lid 10 and a bottom 15, which bounds an interior volume 20. Other examples of the plasma chamber 1 may be found in U.S. Pat. No. 6,939,434, filed Jun. 5, 2002 and issued on Sep. 6, 2005 and U.S. Pat. No. 6,893,907, filed Feb. 24, 2004 and issued May 17, 2005, both of which are incorporated by reference herein in their entireties.
  • The interior volume 20 includes a processing region 25 formed between a gas distribution assembly 200 and a substrate support 300. A pumping region 30 surrounds a portion of the substrate support 300. The pumping region 30 is in selective communication with a vacuum pump 40 through a valve 35 disposed in a port 45 formed in the bottom 15. In one embodiment, the valve 35 is a throttle valve adapted to control the flow of gas or vapor from the interior volume 20 and through the port 45 to the vacuum pump 40. In one embodiment, the valve 35 operates without the use of o-rings, and is further described in U.S. Patent Publication No. 2006/0237136, filed Apr. 26, 2005, which is incorporated by reference in its entirety.
  • A toroidal plasma source 100 is disposed on the lid 10 of the body 3. In one embodiment, the toroidal plasma source 100 comprises a first conduit 150A having a general “U” shape, and a second conduit 150B having a general “M” shape. The first conduit 150A and the second conduit 150B each include at least one antenna 170A and 170B respectively. The antennas 170A and 170B are configured to form an inductively coupled plasma within an interior region 155A/155B of each of the conduits 150A/150B, respectively. As shown in FIG. 2, each antenna 170A/170B may be a winding or a coil coupled to a power source, such as a RF plasma power source 171A/172A. An RF impedance matching systems 171B/172B may also be coupled to each antenna 170A/170B. Process gases, such as helium, argon, and other gases, may be provided to an interior region 155A, 155B of each of the conduits 150A, 150B, respectively. In one embodiment, the process gases may contain a dopant containing gases that is supplied to the interior regions 155A/155B of each conduit 150A/150B. In one embodiment, the process gases may be delivered to the toriodal plasma source 100 from a gas panel 130B. In another embodiment, the process gases may be delivered through the gas distribution assembly 200 from a gas panel 130A connected to a port 55 formed in the body 3 of the plasma chamber 1.
  • In one embodiment, each opposing end of the conduits 150A/150B are coupled to respective ports 50A-50D (only 50A and 50B are shown in this view) formed in the lid 10 of the plasma chamber 1. During processing, a process gas is supplied to the interior region 155A/155B of each of the conduits 150A/150B, and RF power is applied to each antenna 170A/170B, to generate a circulating plasma path that travels through the ports 50A-50D and the processing region 25. Specifically, in FIG. 1, the circulating plasma path travels through port 50A to port 50B, or vise versa, through the processing region 25 between the gas distribution assembly 200 and the substrate support 300. Each conduit 150A/150B comprises a plasma channeling means 400 coupled between respective ends of the conduit 150A/150B and the ports 50A-50D. In one embodiment, the plasma channeling means 400 is configured to split and widen the plasma path formed within each of the conduits 150A/150B.
  • The gas distribution assembly 200 comprises an annular wall 210 and a perforated plate 220. The annular wall 210, the perforated plate 220 and the lid 10 define a plenum 230. The perforated plate 220 includes a plurality of openings 221 formed therethrough in a symmetrical or non-symmetrical pattern or patterns. In one embodiment, the dopant containing process gases may be delivered to processing region 25 from the gas distribution assembly 200 connected to the gas panel 130A. The process gases, such as dopant-containing gases, may be provided to the plenum 230 from the port 55. Generally, the dopant-containing gas is a chemical consisting of the dopant impurity atom, such as boron (a p-type conductivity impurity in silicon) or phosphorus (an n-type conductivity impurity in silicon) and a volatile species such as fluorine and/or hydrogen. Thus, fluorides and/or hydrides of boron, phosphorous or other dopant species such as arsenic, antimony, etc., can be dopant gases. For example where a boron dopant is used the dopant-containing gas may contain boron trifluoride (BF3) or diborane (B2H6). The gases may flow through the openings 221 and into the processing region 25 below the perforated plate 220. In one embodiment, the perforated plate 220 is RF biased to help generate and/or maintain a plasma in the processing region 25.
  • The substrate support 300 comprises an upper plate 310 and a cathode assembly 320. The upper plate 310 has a smooth substrate supporting surface 310B configured to support a substrate thereon. The upper plate 310 comprises an embedded electrode 315 which is connected to a DC power source 306 to facilitate electrostatic attraction between a substrate and the substrate supporting surface 310B of the upper plate 310 during process. In one embodiment, the embedded electrode 315 may also be used as an electrode for providing capacitive RF energy to the processing region 25. The embedded electrode 315 may be coupled to a RF plasma bias power 305A via an RF impedance matching circuit 305B.
  • The substrate support 300 may also include a lift pin assembly 500 that contains a plurality of lift pins 510 configured to transfer one or more substrates by selectively lifting and supporting a substrate above the upper plate 310 and are spaced to allow a robot blade to position therebetween.
  • FIG. 2 schematically illustrates an isometric top view of the plasma chamber 1 shown in FIG. 1. The sidewall 5 of the plasma chamber 1 have a substrate port 7 that may be selectively sealed by a slit valve (not shown). Process gases are supplied to the gas distribution assembly 200 by the gas panel 130A coupled to the port 55. One or more process gases may be supplied to the toroidal sources 150A, 150B through the gas panel 130B.
  • The plasma chamber 1 further comprises a controller 600 configured to monitor and control processes performed in the plasma chamber 1. The controller 600 may be connected with one or more sensors and configured to sampling, analyzing and storing sensor data. In one embodiment, the controller 600 may have the capacity to perform control tasks for different processes. The controller 600 may be connected to operating parts of the plasma chamber 1 and send control signals to the operating parts. The controller 600 may perform a closed loop control task by adjusting process parameters according to sensor data to achieve desired process result. In one embodiment of the present invention, the controller 600 may be configured to perform dosage control of one or more species, end point detection, and other control tasks.
  • In one embodiment, a RF probe 606 is positioned on a feedpoint 607 between the RF impedance matching circuit 305B and the embedded electrode 315. The RF probe 606 may be a voltage/current coupler or a directional coupler. The RF probe 606 may be replaced by individual instruments, such as a voltage probe and a current probe. The RF probe 606 is capable of simultaneously or nearly simultaneously measuring RF voltage, RF current and an instantaneous impedance angle between the RF voltage and the RF current.
  • Total current or real part of current (product of total current and cosine of impedance angle, or quotient of absorbed bias power with respect to bias voltage), may be measured directly or calculated from indirect measurements from the RF probe 606. The measured current many be the RMS (root means squared) current, the peak current or the peak to peak current. The measured current may be used to estimate ion dose rate and/or dose, which may be used to control dosage or determine an endpoint. The measured current may be used to control dose-rate.
  • During a plasma processing, the RF current measured by the RF probe 606 substantially reflects a total current of radials flowing from a ground electrode, such as the perforated plate 220, to a RF biased electrode (or the substrate disposed on the biased electrode), such as the embedded electrode 315. In one embodiment of the present invention, a transformation may be computed to transform a RF voltage/current value at the feedpoint 607 to a RF voltage/current value at a substrate positioned on the embedded electrode 315. The transform is described in detail in accordance of FIG. 4.
  • In one embodiment, ion current may be the RF current value corresponding to the minimum RF voltage value. Detailed explanation of determining ion current value using the minimum value of RF voltage can be found in “Measuring the Ion Current in High-density Plasma Using Radio Frequency Current and Voltage Measurements”, by Mark A. Sobolewski, Journal of Applied Physics, Volume 90, No. 6, pp. 2660-2671, 2001.
  • Current value obtained from the RF probe 606, however, is usually not equal to a current of one or more ion species intended to conduct the plasma process because, at least in part, there are other ion species in the plasma. For example, Boron ion is intended to be implanted into a substrate during a plasma implantation using B2H6 as the plasma precursor. The plasma may include ion species B3+ and H+ and both boron and hydrogen ions may incident on the substrate. The current value obtained from the RF probe 606 may include current of both boron and hydrogen ions. To obtain desired dosage of Boron, it is necessary to obtain a ratio of the boron current relative to the total ion current measured by the RF probe.
  • In one embodiment of the present invention, ratio of one or more ion species of interest may be obtained in-situ using a mass distribution sensor configured to monitor a plasma generated in the plasma reactor. The mass distribution sensor may be an optical emission spectrometer, a residual gas analyzer, a ground side mass spectrometer, or any suitable sensor.
  • In one embodiment, as shown in FIG. 1, an optical emission spectrometer 601 is disposed adjacent a quartz window 6 formed on the body 3. The optical emission spectrometer 601 is configured to quantitatively measure optical emissions from excited species in the plasma generated inside the plasma chamber 1. Excited species in a plasma may decay back from the excited energy level to the lower energy level of emitting light. Since the transition is between distinct atomic energy levels, wavelength of the emitted light may be used to identify the excited species. In one embodiment, intensity of the emitted lights may reflect concentration or distribution of different species in a plasma including one or more species. Plasma generally generate electromagnetic radiation that includes emissions having wavelengths in the optical spectrum, i.e., from about 180 nm to about 1100 nm. A portion of these emissions can be detected by a spectrometer, such as the optical emission spectrometer 601, or other suitable devices such as a monochromator of a spectral filter equipped with one or more photodiodes.
  • The optical emission spectrometer (OES) 601 may comprise a lens 602 disposed next to the quartz window 6. The lens 602 may be configured to collimate radiation of the plasma passes through the quartz window 6 in to an optical fiber cable 603 connected to the spectrometer 604. The spectrometer 604 spectrally separates the radiation based on wavelength and generates detection signals for one or more spatially separated wavelengths. A data acquisition device in the controller 600 may be used to collect data representing separated wavelength, hence properties of the ion species in the plasma, at a periodic sampling rate. The collected data may be processed and analyzed for generating control singles to the RF plasma bias power 305A, the RF plasma source powers 171A/172A, the gas panels 130A/130B, the pump 40, or any other controllable components of the plasma chamber 1 to adjust process parameters, for example pressure, power intensities, flow rates, process duration.
  • In one embodiment, a residual gas analyzer 608, shown in FIG. 1, may be disposed on the sidewalls 5. The residual gas analyzer 608 is in fluid communication with the process region 25 so that the residual gas analyzer 608 can separate, identify and measure the quantity of all species in the process region 25. The residual gas analyzer 608 can monitor real time plasma behavior and provide data to compute ratio of different ion species in the plasma. The residual gas analyzer 608 is connected to the controller 600 which may process and analyze measurements from the residual gas analyzer 608 to generate control singles to the RF plasma bias power 305A, the RF plasma source powers 171A/172A, the gas panels 130A/130B, the pump 40, or any other controllable components of the plasma chamber 1 to adjust process parameters, for example pressure, power intensities, flow rates, or process duration.
  • In another embodiment, a mass spectrometer 605 configured to measure distribution of different species in the plasma may be positioned in the gas distribution assembly 200. Similar to the residual gas analyzer 608 or the optical emission spectrometer 601, the mass spectrometer 605 may monitor the plasma in real time and provide measurement to the controller 600 which may perform a closed loop control to achieve desired result during a plasma process.
  • In one embodiment of the present invention, a mass distribution sensor, such as the optical emission spectrometer 601, the residual gas analyzer 608, the mass spectrometer 605, or any other suitable devices, may be used in conjunction with a plasma current sensor, such as the RF probe 606, to monitor real time dosage of one or more ion species of interest, to detect an endpoint, or to achieve desired processing result. FIG. 3 schematically illustrates an exemplary method 700 for monitoring real time dosage using a mass distribution sensor in conjunction with a current sensor.
  • As shown in FIG. 3, a substrate 703 is processed by a plasma 702 generated between an electrode 704 and a grounded electrode 701. The electrode 704 is connected with a bias power supply 707 through an impedance matching circuit 706 at a feedpoint 714. The plasma 702 is generated by an RF power supplied by the bias power supply 707.
  • An RF probe 705 is connected to the electrode 704 at the feedpoint 714. The RF probe 705 is configured to monitor the real time voltage, current and phase of the RF bias power supplied to the electrode 704. A mass distribution sensor 710 is positioned to monitor the real time mass distribution of one or more ion species in the plasma. The mass distribution sensor 710 may be one of an optical emission spectrometer, a residual gas analyzer, or a mass spectrometer. Both of the mass distribution sensor 710 and the RF probe 705 are connected to a processor 720 configured to calculate dosage values in real time according to the measurements from the mass distribution sensor 710 and the RF probe 705.
  • The processor 720, in one embodiment, may be programmed to estimate the ion implantation dose. This may be accomplished as illustrated in the flow diagram inside the processor 720 shown in FIG. 3. The processor 720 may track the incoming stream of instantaneous current values from the RF probe 705. A total ion current may be calculated in block 709 from the input of the RF probe 705. This total ion current may be obtained by multiplying each value of current by the cosine of the impedance angle from the RF sensor 705. For more accurate performance, the voltage, current and impedance angle measurements of the RF probe 705 may be transformed, in block 708, from the feedpoint 714 to the surface of the substrate 703, in accordance with a feature that is discussed later in this specification.
  • Simultaneously, a mass distribution data may be input to the processor 720 from the mass distribution sensor 710. Instantaneous ratios of one or more ion species of interest in the plasma 702 may be calculated, in block 711, from the measurements of the mass distribution sensor 710.
  • The ion ratio and the total ion current is then combined together to obtain an actual ion current of the one or more ion species of interest, in block 712 of FIG. 3. In one embodiment, the actual ion current of one ion species may be obtained by multiplying the ion ratio, the total ion current, the reciprocal of the electron charge of the ion species, and the reciprocal of surface area of the substrate 703.
  • A real time dosage value of the ion species of interest may be obtained by integrating the actual ion current over time, in block 713.
  • FIG. 4 schematically illustrates a method 800 for transforming current/voltage values from a feedpoint to a substrate surface positioned adjacent the plasma. The method 800 may be used in the block 708 of FIG. 3.
  • As shown in FIG. 4, voltage/current input from a RF probe 802 positioned at a feedpoint is first digitized in a digitizer 804 to discrete values in time domain. A fast Fourier Transformation maybe performed in step 806 to transform the voltage/current measurement into the frequency domain. In step 808, correction may be added to the voltage/current measurement using calibration data 810 for the RF probe. Detailed description of calibrating the RF probe may be found in co-pending U.S. patent application Ser. No. 10/971,772 (Attorney Docket No. 9615), filed Oct. 23, 2004, which is herein incorporated by reference.
  • In step 812, a feedpoint to substrate surface transformation may conducted to the corrected voltage/current measurement in the frequency domain. In step 814, an inverted Fourier transform may be performed to the transformed voltage/current value in the frequency domain. The instantaneous voltage/current value on the substrate surface in the time domain is then obtained in step 816 and may be used in a precise process monitoring and controlling. Detailed description of this feedpoint to substrate surface transformation may be found in co-pending U.S. patent application Ser. No. 10/971,772 (Attorney Docket No. 9615), filed Oct. 23, 2004, which is herein incorporated by reference.
  • FIG. 5 illustrates a flow chart of a method 900 for endpoint detection for a plasma processing in accordance with one embodiment of the present invention.
  • In step 910, a substrate to be processed may be positioned in a plasma reactor.
  • In step 920, the plasma process may be started. This step may include pumping down the plasma chamber, flowing in processing gases, and/or generating a plasma.
  • In step 930, total ion current of the plasma in the plasma reactor may be monitored using a RF probe. For example, an RF probe connected to a RF bias power supply near a feed point. In one embodiment, a feedpoint to substrate surface transformation may be performed to obtain total ion current near the substrate surface.
  • In step 940, mass distribution of the plasma in the plasma reactor may be monitored using a mass distribution sensor, such as an optical emission spectrometer, a residual gas analyzer, or a mass spectrometer. Ratio of one or more ion species of interest may be calculated instantaneously from the measurement of the mass distribution sensor.
  • In step 950, the real time dose value of the one or more ion species of interest may be calculated using the ration of the one or more ion species calculated in step 940 and the total ion current calculated in step 930. Calculation of the real time dose value may comprise calculating actual ion current of the ion species and integrating the actual ion current over time. Calculation the actual ion current may comprise multiplying the ratio of the ion species, the total ion current, the reciprocal of the electron charge of the ion species, and the reciprocal of surface area of the substrate.
  • In step 960, the real time dose value may be compared to a desired dose value. if the real time dose value is within an error limit of the desired dose value, the process may be terminated in step 980. Alternatively, the process may be continued with repeating steps of 930, 940, 950 and 960. In one embodiment, step 970 may be performed to adjust operating parameters to according to the real time dose value to achieve a close loop control.
  • While the ion implantation of Boron (B) is described in the present application, the method and apparatus of the present invention may be used to monitor and control dosage of Arsenic (As), Phosphorus (P), Hydrogen (H), Oxygen (O), Fluorine (F), Silicon (Si), and other species used in a plasma process.
  • While method and apparatus of the present invention is described in accordance with a plasma immersion ion implantation process, persons skilled in the art may find it suitable to other plasma processes, such as a plasma enhanced chemical vapor deposition (PECVD) process, a high density plasma chemical vapor deposition (HDPCVD) process, an ion implantation process, and an etch process.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (20)

1. An apparatus for processing a substrate, comprising:
a process chamber defining a process volume;
a conductive support pedestal positioned in the process volume;
a gas distribution assembly connected to a gas panel and positioned parallel the conductive support pedestal, wherein an RF plasma bias power supply is coupled between the gas distribution assembly and the conductive support pedestal;
a first sensor configured to monitor one or more attributes of a plasma generated in the process volume;
a second sensor configured to monitor one or more attribute of the RF plasma bias power supply; and
a controller coupled to the first and second sensors, wherein the controller is configured to receive and analyze signals from the first and second sensors.
2. The apparatus of claim 1, wherein the first sensor is one of an optical emission spectrometer, a mass spectrometer and a residual gas analyzer.
3. The apparatus of claim 2, wherein the second sensor is a RF voltage/current probe.
4. The apparatus of claim 2, wherein the second sensor is a RF voltage/current probe connected to the RF plasma bias power supply.
5. The apparatus of claim 3, wherein the controller is configured to monitor dosage of one or more ion species in the plasma generated in the process volume using measurements from the first sensor combined with measurements from the second sensor.
6. The apparatus of claim 1, further comprises a toroidal plasma source in fluid communication with the process volume.
7. An apparatus for processing a substrate, comprising:
a plasma reactor having a process volume;
a first sensor configured to monitor one or more attributes of a plasma generated in the process volume by a RF bias power supply coupled to the plasma reactor;
a second sensor configured to monitor one or more attribute of a RF bias power supply; and
a controller coupled to the first and second sensors, wherein the controller is configured perform a process comprising:
obtaining a value of the one or more attributes of the plasma from the first sensor;
obtaining a value of the one or more attributes of the RF bias power supply from the second sensor; and
determining a real time dose value of one or more ion species in the plasma from the value of the one or more attributes of the plasma and the value of the one or more attributes of the RF bias power supply.
8. The apparatus of claim 7, wherein the one or more attributes of the RF bias power supply comprises a value of total ion current.
9. The apparatus of claim 8, wherein the first sensor is one of an optical emission spectrometer, a mass spectrometer and a residual gas analyzer.
10. The apparatus of claim 8, wherein the second sensor is a RF voltage/current probe connected to a feedpoint of the RF bias power supply.
11. The apparatus of claim 10, wherein determining the real time dose value of the one or more ion species in the plasma comprises:
determining a real time value of a ratio of the one or more ion species over total ions in the plasma using a real time value of the at least one attribute of the plasma measured by the first sensor;
determining a real time value of a total ion current near a feedpoint of the RF bias power supply measured by the second sensor coupled to the feed point of the RF bias power;
calculating a current value of the one or more ion species by multiplying the ratio of the one or more ion species in the plasma and the total ion current; and
integrating the current value of the one or more ion species over time.
12. The apparatus of claim 11, wherein determining real time value of the total current comprises transforming the total ion current near the feedpoint of the RF bias power supply to a total ion current near a surface of the substrate.
13. The apparatus of claim 7, wherein the method performed by the controller further comprises adjusting at least one attribute of the plasma reactor according to the real time dosage value of the one or more ion species in the plasma.
14. An apparatus for processing a substrate, comprising:
a process chamber defining a process volume;
a conductive support pedestal positioned in the process volume and configured to support the substrate during processing;
a gas distribution assembly positioned parallel the conductive support pedestal;
an RF plasma bias power supply coupled between the gas distribution assembly and the conductive support pedestal and configured to generate a plasma in the process volume;
a first sensor configured to monitor one or more attributes of the plasma generated in the process volume;
a second sensor configured to monitor one or more attribute of the RF plasma bias power supply; and
a controller coupled to the first and second sensors, wherein the controller is configured to apply a desired dose of a material to the substrate.
15. The apparatus of claim 14, wherein the controller is configured to perform a process comprising:
obtaining a value of an attribute of the ions of the material in the plasma using the first sensor;
obtaining a value of a total current of the RF bias power supply using the second sensor;
determining a real time dosage value of the material using the value of the attribute of the ions of the material and the value of the total current of the RF bias power supply; and
terminating the plasma when the real time dosage value is within an error range of the desired dose.
16. The apparatus of claim 15, wherein the attribute of the ions of the material is a ratio of the ions of the material over total ions in the plasma.
17. The apparatus of claim 16, wherein the first sensor is one of an optical emission spectrometer, a mass spectrometer and a residual gas analyzer.
18. The apparatus of claim 15, wherein the second sensor is a RF voltage/current probe coupled to a feedpoint of the RF bias power supply and configured to measure voltage, current and phase of the RF bias power supply.
19. The apparatus of claim 18, wherein the process performed by the controller further comprises transforming the total current of the RF bias power supply to a total ion current near a surface of the substrate.
20. The apparatus of claim 19, wherein transferring the total current of the RF bias power supply to the total ion current near the surface of the substrate comprises:
transforming the total current of the RF bias power supply from time domain to frequency domain;
correcting the total current of the RF bias power supply in frequency domain using calibration data of the second sensor; and
obtaining the total ion current near the surface of the substrate by transforming corrected total current in frequency domain to time domain.
US12/417,289 2004-10-23 2009-04-02 Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current Abandoned US20090195777A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/417,289 US20090195777A1 (en) 2004-10-23 2009-04-02 Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/971,772 US7666464B2 (en) 2004-10-23 2004-10-23 RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US11/681,313 US7531469B2 (en) 2004-10-23 2007-03-02 Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US12/417,289 US20090195777A1 (en) 2004-10-23 2009-04-02 Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/681,313 Division US7531469B2 (en) 2004-10-23 2007-03-02 Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current

Publications (1)

Publication Number Publication Date
US20090195777A1 true US20090195777A1 (en) 2009-08-06

Family

ID=39892361

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/681,313 Active US7531469B2 (en) 2004-10-23 2007-03-02 Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US12/417,289 Abandoned US20090195777A1 (en) 2004-10-23 2009-04-02 Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/681,313 Active US7531469B2 (en) 2004-10-23 2007-03-02 Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current

Country Status (5)

Country Link
US (2) US7531469B2 (en)
JP (1) JP5366413B2 (en)
KR (1) KR100931767B1 (en)
CN (1) CN101256942B (en)
TW (1) TWI467637B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130250293A1 (en) * 2012-03-20 2013-09-26 Fei Company Method and Apparatus for Actively Monitoring an Inductively-Coupled Plasma Ion Source using an Optical Spectrometer
CN105547474A (en) * 2015-11-27 2016-05-04 中国电子科技集团公司第二十七研究所 In-bore plasma armature parameter real-time in-situ measurement method
US20170076920A1 (en) * 2015-09-10 2017-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Ion collector for use in plasma systems

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US7871828B2 (en) * 2007-02-06 2011-01-18 Applied Materials, Inc. In-situ dose monitoring using optical emission spectroscopy
US7713757B2 (en) * 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
US8158017B2 (en) * 2008-05-12 2012-04-17 Lam Research Corporation Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
US8344318B2 (en) * 2008-09-11 2013-01-01 Varian Semiconductor Equipment Associates, Inc. Technique for monitoring and controlling a plasma process with an ion mobility spectrometer
US20100155600A1 (en) * 2008-12-23 2010-06-24 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for plasma dose measurement
CN101566501B (en) * 2009-05-14 2011-01-26 大连海事大学 Method for measuring plasma electron density by fiber spectrum synergizing discharge current
EP2499476A4 (en) * 2009-11-09 2017-07-26 MKS Instruments, Inc. Vacuum quality measurement system
CN102315068B (en) * 2010-07-07 2014-01-29 中国科学院微电子研究所 Separation plate device for double-cavity structure plasma body soaking ion injection
US10049881B2 (en) * 2011-08-10 2018-08-14 Applied Materials, Inc. Method and apparatus for selective nitridation process
FR2980911B1 (en) * 2011-10-04 2013-11-22 Ion Beam Services CONTROL MODULE FOR ION IMPLANTER
CN103165371B (en) * 2011-12-12 2016-03-02 中国科学院微电子研究所 A kind of plasma immersion that is used for injects dose measuring systems
US9786471B2 (en) * 2011-12-27 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma etcher design with effective no-damage in-situ ash
DE102012200211A1 (en) * 2012-01-09 2013-07-11 Carl Zeiss Nts Gmbh Device and method for surface treatment of a substrate
CN202601580U (en) * 2012-03-31 2012-12-12 北京京东方光电科技有限公司 Etching time detection apparatus and etching apparatus
GB201212540D0 (en) * 2012-07-13 2012-08-29 Uab Electrum Balticum Vacuum treatment process monitoring and control
CN103887135B (en) * 2012-12-24 2016-05-18 中国科学院微电子研究所 Ion implant systems
US9107284B2 (en) * 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
CN103635004A (en) * 2013-12-13 2014-03-12 南开大学 Method for measuring ion species and number density distribution of plasma
JP2015213159A (en) * 2014-05-05 2015-11-26 東京エレクトロン株式会社 Plasma processing apparatus and measurement method
JP6403722B2 (en) * 2016-07-21 2018-10-10 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method, and program
WO2018153430A1 (en) * 2017-02-21 2018-08-30 Carl Zeiss Smt Gmbh Method for real-time monitoring of a process and mass spectrometer
US10649006B2 (en) * 2017-10-06 2020-05-12 Lam Research Corporation Cathode RF asymmetry detection probe for semiconductor RF plasma processing equipment
CN108538741A (en) * 2018-04-11 2018-09-14 武汉华星光电技术有限公司 Dry etching apparatus cavity gas sensing system
US10665421B2 (en) * 2018-10-10 2020-05-26 Applied Materials, Inc. In-situ beam profile metrology
CN109811326A (en) * 2019-01-17 2019-05-28 上海大学 Utilize the method for HIPIMS method combination plated film intelligent monitoring refueling system prepare compound thin-film material

Citations (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5423945A (en) * 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
US5572038A (en) * 1993-05-07 1996-11-05 Varian Associates, Inc. Charge monitor for high potential pulse current dose measurement apparatus and method
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US6101971A (en) * 1998-05-13 2000-08-15 Axcelis Technologies, Inc. Ion implantation control using charge collection, optical emission spectroscopy and mass analysis
US6174450B1 (en) * 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6286362B1 (en) * 1999-03-31 2001-09-11 Applied Materials, Inc. Dual mode leak detector
US6350697B1 (en) * 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US20030013314A1 (en) * 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US20030013260A1 (en) * 2001-07-16 2003-01-16 Gossmann Hans-Joachim Ludwig Increasing the electrical activation of ion-implanted dopants
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US20030085205A1 (en) * 2001-04-20 2003-05-08 Applied Materials, Inc. Multi-core transformer plasma source
US6579805B1 (en) * 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US20030132195A1 (en) * 2000-09-12 2003-07-17 Manabu Edamura Plasma processing method and apparatus using dynamic sensing of a plasma environment
US6617794B2 (en) * 2000-04-06 2003-09-09 Applied Materials Inc. Method for controlling etch uniformity
US6627463B1 (en) * 2000-10-19 2003-09-30 Applied Materials, Inc. Situ measurement of film nitridation using optical emission spectroscopy
US6649075B1 (en) * 1996-07-23 2003-11-18 Applied Materials, Inc. Method and apparatus for measuring etch uniformity of a semiconductor wafer
US20040005745A1 (en) * 2002-07-04 2004-01-08 Semiconductor Energy Laboratory Co., Ltd. Doping method, doping apparatus, and control system for doping apparatus
US20040107906A1 (en) * 2000-08-11 2004-06-10 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US20050051271A1 (en) * 2002-06-05 2005-03-10 Applied Materials, Inc. Plasma immersion ion implantation system including an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US6870170B1 (en) * 2004-03-04 2005-03-22 Applied Materials, Inc. Ion implant dose control
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6965116B1 (en) * 2004-07-23 2005-11-15 Applied Materials, Inc. Method of determining dose uniformity of a scanning ion implanter
US20060020410A1 (en) * 2004-07-22 2006-01-26 Yung-Jane Hsu Method and system for wideband device measurement and modeling
US20060081558A1 (en) * 2000-08-11 2006-04-20 Applied Materials, Inc. Plasma immersion ion implantation process
US20060088655A1 (en) * 2004-10-23 2006-04-27 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7078711B2 (en) * 2004-02-13 2006-07-18 Applied Materials, Inc. Matching dose and energy of multiple ion implanters
US20080188013A1 (en) * 2007-02-06 2008-08-07 Seon-Mee Cho In-situ dose monitoring using optical emission spectroscopy
US7476849B2 (en) * 2006-03-10 2009-01-13 Varian Semiconductor Equipment Associates, Inc. Technique for monitoring and controlling a plasma process
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2836443B2 (en) 1993-06-14 1998-12-14 三菱電機株式会社 solenoid valve
JP3320392B2 (en) 1993-06-24 2002-09-03 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
ES2121467T3 (en) * 1995-06-16 1998-11-16 Plasser Bahnbaumasch Franz STABILIZING MACHINE FOR VIA.
EP0942453A3 (en) 1998-03-11 2001-02-07 Axcelis Technologies, Inc. Monitoring of plasma constituents using optical emission spectroscopy
EP0964074A3 (en) * 1998-05-13 2001-02-07 Axcelis Technologies, Inc. Ion implantation control using optical emission spectroscopy
JP2000114198A (en) * 1998-10-05 2000-04-21 Matsushita Electric Ind Co Ltd Surface treatment method and equipment thereof
US6706142B2 (en) 2000-11-30 2004-03-16 Mattson Technology, Inc. Systems and methods for enhancing plasma processing of a semiconductor substrate
JP2004047696A (en) * 2002-07-11 2004-02-12 Matsushita Electric Ind Co Ltd Method and apparatus for plasma doping, and matching circuit
KR100486690B1 (en) * 2002-11-29 2005-05-03 삼성전자주식회사 Substrate processing apparatus and method for controlling contamination in substrate transfer module
CN101156503A (en) * 2005-04-04 2008-04-02 松下电器产业株式会社 Plasma processing method and system

Patent Citations (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5423945A (en) * 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
US5572038A (en) * 1993-05-07 1996-11-05 Varian Associates, Inc. Charge monitor for high potential pulse current dose measurement apparatus and method
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US6649075B1 (en) * 1996-07-23 2003-11-18 Applied Materials, Inc. Method and apparatus for measuring etch uniformity of a semiconductor wafer
US6174450B1 (en) * 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6101971A (en) * 1998-05-13 2000-08-15 Axcelis Technologies, Inc. Ion implantation control using charge collection, optical emission spectroscopy and mass analysis
US6800559B2 (en) * 1999-01-05 2004-10-05 Ronal Systems Corporation Method and apparatus for generating H20 to be used in a wet oxidation process to form SiO2 on a silicon surface
US6579805B1 (en) * 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6286362B1 (en) * 1999-03-31 2001-09-11 Applied Materials, Inc. Dual mode leak detector
US6350697B1 (en) * 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6617794B2 (en) * 2000-04-06 2003-09-09 Applied Materials Inc. Method for controlling etch uniformity
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US20040107906A1 (en) * 2000-08-11 2004-06-10 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US20060081558A1 (en) * 2000-08-11 2006-04-20 Applied Materials, Inc. Plasma immersion ion implantation process
US20030132195A1 (en) * 2000-09-12 2003-07-17 Manabu Edamura Plasma processing method and apparatus using dynamic sensing of a plasma environment
US6627463B1 (en) * 2000-10-19 2003-09-30 Applied Materials, Inc. Situ measurement of film nitridation using optical emission spectroscopy
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US20030085205A1 (en) * 2001-04-20 2003-05-08 Applied Materials, Inc. Multi-core transformer plasma source
US20030013314A1 (en) * 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US20030013260A1 (en) * 2001-07-16 2003-01-16 Gossmann Hans-Joachim Ludwig Increasing the electrical activation of ion-implanted dopants
US20050051271A1 (en) * 2002-06-05 2005-03-10 Applied Materials, Inc. Plasma immersion ion implantation system including an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US20040005745A1 (en) * 2002-07-04 2004-01-08 Semiconductor Energy Laboratory Co., Ltd. Doping method, doping apparatus, and control system for doping apparatus
US7078711B2 (en) * 2004-02-13 2006-07-18 Applied Materials, Inc. Matching dose and energy of multiple ion implanters
US6870170B1 (en) * 2004-03-04 2005-03-22 Applied Materials, Inc. Ion implant dose control
US20060020410A1 (en) * 2004-07-22 2006-01-26 Yung-Jane Hsu Method and system for wideband device measurement and modeling
US6965116B1 (en) * 2004-07-23 2005-11-15 Applied Materials, Inc. Method of determining dose uniformity of a scanning ion implanter
US20060088655A1 (en) * 2004-10-23 2006-04-27 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US7476849B2 (en) * 2006-03-10 2009-01-13 Varian Semiconductor Equipment Associates, Inc. Technique for monitoring and controlling a plasma process
US20080188013A1 (en) * 2007-02-06 2008-08-07 Seon-Mee Cho In-situ dose monitoring using optical emission spectroscopy

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130250293A1 (en) * 2012-03-20 2013-09-26 Fei Company Method and Apparatus for Actively Monitoring an Inductively-Coupled Plasma Ion Source using an Optical Spectrometer
US20170076920A1 (en) * 2015-09-10 2017-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Ion collector for use in plasma systems
US10553411B2 (en) * 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
US11581169B2 (en) 2015-09-10 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
CN105547474A (en) * 2015-11-27 2016-05-04 中国电子科技集团公司第二十七研究所 In-bore plasma armature parameter real-time in-situ measurement method

Also Published As

Publication number Publication date
US20080075834A1 (en) 2008-03-27
US7531469B2 (en) 2009-05-12
KR100931767B1 (en) 2009-12-14
JP5366413B2 (en) 2013-12-11
CN101256942A (en) 2008-09-03
TWI467637B (en) 2015-01-01
TW200845146A (en) 2008-11-16
JP2008252078A (en) 2008-10-16
CN101256942B (en) 2010-06-02
KR20080080920A (en) 2008-09-05

Similar Documents

Publication Publication Date Title
US7531469B2 (en) Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US7871828B2 (en) In-situ dose monitoring using optical emission spectroscopy
US7977199B2 (en) Method for measuring dopant concentration during plasma ion implantation
US7586100B2 (en) Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
US7476556B2 (en) Systems and methods for plasma processing of microfeature workpieces
KR101290676B1 (en) Plasma processing apparatus and plasma processing method
US20090104719A1 (en) Plasma Doping System with In-Situ Chamber Condition Monitoring
US8728587B2 (en) Closed loop process control of plasma processed materials

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:RAMASWAMY, KARTIK;CHO, SEON-MEE;TANAKA, TSUTOMU;AND OTHERS;REEL/FRAME:022635/0012;SIGNING DATES FROM 20070222 TO 20070226

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION