US20090219504A1 - Substrate conveyor apparatus, substrate conveyance method and exposure apparatus - Google Patents

Substrate conveyor apparatus, substrate conveyance method and exposure apparatus Download PDF

Info

Publication number
US20090219504A1
US20090219504A1 US12/351,500 US35150009A US2009219504A1 US 20090219504 A1 US20090219504 A1 US 20090219504A1 US 35150009 A US35150009 A US 35150009A US 2009219504 A1 US2009219504 A1 US 2009219504A1
Authority
US
United States
Prior art keywords
reticle
substrate
cfp
cover member
exposure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/351,500
Inventor
Noriyuki Hirayanagi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nikon Corp
Original Assignee
Nikon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corp filed Critical Nikon Corp
Priority to US12/351,500 priority Critical patent/US20090219504A1/en
Publication of US20090219504A1 publication Critical patent/US20090219504A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/66Containers specially adapted for masks, mask blanks or pellicles; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/70741Handling masks outside exposure position, e.g. reticle libraries
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/7075Handling workpieces outside exposure position, e.g. SMIF box
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Definitions

  • the present invention pertains to a substrate conveyor apparatus that carries a substrate on which patterns are formed to a substrate exposure apparatus equipped with a substrate conveyance method and a substrate conveyor apparatus.
  • a common problem is the weakness that a pellicle that prevent the adhering of defect-causing particles onto the reticle pattern face cannot be used.
  • the present invention is intended to resolve the conventional problems concerned, therefore the object is to provide a substrate conveyor apparatus and a substrate exposure apparatus equipped with a substrate conveyance method and a substrate conveyor apparatus thereof that can easily and reliably prevent contamination of the inner surface of the protective cover when a substrate is used.
  • a substrate conveyor apparatus that, being a substrate conveyor apparatus that carries substrates on which patterns are formed, carries the substrates in a state protected by a protective cover when the substrate is not used, and is characterized by having a cover protection means that covers the inner surface of the protective cover when the substrate is used.
  • the cover protection means is characterized by covering the inner surface of the protective cover when the substrate is used in exposure.
  • the protective cover comprises a plurality of cover members that cover the substrate and are disposed so as to be attachable and removable, and the cover protective means is characterized by holding the plurality of cover members in a standby position in a closed state.
  • the cover protective means is characterized by, after carrying the substrate along with one part of the plurality of cover members to the substrate exposure position, returning the one part of the cover members to the standby position and bringing the plurality of cover members into a closed state.
  • the closed state of the plurality of cover members is characterized by being a state in which the plurality of cover members are sealed.
  • the protective cover comprises a cover member that covers the pattern on the substrate and is disposed so as to be attachable and removable, and the cover protective means is characterized by holding the cover member in a standby position in a state mounted to a dummy member that is similar to the shape of the substrate.
  • the standby position is characterized by being a position wherein the substrate is aligned.
  • the standby position is characterized by being a position wherein the cover member is removed from the substrate.
  • the standby position is characterized by being a library part that stores the substrate in an exposure atmosphere.
  • the standby position is characterized by grounding the protective cover.
  • a substrate conveyor apparatus that, being a substrate conveyor apparatus that carries substrates on which patterns are formed, carries the substrate in a state protected by a protective cover when the substrate is not used, and is characterized by having a grounding means that grounds the substrate or the protective cover.
  • the grounding means is characterized by being provided on the setting machine that sets the substrate or the protective cover.
  • the substrate is characterized by being grounded via the protective cover.
  • a substrate conveyance method that, being a substrate conveyance method that carries substrates on which patterns are formed, carries the substrates in a state protected by a protective cover when the substrate is not used, and is characterized by holding the protective cover on standby so that the inner surface of the protective cover is covered when the substrate is used.
  • the substrate exposure apparatus is characterized by being equipped with the substrate conveyor apparatus.
  • the inner surface of the protective cover is covered by a cover protection means, therefore when the substrate is used, contamination of the inner surface of the protective cover can be easily and reliably prevented.
  • the substrate or the protective cover are grounded by a grounding means, therefore electrostatic charging of the substrate or the protective cover can be easily and reliably prevented.
  • the protective cover when the substrate is used, the protective cover is held in standby in a state wherein the inner surface of the protective cover is covered, therefore contamination of the inner surface of the protective cover can be easily and reliably prevented.
  • a substrate with little contamination is used, obtaining a product with high yield.
  • FIG. 1 is an illustration showing a first embodiment of the substrate conveyor apparatus of the present invention.
  • FIG. 2 is an illustration showing a reticle carrier from FIG. 1 .
  • FIG. 3 is an illustration showing the details of a CFP stage from FIG. 1 .
  • FIG. 4 is an illustration showing the state exposing the reticle from the CFP in FIG. 3 .
  • FIG. 5 is an illustration showing a state wherein the reticle is conveyed from a CFP stage to a reticle stage of FIG. 1 .
  • FIG. 6 is an illustration showing the state in the CFP stage of FIG. 1 where the CFP is in standby.
  • FIGS. 7 a - 7 b are illustrations showing a second embodiment of the substrate conveyor apparatus of the present invention.
  • FIG. 8 is an illustration showing a third embodiment of the substrate conveyor apparatus of the present invention.
  • FIG. 9 is an illustration showing an embodiment of the exposure apparatus of the present invention.
  • FIG. 1 shows the first embodiment of the substrate conveyor apparatus of the present invention.
  • This substrate conveyor apparatus is provided adjacent to an exposure chamber 13 wherein reticle stage 11 and the like are disposed.
  • a robot chamber 17 is provided wherein a vacuum robot 15 is disposed.
  • a vacuum reticle library 19 is provided, and on the other side a clean filter pod opener 21 (hereafter called “CFP opener”) is provided.
  • Exposure chamber 13 , robot chamber 17 , vacuum reticle library 19 and CFP opener 21 are in vacuum atmosphere.
  • a load-lock chamber 23 is disposed in the position where robot chamber 17 faces exposure chamber 13 .
  • Load-lock chamber 23 communicates to robot chamber 17 via second gate valve 25 .
  • load-lock chamber 23 communicates to atmospheric air via a first gate valve 27 .
  • a reticle carrier opener 31 is disposed via a second atmospheric robot 29 .
  • an atmospheric reticle library 35 is disposed via a first atmospheric robot 33 .
  • CFP 41 has the function of a protective cover protecting reticle 37 in a low-pressure atmosphere.
  • the reticle carrier 39 placed in atmospheric reticle library 35 is conveyed by the first atmospheric robot 33 to reticle carrier opener 31 . Then, reticle carrier 39 is identified by reticle carrier ID reader 43 . At this reticle carrier opener 31 reticle carrier 39 is opened and CFP 41 is exposed. The exposed CFP 41 is heated about 2-3 degrees Celsius by temperature compensation lamp 45 . The heated CFP 41 is conveyed by a second atmospheric robot 29 onto load-lock chamber 23 wherein only the first gate valve 27 is opened. Note that the steps from reticle carrier opener 31 to load-lock chamber 23 are in a clean environment.
  • load-lock chamber 23 accommodating the CFP 41 , vacuum evacuation occurs in a state where the first gate valve 27 and second gate valve 25 are closed.
  • first gate valve 27 and second gate valve 25 are closed.
  • second gate valve 25 opens and CFP 41 is conveyed to vacuum reticle library 19 by vacuum robot 15 .
  • vacuum reticle library 19 for example around 5 CFP's, each of which houses a reticle, are stored.
  • the reticle 37 is held at a specified temperature by a temperature adjustment mechanism (not shown).
  • the reticle 37 stored in CFP 41 is identified by reticle ID reader 47 .
  • the identified reticle 37 is conveyed by vacuum robot 15 to CFP opener 21 while housed in CFP 41 .
  • CFP opener 21 In CFP opener 21 , CFP 41 is opened and reticle 37 exposed.
  • CFP 41 conveyed to CFP opener 21 is set on CFP stage 49 .
  • CFP 41 comprises upper cover member (upper lid) 51 and lower cover member (lower lid) 53 .
  • upper cover member 51 is locked by locking member 57 on the upper end of support member 55 , exposing reticle 37 .
  • a reference microscope 59 is disposed for performing prealignment of reticle 37 . Then, a prealignment mark 37 a formed on the lower surface of reticle 37 is detected by reference microscope 59 from a perforating hole 49 a formed in CFP stage 49 and a transparent window 53 a established in lower cover member 53 through transparent window 53 a , and prealignment occurs by driving CFP stage 49 . At this time, the reticle ID can be confirmed by detecting the reticle ID, such as a bar code, through the transparent window 53 a in lower cover member 53 .
  • the reticle 37 that has completed prealignment is conveyed housed in the lower cover 53 of CFP 41 to reticle stage 11 by conveyor arm 61 of vacuum robot 15 .
  • electrostatic chuck 63 is disposed with chuck surface 63 a facing down. Then, in a state where reticle 37 is pushed to chuck surface 63 a of electrostatic chuck 63 by conveyor arm 61 via lower cover member 53 , the upper surface of reticle 37 is chucked to chuck surface 63 a by turning on electrostatic chuck 63 .
  • conveyor arm 61 carries lower cover member 53 to CFP opener 21 , and lower cover member 53 is set on CFP stage 49 , which is in the descended position shown in FIG. 4 . Then, the upper cover member 51 and lower cover member 53 of CFP 41 are attached by raising CFP stage 49 , and the inside of upper cover member 51 and lower cover member 53 is sealed airtight.
  • the closed CFP 41 is held during exposure inside CFP opener 21 in that state. Note that if the CFP opener 21 and prealignment part is separately located, it is acceptable to hold closed CFP 41 at the prealignment part. Also, it is acceptable to convey closed CFP 41 to vacuum reticle library 19 and hold the closed CFP 41 at the vacuum reticle library 19 .
  • reticle 37 is set on lower cover member 53 by turning off electrostatic chuck 63 .
  • reticle 37 is conveyed to CFP opener 21 by conveyor arm 61 and lower cover member 53 , on which is set reticle 37 , is set on CFP stage 49 , which is in the descended position as shown in FIG. 4 .
  • CFP stage 49 the upper cover member 51 of CFP 41 and lower cover member 53 are sealed (refer to FIG. 3 ) and CFP 41 is sealed airtight while reticle 37 is contained inside CFP 41 .
  • FIG. 7 shows a second embodiment of the substrate conveyor apparatus of the present invention.
  • the cover member 65 that is a protective cover is mounted so that it can be attached and removed and so that it covers only pattern 37 b on reticle 37 .
  • cover member 65 is conveyed as mounted to cover member 65 by conveyor arm 61 to electrostatic chuck 63 of reticle stage 11 , then only reticle 37 is chucked to electrostatic chuck 63 .
  • cover member 65 which remains on conveyor arm 61 , is conveyed to a standby part by conveyor arm 61 .
  • a dummy part 67 that is similar to the shape of reticle 37 is disposed, and by mounting cover member 65 to this dummy member 67 , the inner surface of cover member 65 is covered and protected by dummy member 67 .
  • cover member 65 is released from dummy member 67 and conveyed to the exchange position of the reticle 37 by conveyor arm 61 . Then, after mounting cover 65 to the reticle 37 chucked to electrostatic chuck 63 , reticle 37 is removed by turning electrostatic chuck 63 off. The removed reticle 37 is conveyed along with cover member 65 by conveyor arm 61 to vacuum reticle library 19 , for example.
  • FIG. 8 shows a third embodiment of the substrate conveyor apparatus of the present invention.
  • a stage-side conductive layer 69 made of aluminum is formed on the upper surface of CFP stage 49 (setting machine) of CFP opener 21 , for example.
  • This stage-side conductive layer 69 is grounded via ground wire 71 .
  • conductive layers 51 b and 53 b are formed on upper cover member 51 and lower cover member 53 of CFP 41 and when upper cover member 51 and lower cover member 53 are closed, they create a configuration where both are electrically connected.
  • upper cover member 51 and lower cover member 53 are made of conductive material (for example, aluminum), there is no special need to form a conductive layer. Then, just by placing CFP 41 on CFP stage 49 it becomes grounded. However, in case there is an insufficient electrical contact, it is acceptable to dispose a mechanical means of making a reliable electrical contact (for example a conductive needle).
  • a reticle-side conductive layer 37 b is formed only on the upper surface of reticle 37 , but it is also acceptable to form a conductive layer on a side surface or lower surface (surface where circuit pattern is formed) of the reticle. In this case, it is necessary to form the conductive layer so that it does not harm exposure, inspection, or various alignments.
  • stage-side conductive layer 69 was formed on the entire upper surface of CFP stage 49 , but it is also acceptable to form only a portion of stage-side conductive layer 69 , such that at least reticle 37 or CFP 41 can be grounded.
  • grounding of reticle 37 can be made directly from its upper surface, and it is also possible to ground in the same manner as the above example via cover member 65 .
  • FIG. 9 shows the patterning of the EUV light lithography system inside the exposure chamber 13 of FIG. 1 .
  • EUV light is used as the exposure light.
  • EUV light has a wavelength of 0.1 ⁇ 400 nm, and for this embodiment a wavelength of 1 ⁇ 50 nm is preferable.
  • the projection image is formed by using an image optics system 101 , and a reduction image of the pattern on the reticle 37 is formed on wafer 103 .
  • the pattern exposed onto wafer 103 is determined by the reflective type reticle 37 disposed on the lower side of reticle stage 11 via electrostatic chuck 63 .
  • This reflective type reticle 37 is loaded in and out by the vacuum robot 15 of the above embodiment (indication of vacuum robot 15 on the drawing is omitted).
  • wafer 103 is set on top of wafer stage 105 .
  • exposure is done by step and scanning exposure.
  • EUV light used as the illumination light during exposure has low transparency in atmospheric air; for this reason the light path traveled by EUV light is enclosed by vacuum chamber 106 , which maintains a vacuum using an appropriate vacuum pump 107 .
  • EUV light is generated by a laser plasma X-ray source.
  • the laser plasma X-ray source comprises laser source 108 (operated as an excitation light source) and xenon gas supply apparatus 109 .
  • the laser plasma X-ray source is enclosed by vacuum chamber 110 .
  • the EUV light generated by the laser plasma X-ray source passes through window 111 of vacuum chamber 110 .
  • Laser source 108 generates laser light having a wavelength below ultraviolet, for example using a YAG laser or excimer laser.
  • the laser light from laser source 108 is focused and exposed in a flow of xenon gas ejected from nozzle 112 (supplied from xenon supply apparatus 109 ).
  • the laser light sufficiently warms the xenon gas, generating plasma.
  • EUV light photons are emitted.
  • a parabolic mirror 113 is disposed adjacent to the xenon gas ejection part.
  • Parabolic mirror 113 forms a condenser optics system and is disposed so that the focus point is adjacent to the position where xenon gas is emitted from nozzle 112 .
  • EUV light is reflected on the multi-layer film of parabolic mirror 113 and reaches condenser mirror 114 through window 111 of vacuum chamber 110 .
  • Condenser mirror 114 focuses EUV light on reflecting reticle 37 and reflects it. EUV light is reflected by condenser mirror 114 and illuminates a specific portion of reticle 37 . That is to say, parabolic mirror 113 and condenser 114 compose the illumination system of this apparatus.
  • Reticle 37 has a multilayer film that reflects EUV light and an absorbent pattern layer for forming a pattern. By reflecting EUV light with reticle 37 , the EUV light is “patternized.” The “patternized” EUV light reaches wafer 103 through projection system 101 .
  • the image optics system 101 of this embodiment comprises 4 reflective mirrors: concave first mirror 115 a , convex second mirror 115 b , convex third mirror 115 c and concave fourth mirror 115 d .
  • a multilayer film that reflects EUV light is provided on each mirror 115 a ⁇ d.
  • the EUV light reflected from reticle 37 is reflected sequentially from first mirror 115 a through to fourth mirror 115 d , forming a reduced (for example, 1 ⁇ 4, 1 ⁇ 5, 1 ⁇ 6) image of the reticle pattern.
  • Image optics system 101 is made to be telecentric on the image side (wafer 103 side).
  • Reticle 37 is supported on at least the X and Y planes by movable reticle stage 11 .
  • Wafer 103 is supported by wafer stage 105 , which is preferably movable in the X, Y, and Z directions.
  • EUV light is exposed to a specific region on reticle 37 by illumination system 101 , and reticle 37 and wafer 103 are moved relative to image optics system 101 at a specified speed according to the reduction rate of image optics system 101 . In this manner, the reticle pattern is exposed in the specified exposure area (toward the die) on wafer 103 .
  • wafer 103 be disposed behind a partition 116 so that the gas that is generated from the resist on wafer 103 not influence mirrors 115 a ⁇ d of image optics system 101 .
  • Partition 116 has an opening 116 a , and EUV light is exposed through it from mirror 115 d to wafer 103 .
  • the space inside partition 116 is evacuated by vacuum pump 117 . In this manner, refuse in the form of gas generated by illuminating the resist is prevented from adhering to mirrors 115 a ⁇ d or to reticle 37 . For that reason, deterioration of the optical properties thereof is prevented.
  • conveyance of reticle 37 is performed by the above substrate conveyor apparatus; therefore a high yield product can be obtained using a reticle 37 with little contamination.
  • the protective cover for reticle 37 is composed of two members which are an upper cover member 51 and a lower cover member 53 , but it is also acceptable for example to be composed of 3 or more members.

Abstract

A substrate conveyor apparatus carries a substrate on which patterns are formed, carries the substrate in a state protected by a protective cover when the substrate is not used, and carries a cover protection means that covers the inner surface of the protective cover when the substrate is used. The substrate conveyor apparatus has a grounding means that grounds the substrate or the protective cover.

Description

  • This application is a divisional of application Ser. No. 11/235,130, filed Sep. 27, 2005, which is incorporated in its entirety herein by reference. This application is also based upon and claims priority from Japanese Patent Application No. 2004-310919, filed Oct. 26, 2004.
  • BACKGROUND OF THE INVENTION
  • The present invention pertains to a substrate conveyor apparatus that carries a substrate on which patterns are formed to a substrate exposure apparatus equipped with a substrate conveyance method and a substrate conveyor apparatus.
  • With a reticle (also known as a mask) used in next-generation lithography such as EPL and EUVL, a common problem is the weakness that a pellicle that prevent the adhering of defect-causing particles onto the reticle pattern face cannot be used.
  • As a means to resolve this problem, there is a proposal to attach a protective cover when a reticle is not used and only remove it during exposure. For example, refer to U.S. Pat. No. 6,239,863.
  • SUMMARY OF THE INVENTION
  • However, in the above method, there was the problem that the protective cover for the reticle used during exposure is left in a state wherein the inner surface of the protective cover is exposed, and it is easy for particles and the like to become adhered to the inner surface of the protective cover.
  • The present invention is intended to resolve the conventional problems concerned, therefore the object is to provide a substrate conveyor apparatus and a substrate exposure apparatus equipped with a substrate conveyance method and a substrate conveyor apparatus thereof that can easily and reliably prevent contamination of the inner surface of the protective cover when a substrate is used.
  • In the first viewpoint of the present invention, a substrate conveyor apparatus that, being a substrate conveyor apparatus that carries substrates on which patterns are formed, carries the substrates in a state protected by a protective cover when the substrate is not used, and is characterized by having a cover protection means that covers the inner surface of the protective cover when the substrate is used.
  • In the second viewpoint of the present invention, the cover protection means is characterized by covering the inner surface of the protective cover when the substrate is used in exposure.
  • In the third viewpoint of the present invention, the protective cover comprises a plurality of cover members that cover the substrate and are disposed so as to be attachable and removable, and the cover protective means is characterized by holding the plurality of cover members in a standby position in a closed state.
  • In the fourth viewpoint of the present invention, the cover protective means is characterized by, after carrying the substrate along with one part of the plurality of cover members to the substrate exposure position, returning the one part of the cover members to the standby position and bringing the plurality of cover members into a closed state.
  • In the fifth viewpoint of the present invention, the closed state of the plurality of cover members is characterized by being a state in which the plurality of cover members are sealed.
  • In the sixth viewpoint of the present invention, the protective cover comprises a cover member that covers the pattern on the substrate and is disposed so as to be attachable and removable, and the cover protective means is characterized by holding the cover member in a standby position in a state mounted to a dummy member that is similar to the shape of the substrate.
  • In the seventh viewpoint of the present invention, the standby position is characterized by being a position wherein the substrate is aligned.
  • In the eighth viewpoint of the present invention, the standby position is characterized by being a position wherein the cover member is removed from the substrate.
  • In the ninth viewpoint of the present invention, the standby position is characterized by being a library part that stores the substrate in an exposure atmosphere.
  • In the tenth viewpoint of the present invention, the standby position is characterized by grounding the protective cover.
  • In the eleventh viewpoint of the present invention, a substrate conveyor apparatus that, being a substrate conveyor apparatus that carries substrates on which patterns are formed, carries the substrate in a state protected by a protective cover when the substrate is not used, and is characterized by having a grounding means that grounds the substrate or the protective cover.
  • In the twelfth viewpoint of the present invention, the grounding means is characterized by being provided on the setting machine that sets the substrate or the protective cover.
  • In the thirteenth viewpoint of the present invention, the substrate is characterized by being grounded via the protective cover.
  • In the fourteenth viewpoint of the present invention, a substrate conveyance method that, being a substrate conveyance method that carries substrates on which patterns are formed, carries the substrates in a state protected by a protective cover when the substrate is not used, and is characterized by holding the protective cover on standby so that the inner surface of the protective cover is covered when the substrate is used.
  • In the fifteenth viewpoint of the present invention, the substrate exposure apparatus is characterized by being equipped with the substrate conveyor apparatus.
  • In the substrate conveyor apparatus of the present invention, when the substrate is used, the inner surface of the protective cover is covered by a cover protection means, therefore when the substrate is used, contamination of the inner surface of the protective cover can be easily and reliably prevented.
  • In addition, in the substrate conveyor apparatus of the present invention, the substrate or the protective cover are grounded by a grounding means, therefore electrostatic charging of the substrate or the protective cover can be easily and reliably prevented.
  • In the substrate conveyance method of the present invention, when the substrate is used, the protective cover is held in standby in a state wherein the inner surface of the protective cover is covered, therefore contamination of the inner surface of the protective cover can be easily and reliably prevented.
  • In the exposure apparatus of the present invention, a substrate with little contamination is used, obtaining a product with high yield.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is an illustration showing a first embodiment of the substrate conveyor apparatus of the present invention.
  • FIG. 2 is an illustration showing a reticle carrier from FIG. 1.
  • FIG. 3 is an illustration showing the details of a CFP stage from FIG. 1.
  • FIG. 4 is an illustration showing the state exposing the reticle from the CFP in FIG. 3.
  • FIG. 5 is an illustration showing a state wherein the reticle is conveyed from a CFP stage to a reticle stage of FIG. 1.
  • FIG. 6 is an illustration showing the state in the CFP stage of FIG. 1 where the CFP is in standby.
  • FIGS. 7 a-7 b are illustrations showing a second embodiment of the substrate conveyor apparatus of the present invention.
  • FIG. 8 is an illustration showing a third embodiment of the substrate conveyor apparatus of the present invention.
  • FIG. 9 is an illustration showing an embodiment of the exposure apparatus of the present invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • Below we will explain the details of embodiments of the present invention using drawings. Note that the present invention is not limited to the following embodiments.
  • FIRST EMBODIMENT
  • FIG. 1 shows the first embodiment of the substrate conveyor apparatus of the present invention.
  • This substrate conveyor apparatus is provided adjacent to an exposure chamber 13 wherein reticle stage 11 and the like are disposed. On one side of reticle chamber 13, a robot chamber 17 is provided wherein a vacuum robot 15 is disposed. On one side of robot chamber 17, a vacuum reticle library 19 is provided, and on the other side a clean filter pod opener 21 (hereafter called “CFP opener”) is provided. Exposure chamber 13, robot chamber 17, vacuum reticle library 19 and CFP opener 21 are in vacuum atmosphere.
  • In the position where robot chamber 17 faces exposure chamber 13, a load-lock chamber 23 is disposed. Load-lock chamber 23 communicates to robot chamber 17 via second gate valve 25. In addition, load-lock chamber 23 communicates to atmospheric air via a first gate valve 27.
  • On the other side of load-lock chamber 23, a reticle carrier opener 31 is disposed via a second atmospheric robot 29. On the other side of reticle carrier opener 31, an atmospheric reticle library 35 is disposed via a first atmospheric robot 33.
  • With the above substrate conveyor apparatus, in the atmospheric reticle library 35, as shown in FIG. 2, an EUVL reticle 37 used in exposure is placed in a state doubly protected by a reticle carrier 39 and a clean filter pod (hereafter called “CFP”) 41. CFP 41 has the function of a protective cover protecting reticle 37 in a low-pressure atmosphere.
  • The reticle carrier 39 placed in atmospheric reticle library 35 is conveyed by the first atmospheric robot 33 to reticle carrier opener 31. Then, reticle carrier 39 is identified by reticle carrier ID reader 43. At this reticle carrier opener 31 reticle carrier 39 is opened and CFP 41 is exposed. The exposed CFP 41 is heated about 2-3 degrees Celsius by temperature compensation lamp 45. The heated CFP 41 is conveyed by a second atmospheric robot 29 onto load-lock chamber 23 wherein only the first gate valve 27 is opened. Note that the steps from reticle carrier opener 31 to load-lock chamber 23 are in a clean environment.
  • In load-lock chamber 23 accommodating the CFP 41, vacuum evacuation occurs in a state where the first gate valve 27 and second gate valve 25 are closed. When a specified state of vacuum is achieved in load-lock chamber 23, only second gate valve 25 opens and CFP 41 is conveyed to vacuum reticle library 19 by vacuum robot 15.
  • In vacuum reticle library 19, for example around 5 CFP's, each of which houses a reticle, are stored.
  • The reticle 37 is held at a specified temperature by a temperature adjustment mechanism (not shown). The reticle 37 stored in CFP 41 is identified by reticle ID reader 47. The identified reticle 37 is conveyed by vacuum robot 15 to CFP opener 21 while housed in CFP 41.
  • In CFP opener 21, CFP 41 is opened and reticle 37 exposed.
  • In this embodiment, as shown in FIG. 3, the CFP 41 conveyed to CFP opener 21 is set on CFP stage 49. CFP 41 comprises upper cover member (upper lid) 51 and lower cover member (lower lid) 53. Then, as shown in FIG. 4, by lowering CFP stage 49 the exterior of upper cover member 51 is locked by locking member 57 on the upper end of support member 55, exposing reticle 37.
  • In this embodiment, at the lower region of CFP stage 49, a reference microscope 59 is disposed for performing prealignment of reticle 37. Then, a prealignment mark 37 a formed on the lower surface of reticle 37 is detected by reference microscope 59 from a perforating hole 49 a formed in CFP stage 49 and a transparent window 53 a established in lower cover member 53 through transparent window 53 a, and prealignment occurs by driving CFP stage 49. At this time, the reticle ID can be confirmed by detecting the reticle ID, such as a bar code, through the transparent window 53 a in lower cover member 53.
  • The reticle 37 that has completed prealignment, as shown in FIG. 5, is conveyed housed in the lower cover 53 of CFP 41 to reticle stage 11 by conveyor arm 61 of vacuum robot 15. In reticle stage 11, electrostatic chuck 63 is disposed with chuck surface 63 a facing down. Then, in a state where reticle 37 is pushed to chuck surface 63 a of electrostatic chuck 63 by conveyor arm 61 via lower cover member 53, the upper surface of reticle 37 is chucked to chuck surface 63 a by turning on electrostatic chuck 63.
  • After chucking reticle 37, conveyor arm 61 carries lower cover member 53 to CFP opener 21, and lower cover member 53 is set on CFP stage 49, which is in the descended position shown in FIG. 4. Then, the upper cover member 51 and lower cover member 53 of CFP 41 are attached by raising CFP stage 49, and the inside of upper cover member 51 and lower cover member 53 is sealed airtight. In this embodiment, the closed CFP 41 is held during exposure inside CFP opener 21 in that state. Note that if the CFP opener 21 and prealignment part is separately located, it is acceptable to hold closed CFP 41 at the prealignment part. Also, it is acceptable to convey closed CFP 41 to vacuum reticle library 19 and hold the closed CFP 41 at the vacuum reticle library 19.
  • When exposure is completed and the exchange of reticle 37 of reticle stage 11 occurs, the upper cover member 51 and lower cover member 53 of the CFP 41 held in the state shown in FIG. 6 are separated by lowering CFP stage 49 and lowering the lower cover member 53 (corresponding to the state in FIG. 4 without reticle 37), and lower cover member 53 is conveyed to the exchange position of the reticle 37 by conveyor arm 61.
  • Then, with the lower cover member 53 in contact with reticle 37, which is attached to electrostatic chuck 63 (refer to FIG. 5), reticle 37 is set on lower cover member 53 by turning off electrostatic chuck 63. In this state, reticle 37 is conveyed to CFP opener 21 by conveyor arm 61 and lower cover member 53, on which is set reticle 37, is set on CFP stage 49, which is in the descended position as shown in FIG. 4. Then, by raising CFP stage 49, the upper cover member 51 of CFP 41 and lower cover member 53 are sealed (refer to FIG. 3) and CFP 41 is sealed airtight while reticle 37 is contained inside CFP 41.
  • In the above substrate conveyor apparatus and method, when reticle 37 is used in exposure, the upper cover member 51 and lower cover member 53 of CFP 41 are closed and the inner surfaces of upper cover member 51 and lower cover member 53 are covered; therefore, when reticle 37 is used in exposure, contamination of the inner surface of CFP 41 can be easily and reliably prevented. Then, because the inner surface of CFP 41 is not contaminated, there is very little contamination of reticle 37.
  • EMBODIMENT 2
  • FIG. 7 shows a second embodiment of the substrate conveyor apparatus of the present invention.
  • Note that in this embodiment, the same members as in the first embodiment are assigned the same symbols, so detailed explanation has been omitted.
  • In this embodiment, as shown in FIG. 7( a), the cover member 65 that is a protective cover is mounted so that it can be attached and removed and so that it covers only pattern 37 b on reticle 37.
  • Then, as shown in FIG. 7 (b), cover member 65 is conveyed as mounted to cover member 65 by conveyor arm 61 to electrostatic chuck 63 of reticle stage 11, then only reticle 37 is chucked to electrostatic chuck 63.
  • On the other hand, as shown in FIG. 7( c) cover member 65, which remains on conveyor arm 61, is conveyed to a standby part by conveyor arm 61. In the standby part, as shown in FIG. 7( d), a dummy part 67 that is similar to the shape of reticle 37 is disposed, and by mounting cover member 65 to this dummy member 67, the inner surface of cover member 65 is covered and protected by dummy member 67.
  • Then, when exposure is completed and the exchange of reticle 37 of reticle stage 11 occurs, cover member 65 is released from dummy member 67 and conveyed to the exchange position of the reticle 37 by conveyor arm 61. Then, after mounting cover 65 to the reticle 37 chucked to electrostatic chuck 63, reticle 37 is removed by turning electrostatic chuck 63 off. The removed reticle 37 is conveyed along with cover member 65 by conveyor arm 61 to vacuum reticle library 19, for example.
  • In this embodiment the similar result as in the first embodiment can be obtained.
  • EMBODIMENT 3
  • FIG. 8 shows a third embodiment of the substrate conveyor apparatus of the present invention.
  • Note that in this embodiment, the same members as in the first embodiment are assigned the same symbols, so detailed explanation has been omitted.
  • In this embodiment, a stage-side conductive layer 69 made of aluminum is formed on the upper surface of CFP stage 49 (setting machine) of CFP opener 21, for example. This stage-side conductive layer 69 is grounded via ground wire 71.
  • On the other hand, on the side surface of lower cover member 53 of CFP 41, when lower cover member 53 is set on stage-side conductive layer 69, a lower cover conductive layer 53 b that contacts stage-side conductive layer 69 is formed. This lower cover conductive layer 53 b contacts an upper cover conductive layer 51 b formed on upper cover member 51 when upper cover member 51 is set on lower cover member 53. Also, on the upper surface of reticle 37, when upper cover member 51 is set, a reticle conductive layer 37 b is formed that contacts upper cover conductive layer 51 b.
  • With this embodiment, the similar result as in the first embodiment can be obtained, but in this embodiment, at CFP stage 49, lower cover member 53 of CFP 41, upper cover member 51, or reticle 37 are grounded, therefore electrostatic charging of lower cover member 53, upper cover member 51, or reticle 37 can be easily, reliably prevented. Accordingly, adhesion of particles to these members and the like can be reduced.
  • Note that in this embodiment, we explained an example where lower cover member 53, upper cover member 51, or reticle 37 are grounded at CFP stage 49; however, it is acceptable for example to ground the plate of vacuum reticle library 19 on which CFP 41 is set, the setting machine of load-lock chamber 23, or the parts (end effectors) of vacuum robot 15 or atmospheric robot 29 that contact CFP 41. In these cases, there are no need to ground at every location; it is acceptable to ground just those locations where one wants to prevent charging of CFP 41 and reticle 37.
  • Also, in the above embodiment, conductive layers 51 b and 53 b are formed on upper cover member 51 and lower cover member 53 of CFP 41 and when upper cover member 51 and lower cover member 53 are closed, they create a configuration where both are electrically connected. However, if upper cover member 51 and lower cover member 53 are made of conductive material (for example, aluminum), there is no special need to form a conductive layer. Then, just by placing CFP 41 on CFP stage 49 it becomes grounded. However, in case there is an insufficient electrical contact, it is acceptable to dispose a mechanical means of making a reliable electrical contact (for example a conductive needle).
  • The same applies to conductive layer 51 b of CFP 41 upper cover member 51 and conductive layer 37 b of reticle 37.
  • Also, in the above embodiment, a reticle-side conductive layer 37 b is formed only on the upper surface of reticle 37, but it is also acceptable to form a conductive layer on a side surface or lower surface (surface where circuit pattern is formed) of the reticle. In this case, it is necessary to form the conductive layer so that it does not harm exposure, inspection, or various alignments. Also, stage-side conductive layer 69 was formed on the entire upper surface of CFP stage 49, but it is also acceptable to form only a portion of stage-side conductive layer 69, such that at least reticle 37 or CFP 41 can be grounded.
  • Also, as shown in FIG. 7, in the case that cover member 65 is established only on the pattern surface 37 b of reticle 37, because the upper surface of reticle 37 is exposed, grounding of reticle 37 can be made directly from its upper surface, and it is also possible to ground in the same manner as the above example via cover member 65.
  • Embodiment of Exposure Apparatus
  • FIG. 9 shows the patterning of the EUV light lithography system inside the exposure chamber 13 of FIG. 1. Note that in this embodiment, the same members as in the first embodiment are assigned the same symbols. In this embodiment, EUV light is used as the exposure light. EUV light has a wavelength of 0.1˜400 nm, and for this embodiment a wavelength of 1˜50 nm is preferable. The projection image is formed by using an image optics system 101, and a reduction image of the pattern on the reticle 37 is formed on wafer 103.
  • The pattern exposed onto wafer 103 is determined by the reflective type reticle 37 disposed on the lower side of reticle stage 11 via electrostatic chuck 63. This reflective type reticle 37 is loaded in and out by the vacuum robot 15 of the above embodiment (indication of vacuum robot 15 on the drawing is omitted). Also, wafer 103 is set on top of wafer stage 105. Typically, exposure is done by step and scanning exposure.
  • The EUV light used as the illumination light during exposure has low transparency in atmospheric air; for this reason the light path traveled by EUV light is enclosed by vacuum chamber 106, which maintains a vacuum using an appropriate vacuum pump 107. Also, EUV light is generated by a laser plasma X-ray source. The laser plasma X-ray source comprises laser source 108 (operated as an excitation light source) and xenon gas supply apparatus 109. The laser plasma X-ray source is enclosed by vacuum chamber 110. The EUV light generated by the laser plasma X-ray source passes through window 111 of vacuum chamber 110.
  • Laser source 108 generates laser light having a wavelength below ultraviolet, for example using a YAG laser or excimer laser. The laser light from laser source 108 is focused and exposed in a flow of xenon gas ejected from nozzle 112 (supplied from xenon supply apparatus 109). When laser light is exposed in the xenon flow, the laser light sufficiently warms the xenon gas, generating plasma. When the xenon gas molecules excited by the laser fall into a low energy state, EUV light photons are emitted.
  • A parabolic mirror 113 is disposed adjacent to the xenon gas ejection part. Parabolic mirror 113 forms a condenser optics system and is disposed so that the focus point is adjacent to the position where xenon gas is emitted from nozzle 112. EUV light is reflected on the multi-layer film of parabolic mirror 113 and reaches condenser mirror 114 through window 111 of vacuum chamber 110. Condenser mirror 114 focuses EUV light on reflecting reticle 37 and reflects it. EUV light is reflected by condenser mirror 114 and illuminates a specific portion of reticle 37. That is to say, parabolic mirror 113 and condenser 114 compose the illumination system of this apparatus.
  • Reticle 37 has a multilayer film that reflects EUV light and an absorbent pattern layer for forming a pattern. By reflecting EUV light with reticle 37, the EUV light is “patternized.” The “patternized” EUV light reaches wafer 103 through projection system 101.
  • The image optics system 101 of this embodiment comprises 4 reflective mirrors: concave first mirror 115 a, convex second mirror 115 b, convex third mirror 115 c and concave fourth mirror 115 d. A multilayer film that reflects EUV light is provided on each mirror 115 a˜d.
  • The EUV light reflected from reticle 37 is reflected sequentially from first mirror 115 a through to fourth mirror 115 d, forming a reduced (for example, ¼, ⅕, ⅙) image of the reticle pattern. Image optics system 101 is made to be telecentric on the image side (wafer 103 side).
  • Reticle 37 is supported on at least the X and Y planes by movable reticle stage 11. Wafer 103 is supported by wafer stage 105, which is preferably movable in the X, Y, and Z directions. When the die on wafer 103 is exposed, EUV light is exposed to a specific region on reticle 37 by illumination system 101, and reticle 37 and wafer 103 are moved relative to image optics system 101 at a specified speed according to the reduction rate of image optics system 101. In this manner, the reticle pattern is exposed in the specified exposure area (toward the die) on wafer 103.
  • At time of exposure, it is desirable that wafer 103 be disposed behind a partition 116 so that the gas that is generated from the resist on wafer 103 not influence mirrors 115 a˜d of image optics system 101. Partition 116 has an opening 116 a, and EUV light is exposed through it from mirror 115 d to wafer 103. The space inside partition 116 is evacuated by vacuum pump 117. In this manner, refuse in the form of gas generated by illuminating the resist is prevented from adhering to mirrors 115 a˜d or to reticle 37. For that reason, deterioration of the optical properties thereof is prevented.
  • With the exposure apparatus of this embodiment, conveyance of reticle 37 is performed by the above substrate conveyor apparatus; therefore a high yield product can be obtained using a reticle 37 with little contamination.
  • Supplemental Items for the Embodiments
  • In the above we explained the present invention by means of the above embodiments, but the technical scope of the present invention is not limited to the above embodiments. For example, the following forms are acceptable.
  • (1) For the above embodiment, we explained an example where the inner surface of CFP 41 is covered when reticle 37 is used in exposure, but it is also acceptable for example to cover the inner surface of CFP 41 when reticle 37 is used in inspection, cleaning, etc.
  • (2) For the above first embodiment, we explained an example where the protective cover for reticle 37 is composed of two members which are an upper cover member 51 and a lower cover member 53, but it is also acceptable for example to be composed of 3 or more members.
  • For the above embodiment, we explained an example of an exposure apparatus that uses EUV light, but other than this it is also acceptable to broadly apply an exposure apparatus using charged particle beams, i-line, g-line, KrF, ArF, F2 and the like.

Claims (8)

1-14. (canceled)
15. A substrate conveyor apparatus for carrying a substrate on which patterns are formed, the substrate conveyor apparatus comprising: a protective cover for protecting the substrate when the substrate is not used, and a grounding means for grounding the substrate or the protective cover.
16. The substrate conveyor apparatus described in claim 15, wherein the grounding means is provided on a setting machine that sets the substrate or the protective cover.
17. The substrate conveyor apparatus described in claim 15, wherein the substrate is grounded via the protective cover.
18. (canceled)
19. (canceled)
20. An exposure apparatus comprising the substrate conveyor apparatus described in claim 15.
21. (canceled)
US12/351,500 2004-10-26 2009-01-09 Substrate conveyor apparatus, substrate conveyance method and exposure apparatus Abandoned US20090219504A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/351,500 US20090219504A1 (en) 2004-10-26 2009-01-09 Substrate conveyor apparatus, substrate conveyance method and exposure apparatus

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2004-310919 2004-10-26
JP2004310919A JP2006128188A (en) 2004-10-26 2004-10-26 Substrate carrying apparatus, substrate carrying method and exposure apparatus
US11/235,130 US7483123B2 (en) 2004-10-26 2005-09-27 Substrate conveyor apparatus, substrate conveyance method and exposure apparatus
US12/351,500 US20090219504A1 (en) 2004-10-26 2009-01-09 Substrate conveyor apparatus, substrate conveyance method and exposure apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/235,130 Division US7483123B2 (en) 2004-10-26 2005-09-27 Substrate conveyor apparatus, substrate conveyance method and exposure apparatus

Publications (1)

Publication Number Publication Date
US20090219504A1 true US20090219504A1 (en) 2009-09-03

Family

ID=36205863

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/235,130 Active 2026-01-20 US7483123B2 (en) 2004-10-26 2005-09-27 Substrate conveyor apparatus, substrate conveyance method and exposure apparatus
US12/351,500 Abandoned US20090219504A1 (en) 2004-10-26 2009-01-09 Substrate conveyor apparatus, substrate conveyance method and exposure apparatus

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/235,130 Active 2026-01-20 US7483123B2 (en) 2004-10-26 2005-09-27 Substrate conveyor apparatus, substrate conveyance method and exposure apparatus

Country Status (7)

Country Link
US (2) US7483123B2 (en)
EP (2) EP1806767B1 (en)
JP (1) JP2006128188A (en)
KR (2) KR20070069141A (en)
CN (1) CN101006573A (en)
TW (1) TWI383938B (en)
WO (1) WO2006046488A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130075605A1 (en) * 2011-09-27 2013-03-28 Applied Materials Israel, Ltd Conductive element for electrically coupling an euvl mask to a supporting chuck
WO2022104028A1 (en) * 2020-11-13 2022-05-19 Massachusetts Institute Of Technology Reticle exchange device with reticle levitation
US11953836B2 (en) 2017-05-19 2024-04-09 Massachusetts Institute Of Technology Transport system having a magnetically levitated transportation stage

Families Citing this family (319)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7250114B2 (en) * 2003-05-30 2007-07-31 Lam Research Corporation Methods of finishing quartz glass surfaces and components made by the methods
TWI447840B (en) * 2004-11-15 2014-08-01 尼康股份有限公司 Substrate transport device, substrate transport method and exposure device
US7773198B2 (en) * 2006-03-28 2010-08-10 Nikon Corporation Filtered device container assembly with shield for a reticle
TWI320059B (en) * 2006-07-05 2010-02-01 Evaporation equipment and convey device thereof
WO2008007521A1 (en) * 2006-07-11 2008-01-17 Nikon Corporation Reticle holding member, reticle stage, exposure apparatus, projection exposure method and device manufacturing method
US20080128303A1 (en) * 2006-12-05 2008-06-05 Nikon Corporation Device container assembly with adjustable retainers for a reticle
US7960708B2 (en) * 2007-03-13 2011-06-14 University Of Houston Device and method for manufacturing a particulate filter with regularly spaced micropores
NL1036785A1 (en) 2008-04-18 2009-10-20 Asml Netherlands Bv Rapid exchange device for lithography reticles.
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101105416B1 (en) * 2009-07-23 2012-01-17 주식회사 디엠에스 Apparatus for processing substrate
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5358366B2 (en) * 2009-09-14 2013-12-04 東京エレクトロン株式会社 Substrate processing apparatus and method
TW201206787A (en) * 2010-04-30 2012-02-16 Fortrend Engineering Corp Opener for extreme ultra violet lithography reticle pods
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5772261B2 (en) * 2011-06-10 2015-09-02 株式会社ニコン Mask protection device, transfer device, exposure device, and device manufacturing method
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5614417B2 (en) * 2012-01-05 2014-10-29 株式会社安川電機 Transport system
WO2013186929A1 (en) * 2012-06-15 2013-12-19 株式会社ニコン Mask protection device, exposure apparatus, and method for manufacturing device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR101527901B1 (en) * 2013-10-10 2015-06-10 피에스케이 주식회사 Apparatus for treating substrate and method for transfering substrate
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) * 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN108288597A (en) * 2017-01-10 2018-07-17 台湾积体电路制造股份有限公司 Case and particle detection technique
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR102602980B1 (en) 2018-04-16 2023-11-16 현대자동차주식회사 Aluminium alloy for die casting and manufacturing method for aluminium alloy casting using the same
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210078405A (en) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN114280891B (en) * 2020-09-28 2023-02-03 长鑫存储技术有限公司 Lithographic apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5390785A (en) * 1992-08-04 1995-02-21 International Business Machines Corporation Pressurized sealable transportable containers for storing a semiconductor wafer in a protective gaseous environment
US5586585A (en) * 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
US6380090B1 (en) * 1998-05-29 2002-04-30 Winbond Electrinics Corp Protecting method applied to the semiconductor manufacturing process
US6421113B1 (en) * 2000-02-14 2002-07-16 Advanced Micro Devices, Inc. Photolithography system including a SMIF pod and reticle library cassette designed for ESD protection
US20030218728A1 (en) * 2002-02-22 2003-11-27 Asml Netherlands B.V. System and method for using a two part cover for protecting a reticle
US20040187451A1 (en) * 2000-12-04 2004-09-30 Yoko Suzuki Substrate transport apparatus, pod and method

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63208414A (en) * 1987-02-20 1988-08-29 Canon Inc Substrate carrying device
US4984953A (en) 1987-02-20 1991-01-15 Canon Kabushiki Kaisha Plate-like article conveying system
JPH0780568B2 (en) * 1987-02-20 1995-08-30 キヤノン株式会社 Substrate transfer device
JPH07101270B2 (en) * 1988-09-30 1995-11-01 日本電気株式会社 Optical logic element
US5498118A (en) * 1992-02-07 1996-03-12 Nikon Corporation Apparatus for and method of carrying a substrate
JP3340151B2 (en) * 1992-05-21 2002-11-05 不二越機械工業株式会社 Wafer mounting device
JPH062699U (en) * 1992-06-16 1994-01-14 株式会社柿崎製作所 Wafer carrier box
JPH062699A (en) 1992-06-18 1994-01-11 Takuma Co Ltd Low noise type blower
JPH0758192A (en) * 1993-08-12 1995-03-03 Nikon Corp Substrate housing case
JP3014640B2 (en) * 1996-03-26 2000-02-28 キヤノン株式会社 Plate-like container
US6317479B1 (en) 1996-05-17 2001-11-13 Canon Kabushiki Kaisha X-ray mask, and exposure method and apparatus using the same
US6090176A (en) 1997-03-18 2000-07-18 Kabushiki Kaisha Toshiba Sample transferring method and sample transfer supporting apparatus
JPH11292186A (en) * 1998-04-09 1999-10-26 Nikon Corp Base storage case and base holding member
US6612797B1 (en) * 1999-05-18 2003-09-02 Asyst Technologies, Inc. Cassette buffering within a minienvironment
US6402400B1 (en) * 1999-10-06 2002-06-11 Tokyo Electron Limited Substrate processing apparatus
US6239863B1 (en) 1999-10-08 2001-05-29 Silicon Valley Group, Inc. Removable cover for protecting a reticle, system including and method of using the same
JP2001319873A (en) * 2000-02-28 2001-11-16 Nikon Corp Projection aligner, its manufacturing method, and adjusting method
JP4054159B2 (en) * 2000-03-08 2008-02-27 東京エレクトロン株式会社 Substrate processing method and apparatus
JP2002099095A (en) * 2000-09-25 2002-04-05 Orc Mfg Co Ltd Automatic both-side exposing device and method of using the same
DE60219844T2 (en) 2001-03-01 2008-01-17 Asml Netherlands B.V. Process for taking over a lithographic mask
WO2002093626A1 (en) 2001-05-16 2002-11-21 Nikon Corporation Aligning method and aligner, and method and system for conveying substrate
US6619903B2 (en) * 2001-08-10 2003-09-16 Glenn M. Friedman System and method for reticle protection and transport
US6646720B2 (en) 2001-09-21 2003-11-11 Intel Corporation Euv reticle carrier with removable pellicle
JP4030452B2 (en) 2002-03-01 2008-01-09 エーエスエムエル ネザーランズ ビー.ブイ. Mask or substrate transfer method, storage box, device or apparatus adapted for use in such a method, and device manufacturing method including such a method
SG102718A1 (en) 2002-07-29 2004-03-26 Asml Holding Nv Lithography tool having a vacuum reticle library coupled to a vacuum chamber
US6826451B2 (en) 2002-07-29 2004-11-30 Asml Holding N.V. Lithography tool having a vacuum reticle library coupled to a vacuum chamber
TWI286674B (en) * 2002-12-27 2007-09-11 Asml Netherlands Bv Container for a mask, method of transferring lithographic masks therein and method of scanning a mask in a container
US6912043B2 (en) 2003-01-09 2005-06-28 Asml Holding, N.V. Removable reticle window and support frame using magnetic force

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5390785A (en) * 1992-08-04 1995-02-21 International Business Machines Corporation Pressurized sealable transportable containers for storing a semiconductor wafer in a protective gaseous environment
US5586585A (en) * 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
US6380090B1 (en) * 1998-05-29 2002-04-30 Winbond Electrinics Corp Protecting method applied to the semiconductor manufacturing process
US6421113B1 (en) * 2000-02-14 2002-07-16 Advanced Micro Devices, Inc. Photolithography system including a SMIF pod and reticle library cassette designed for ESD protection
US20040187451A1 (en) * 2000-12-04 2004-09-30 Yoko Suzuki Substrate transport apparatus, pod and method
US20030218728A1 (en) * 2002-02-22 2003-11-27 Asml Netherlands B.V. System and method for using a two part cover for protecting a reticle

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130075605A1 (en) * 2011-09-27 2013-03-28 Applied Materials Israel, Ltd Conductive element for electrically coupling an euvl mask to a supporting chuck
US8772737B2 (en) * 2011-09-27 2014-07-08 Applied Materials Israel, Ltd. Conductive element for electrically coupling an EUVL mask to a supporting chuck
US11953836B2 (en) 2017-05-19 2024-04-09 Massachusetts Institute Of Technology Transport system having a magnetically levitated transportation stage
WO2022104028A1 (en) * 2020-11-13 2022-05-19 Massachusetts Institute Of Technology Reticle exchange device with reticle levitation

Also Published As

Publication number Publication date
KR20130123456A (en) 2013-11-12
KR101496076B1 (en) 2015-02-25
EP3439018A1 (en) 2019-02-06
WO2006046488A1 (en) 2006-05-04
EP1806767A1 (en) 2007-07-11
TWI383938B (en) 2013-02-01
JP2006128188A (en) 2006-05-18
KR20070069141A (en) 2007-07-02
US7483123B2 (en) 2009-01-27
EP1806767A4 (en) 2010-01-27
TW200613208A (en) 2006-05-01
CN101006573A (en) 2007-07-25
EP1806767B1 (en) 2018-07-04
US20060087638A1 (en) 2006-04-27

Similar Documents

Publication Publication Date Title
US7483123B2 (en) Substrate conveyor apparatus, substrate conveyance method and exposure apparatus
US7428958B2 (en) Substrate conveyor apparatus, substrate conveyance method and exposure apparatus
KR101313460B1 (en) Substrate carrying device, substrate carrying method, and exposure device
US8235212B2 (en) Mask transport system configured to transport a mask into and out of a lithographic apparatus
JP4564742B2 (en) Exposure apparatus and device manufacturing method
TWI247337B (en) Transfer method for a mask or substrate, storage box, device or apparatus adapted for use in such method, and device manufacturing method comprising such a method
WO2006046682A1 (en) Reticle protective member, reticle carrying apparatus, exposure device, and reticle carrying method
JP2007165699A (en) Particle removal method and particle removal device for electrostatic chuck, and exposure apparatus
JP2006245257A (en) Processor, exposure device having it, and protective mechanism
JPWO2002041375A1 (en) Transport method and apparatus, exposure method and apparatus, and device manufacturing method
WO2002069379A1 (en) X-ray reflective mask, method of protecting the reflective mask, x-ray exposure device, and method of manufacturing semiconductor device
JP2007335665A (en) Particle removal device and exposure equipment
JP5263274B2 (en) Exposure apparatus and method
JP2007281007A (en) Substrate conveyance method, substrate conveyance apparatus and exposure apparatus
JP2006005318A (en) Substrate-carrying device and projection aligner
JP2007165778A (en) Exposing apparatus
JP2008096652A (en) Reticle cover, outer case and exposure apparatus
US6418187B1 (en) X-ray mask structure, and X-ray exposure method and apparatus using the same
JP2008147281A (en) Inspection device, substrate transfer apparatus and exposure apparatus
JP2006005241A (en) Substrate carrying device and projection aligner
JP2008076679A (en) Reticle, reticle case, method for holding reticle, and exposure device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION