US20100120177A1 - Feature Dimension Control in a Manufacturing Process - Google Patents

Feature Dimension Control in a Manufacturing Process Download PDF

Info

Publication number
US20100120177A1
US20100120177A1 US12/691,218 US69121810A US2010120177A1 US 20100120177 A1 US20100120177 A1 US 20100120177A1 US 69121810 A US69121810 A US 69121810A US 2010120177 A1 US2010120177 A1 US 2010120177A1
Authority
US
United States
Prior art keywords
layer
etching
etch
etch process
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/691,218
Inventor
Haoren Zhuang
Alois Gutmann
Matthias Lipinski
Chandrasekhar Sarma
Jingyu Lian
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Priority to US12/691,218 priority Critical patent/US20100120177A1/en
Assigned to INFINEON TECHNOLOGIES NORTH AMERICA CORP. reassignment INFINEON TECHNOLOGIES NORTH AMERICA CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SARMA, CHANDRASEKHAR, GUTMANN, ALOIS, LIAN, JINGYU, LIPINSKI, MATTHIAS, ZHUANG, HAOREN
Assigned to INFINEON TECHNOLOGIES AG reassignment INFINEON TECHNOLOGIES AG ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INFINEON TECHNOLOGIES NORTH AMERICA CORP.
Publication of US20100120177A1 publication Critical patent/US20100120177A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Definitions

  • control mechanisms known in the field as advanced process control (APC) mechanisms, allow various portions of the manufacturing process to be measured and adjusted.
  • API advanced process control
  • APC mechanisms are often embodied as devices known as integrated metrology modules (IMMs), which are essentially sensors and data processors used in conjunction with various steps in the manufacturing processes. These IMMs take specified measurements during the manufacturing process to determine whether certain errors occur during a manufacturing step. The results of these measurements, which may be fed backward or forward in the manufacturing process, are used as a basis to adjust other aspects of manufacturing in an attempt to compensate for such errors.
  • IMMs integrated metrology modules
  • APC mechanisms also typically measure a send-ahead (SAHD) wafer.
  • a wafer is a planar piece of semiconductor material, typically disc-shaped, that is the substrate on (and in) which semiconductor devices are formed.
  • a SAHD wafer is, in essence, a sacrificial wafer that is used to test-run at least a portion of the manufacturing process so that the manufacturing steps may be adjusted prior to sending future wafers intended for actual marketable semiconductor devices.
  • a SAHD wafer is used for each “run” of wafers, which is typically a group of about twenty or so wafers, although a run may be any number of wafers. In other words, for each run, at least one wafer may be wasted, thereby reducing efficiency. This reduced efficiency is especially problematic where, as is typically the case, the profit margin for the end product is small.
  • a method for manufacturing a semiconductor device includes determining a dimension or other physical characteristic of a pattern in a layer of material that is disposed on a workpiece, and etching the layer of material using information that is related to the dimension.
  • a system for manufacturing a semiconductor device includes a first etch system configured to etch a layer to define a pattern in the layer, and a second etch system configured to measure a physical characteristic of the pattern, determine an etch control parameter based on the physical characteristic, and etch the layer in accordance with the etch control parameter.
  • FIG. 1 is a flow chart of an illustrative embodiment of a semiconductor device manufacturing process including various APC processes.
  • FIG. 2 is a side cut-away view of an illustrative embodiment of a semiconductor device structure showing illustrative critical dimension measurements of a resist layer after lithographic patterning of the resist and prior to polysilicon gate line etching.
  • FIG. 3 is a side cut-away view of an illustrative embodiment of a semiconductor device structure showing illustrative critical dimension measurements of a polysilicon gate line after gate line etching and stripping of remaining resist.
  • FIG. 4 is a functional block diagram of an illustrative embodiment of a system for manufacturing semiconductor devices and implementing various APC processes.
  • FIG. 5 is a side cut-away view of an illustrative embodiment of a semiconductor device structure prior to photolithography patterning of a resist layer.
  • FIG. 6 is a side cut-away view of the semiconductor device of FIG. 5 during the photolithography patterning of the resist layer.
  • FIG. 7 is a side cut-away view of the semiconductor device of FIG. 6 after etching exposed polysilicon to form gate lines and/or other polysilicon structures.
  • FIG. 8 is a side cut-away view of the semiconductor device of FIG. 7 after stripping off the resist layer.
  • FIG. 9 illustrates a side cut-away view of the semiconductor device of FIG. 8 after wet etching of the polysilicon layer.
  • CD data Data representing these measurements
  • Traditional feed-forward mechanisms are intended to compensate for polysilicon line lithography drifts (that can vary from wafer to wafer) by forwarding the CD data to a later component in the manufacturing process that etches the material from which the polysilicon lines are formed.
  • the above feed-forward process may not, in practice, adequately compensate for lithography drift.
  • the measured CD at this post-lithography/pre-etch stage of manufacturing may be inconsistent due to variations in the resist profile being measured. Such variations may be due to resist local profile and footing variations, such as shown in FIG. 2 , in which a portion of a photo-sensitive resist layer 202 formed above a layer of polysilicon 201 has a width that varies due to resist footing variations as well as local profile variations. Because of this profile variation, consistently measuring the CD at the same location of a given feature is difficult and not necessarily repeatable.
  • the SAHD wafer is not useful for providing marketable ICs, since the drifts thereon are uncompensated.
  • the SAHD is merely used to establish the feedback control data used for etching the other wafers during a run. Therefore, for each run a wafer is wasted.
  • material line pattern etching may be separated into two distinct etching steps.
  • the material is polysilicon.
  • the first etching step may be a reactive ion etching (RIE) etch step.
  • the first etching step may be performed using any suitable type of etch system that can perform any type of anisotropic etching or other type of etching.
  • the second etching step may account for any variations in dimensions or other physical characteristics measured after the first etch step.
  • the second etching step may use chemical downstream etching (CDE).
  • the second etching step may use any type of isotropic or other type of etching.
  • scatterometry measurements after lithography may be skipped and replaced with a feed-forward measurement. This means that, for purposes of patterning a polysilicon layer, only a single measurement may be used, thus effectively resulting in an etch-measure-etch process.
  • CDE may be used for both the second etching step and CD trimming. Because CDE is generally well controllable, provides for isotropic (i.e., omnidirectional) etching, and is highly repeatable, CDE is particularly suitable for compensating with high accuracy for deviations from target as measured after the first etching step.
  • lithography 101 may be performed on each wafer, such that a pattern from a reticle or other type of photomask is optically transferred to a photo-sensitive layer (also known as a resist layer) formed on the wafer.
  • the pattern may be transferred by projecting onto the resist layer an ultra-violet (UV) light or other wavelength image of the pattern formed on the reticle.
  • UV ultra-violet
  • the image projected onto the wafer includes a number of repeated smaller images.
  • a single larger image may be projected onto the wafer simultaneously for all of the integrated circuits in the wafer, or a smaller image may be repetitively exposed in a step-wise manner on successive portions of the wafer representing the different integrated circuits.
  • the type of exposure used may depend upon the type of photomask and projection equipment used. In either case, exposure may be implemented in a conventional stepper machine that moves the wafer in steps under a scanning region.
  • a resist layer 202 may be formed as a blanket layer over a polysilicon layer 201 or other conductive layer, which may itself be formed as a blanket layer over an insulating (e.g., oxide) layer 302 , which in turn may be formed as a blanket layer over a silicon layer 301 or other substrate.
  • this structure may then be exposed to a UV or other wavelength image (indicated in FIG. 6 by vertical arrows) as determined by a pattern formed on a reticle 611 or other photomask.
  • reticle 611 is made of an optically transparent substance such as quartz glass, and the pattern may be embodied as a patterned layer of opaque material 613 layered on the glass, such as chrome.
  • the result of projecting the image onto resist layer 202 is to chemically alter the exposed portions of resist layer 202 to affect how easily those portions can be removed from underlying polysilicon layer 201 . After such removal, the result is a pattern in resist layer 202 including one or more openings 609 that expose respective underlying portions of polysilicon layer 201 .
  • polysilicon etching 105 such as RIE or another type of etching, may be performed to etch those portions of polysilicon layer 201 exposed by the pattern in resist layer 202 .
  • this is the first etching step of the etch-measure-etch process referred to previously.
  • this first etching step 105 may be performed to such an extent so as to allow for fine-adjustment etching of polysilicon layer 201 in a second etching step described later.
  • There are various ways to determine one or more etching parameters such as etch rate and etch time for etching step 105 .
  • etch control parameters of RIE etching step 105 may then be set at values that allow for compensation of any conceivable extent of run-to-run and wafer-to-wafer dimensional (e.g., CD) variation by the second etching step.
  • etching step 105 may result in one or more openings 701 in polysilicon layer 201 (and in oxide layer 302 ) substantially aligned with openings 609 .
  • the amount of polysilicon layer 201 removed during etching step 105 may be less than desired such that openings 701 are narrower than intended. This is indicated in FIG. 7 where polysilicon layer 201 extends beyond the edges of openings 609 in resist layer 202 . As will be described below, this may be compensated for later in the manufacturing process on a wafer-by-wafer basis for each and every wafer in a run, without the need for a sacrificial SAHD wafer.
  • resist layer 202 may be stripped from the wafer using, for example, ashing or CDE (step 107 ).
  • a scatterometer may be used to take a measurement in step 109 .
  • an ellipsometer, a reflectometer, or a scanning electron microscope may be used.
  • the measurement may be a direct measurement, and in other embodiments the measurement may be an indirect measurement. In either case, the measurement may be performed to measure or otherwise determine one or more physical characteristics of the pattern in polysilicon layer 201 at one or more different locations of polysilicon layer 201 , such as one or more critical dimensions of the pattern in polysilicon layer 201 .
  • feed-forward compensation information 123 may be generated based on the measurement, wherein feed-forward compensation information 123 represents the one or more measured physical characteristics and/or the adjustments to or other settings of one or more etching parameters needed to correct for any under-etching.
  • Feed-forward compensation information 123 is then fed forward (indicated in FIG. 1 with a broken line) as a control to adjust one or more etching parameters of an additional etching process that fine-tunes polysilicon layer 201 , referred to herein as tuning (step 111 ).
  • Any one or more etching parameters may be adjusted in accordance with compensation information 123 .
  • an etching parameter may include the temperature of the wafer.
  • an etching parameter may include the chemical makeup of the etchant(s) used.
  • an etching parameter may include the etching gas pressure.
  • an etching parameter may include the etching chamber volume.
  • an etching parameter may include the amount of time etching is performed.
  • Tuning 111 may include any type of etching process, such as CDE and/or another type of etching.
  • CDE etching process
  • a gas stream is used to etch the wafer. Because ions do not directly impinge upon the wafer during this process, isotropic etching is achieved by radicals from the gas stream. Isotropic etching may be ideal for performing tuning step 111 .
  • CDE etching is generally slower than RIE etching, and so very accurate adjustments can be made to the CDE etching process as compared with RIE etching. It has been found that the amount of time that CDE is performed varies approximately linearly with the amount of polysilicon line trimming.
  • one or more etch control parameters of tuning 111 may be adjusted or otherwise set based on feed-forward compensation information 123 .
  • feed-forward information 123 indicates that polysilicon layer 201 includes transistor gate lines that are wider than desired (i.e., that have been under-etched)
  • the etch control parameters in tuning 111 may be adjusted or otherwise set so as to additionally etch an appropriate amount of polysilicon layer 201 to produce the desired gate line width.
  • the etch control parameters can be individually tailored for each wafer in the run.
  • feed-forward compensation information 123 may be used to determine one or more etch control parameters during tuning 111 , which may be used to remove an additional amount of polysilicon layer 201 after RIE 105 , such as portions 901 as shown in FIG. 9 .
  • the etch control parameters may involve, for example, the amount of time that etching is performed, the pressure levels of one or more gases used during etching, and/or the temperature level used during etching. Any of a number of different etching parameters affiliated with etching may be adjusted or otherwise set, and the illustrative examples provided herein should not be considered limiting.
  • the resist strip 107 , scatterometry 109 , and tuning 111 steps may be performed by separate devices or by a single integrated device or etch system.
  • these three steps 107 , 109 , 111 may be performed by a modified CDE tool having an IMM that may include a scatterometer and a processor, and that may further include automated etch control parameter adjustment capabilities based on the output of the processor.
  • each wafer being processed may remain in the chemical downstream etcher throughout processes 107 , 109 , and 111 .
  • each wafer may be treated with dilute hydrofluoric acid (DHF), for example, to remove the pad oxide layer from the wafer in step 113 .
  • DHF dilute hydrofluoric acid
  • the wafer may be further dipped in an acid bath and a deionized water rinse bath as desired.
  • one or more feed-forward controls can be used in the process shown in FIG. 1 .
  • one or more feedback controls can be used in the process shown in FIG. 1 .
  • the measurements taken for each wafer may be applied to compensate for errors occurring on those same wafers. Thus, corrections may be made on a wafer-by-wafer basis. Thus, each and every wafer in a run in accordance with FIG. 1 has a reasonable potential to be a source of marketable integrated circuits.
  • scatterometry step 109 may be performed on the wafer after resist layer 202 is stripped and polysilicon layer 201 is etched. This may allow for more accurate dimensional measurements as compared to measurements made in the presence of resist layer 202 still remaining on polysilicon layer 201 .
  • the profile width of the pattern in polysilicon layer 201 (disposed above a silicon 301 substrate) has a relatively small variance along the bottom, middle, and top of the pattern profile as compared with the profile of the pattern in resist layer 202 ( FIG. 2 ). This reduced variance may be due at least in part to passivation effects that occur during etching of polysilicon layer 201 . Since passivation may not be present when patterning resist layer 202 , a scatterometry measurement of polysilicon layer 201 in the absence of resist layer 202 may provide a marked improvement in dimensional metrology accuracy as compared with traditional pre-etch scatterometry.
  • System 400 as shown includes lithography equipment 401 for performing step 101 by patterning resist layer 202 .
  • Lithography equipment 401 may include a UV or other wavelength light projector, a holder for a reticle or other type of photomask, and a stepper for moving the wafer in relation to the projector in a controlled manner.
  • System 400 may further include a first etcher (such as an RIE etcher 402 ), which may receive the wafer from lithographic equipment 401 .
  • RIE etcher 402 may perform step 105 so as to remove the exposed portions of polysilicon layer 201 from the wafer.
  • System 400 may additionally include a second etcher (such as a CDE tool 403 ), which may be used to perform at least step 107 to strip the remaining resist layer 202 after etching polysilicon layer 201 .
  • a scatterometer 405 may then be used to perform step 109 by measuring the stripped wafer and generating raw scatterometry data from the measurements.
  • the raw scatterometry data may represent at least one or more measured critical dimensions of the pattern in polysilicon layer 201 .
  • a processor 406 may receive the raw scatterometry data and generate one or more control commands based on the raw scatterometry data.
  • processor 406 may make a determination that the etching temperature should be set at a specific temperature, that the gas pressure within the etching chamber needs to be set at a specific pressure, that a specific amount of time for subsequent etching needs to be set, and/or some other etching parameter needs to be adjusted. These adjustments and other settings may be represented by the control commands generated by processor 406 .
  • a controller 407 may also be included that converts the control commands to control signals that are appropriate for directly adjusting etch control parameters of CDE tool 403 .
  • processor 406 may produce the control signals directly without the need for intervening control commands (such as where processor 406 and control 407 are a combined unit).
  • CDE tool 403 , scatterometer 405 , processor 406 and/or controller 407 may be packaged as a single physical unit in a single common housing 520 (such as a cluster tool) or may be separate physical units. Also, adjustment of the various etch control parameters of CDE tool 403 may be fully automated via processor 406 and/or controller 407 and/or the etch control parameters may be manually adjustable.
  • the etch control parameters may be adjusted or otherwise set, and CDE tool 403 may again perform an etch in accordance with the new etch control parameters to perform step 111 (tuning)
  • any of the raw scatterometry data, control commands, or control signals of FIG. 4 may be considered to be at least part of the feed-forward compensation information 123 of the process of FIG. 1 .
  • the wafer may then undergo a wet DHF treatment (step 113 ) by a wet DHF unit 404 .

Abstract

A method for manufacturing a semiconductor device is disclosed including determining a dimension or other physical characteristic of a pattern in a layer of material that is disposed on a workpiece, and etching the layer of material using information that is related to the dimension. A system is also disclosed for manufacturing a semiconductor device including a first etch system configured to etch a layer to define a pattern in the layer, and a second etch system configured to measure a physical characteristic of the pattern, determine an etch control parameter based on the physical characteristic, and etch the layer in accordance with the etch control parameter.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • The present application claims priority to, and is a divisional of, U.S. patent application Ser. No. 11/625,575, entitled, “Feature Dimension Control in a Manufacturing Process,” filed Jan. 22, 2007, and incorporated by reference herein as to its entirety.
  • BACKGROUND
  • As the semiconductor device market continues to grow and become more competitive, the equipment and processes for manufacturing semiconductor devices are likewise maturing. To keep pace with competition, there is a need for manufacturing processes to be as efficient as possible. Reducing the amount of time, energy, and materials used for manufacture may increase manufacturing efficiency. Accordingly, there has been an expanding effort to reduce the amount of resources used in the manufacturing process. In addition, as semiconductor feature dimensions become ever smaller, it is becoming increasingly difficult to accurately control these dimensions within a narrow range in an efficient and highly repeatable manner.
  • As part of this effort to increase manufacturing efficiency while maintaining control of feature size, control mechanisms, known in the field as advanced process control (APC) mechanisms, allow various portions of the manufacturing process to be measured and adjusted.
  • APC mechanisms are often embodied as devices known as integrated metrology modules (IMMs), which are essentially sensors and data processors used in conjunction with various steps in the manufacturing processes. These IMMs take specified measurements during the manufacturing process to determine whether certain errors occur during a manufacturing step. The results of these measurements, which may be fed backward or forward in the manufacturing process, are used as a basis to adjust other aspects of manufacturing in an attempt to compensate for such errors.
  • Such APC mechanisms also typically measure a send-ahead (SAHD) wafer. A wafer is a planar piece of semiconductor material, typically disc-shaped, that is the substrate on (and in) which semiconductor devices are formed. A SAHD wafer is, in essence, a sacrificial wafer that is used to test-run at least a portion of the manufacturing process so that the manufacturing steps may be adjusted prior to sending future wafers intended for actual marketable semiconductor devices. A SAHD wafer is used for each “run” of wafers, which is typically a group of about twenty or so wafers, although a run may be any number of wafers. In other words, for each run, at least one wafer may be wasted, thereby reducing efficiency. This reduced efficiency is especially problematic where, as is typically the case, the profit margin for the end product is small.
  • SUMMARY
  • The following presents a simplified summary in order to provide a basic understanding of various aspects described herein. This summary is not an extensive overview of the invention. It is not intended to identify key or critical elements of the invention or to delineate the scope of the invention. The following summary merely presents various concepts in a simplified form as a prelude to the more detailed description provided below.
  • In one illustrative aspect, a method for manufacturing a semiconductor device includes determining a dimension or other physical characteristic of a pattern in a layer of material that is disposed on a workpiece, and etching the layer of material using information that is related to the dimension.
  • According to another illustrative aspect, a system for manufacturing a semiconductor device includes a first etch system configured to etch a layer to define a pattern in the layer, and a second etch system configured to measure a physical characteristic of the pattern, determine an etch control parameter based on the physical characteristic, and etch the layer in accordance with the etch control parameter.
  • These and other aspects of the disclosure will be apparent upon consideration of the following detailed description of illustrative aspects.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • A more complete understanding of the present disclosure may be acquired by referring to the following description in consideration of the accompanying drawings, in which like reference numbers indicate like features, and wherein:
  • FIG. 1 is a flow chart of an illustrative embodiment of a semiconductor device manufacturing process including various APC processes.
  • FIG. 2 is a side cut-away view of an illustrative embodiment of a semiconductor device structure showing illustrative critical dimension measurements of a resist layer after lithographic patterning of the resist and prior to polysilicon gate line etching.
  • FIG. 3 is a side cut-away view of an illustrative embodiment of a semiconductor device structure showing illustrative critical dimension measurements of a polysilicon gate line after gate line etching and stripping of remaining resist.
  • FIG. 4 is a functional block diagram of an illustrative embodiment of a system for manufacturing semiconductor devices and implementing various APC processes.
  • FIG. 5 is a side cut-away view of an illustrative embodiment of a semiconductor device structure prior to photolithography patterning of a resist layer.
  • FIG. 6 is a side cut-away view of the semiconductor device of FIG. 5 during the photolithography patterning of the resist layer.
  • FIG. 7 is a side cut-away view of the semiconductor device of FIG. 6 after etching exposed polysilicon to form gate lines and/or other polysilicon structures.
  • FIG. 8 is a side cut-away view of the semiconductor device of FIG. 7 after stripping off the resist layer.
  • FIG. 9 illustrates a side cut-away view of the semiconductor device of FIG. 8 after wet etching of the polysilicon layer.
  • It is noted that the various drawings are not necessarily drawn to scale.
  • DETAILED DESCRIPTION
  • The various aspects summarized previously may be embodied in various forms. The following description shows, by way of illustration, various embodiments in which the aspects may be practiced. It is understood that other examples may be utilized, and that structural and functional modifications may be made, without departing from the scope of the present disclosure. It is noted that various layers are set forth as being adjacent to one another in the following description. Unless otherwise specified, such layers may be directly and physically in contact with each other or a material may intervene between such layers, and in any event this specification is not intended to be limiting in this respect.
  • Traditional post-lithography/pre-etch advanced process control (APC) mechanisms measure critical dimensions (CDs) of semiconductor device features. Data representing these measurements (“CD data”) is used to compensate for lithography drifts that a wafer experiences during the lithographic processing of transistor polysilicon gate lines. Traditional feed-forward mechanisms are intended to compensate for polysilicon line lithography drifts (that can vary from wafer to wafer) by forwarding the CD data to a later component in the manufacturing process that etches the material from which the polysilicon lines are formed.
  • However, the above feed-forward process may not, in practice, adequately compensate for lithography drift. For example, the measured CD at this post-lithography/pre-etch stage of manufacturing may be inconsistent due to variations in the resist profile being measured. Such variations may be due to resist local profile and footing variations, such as shown in FIG. 2, in which a portion of a photo-sensitive resist layer 202 formed above a layer of polysilicon 201 has a width that varies due to resist footing variations as well as local profile variations. Because of this profile variation, consistently measuring the CD at the same location of a given feature is difficult and not necessarily repeatable.
  • In addition to the post-lithography/pre-etch feed-forward loop, many traditional post-etch processes utilize a feedback loop to compensate for etching drifts. For a given run of wafers, such an approach uses a send-ahead (SAHD) wafer for measurement and uses those measurements to adjust etching characteristics. Such an approach may be inconsistent between wafers, since measurements of only a single SAHD wafer are being used to control adjustments made for the etching process of other wafers in the run. Since each wafer may have slightly different CD measurement results, data from the SAHD wafer may not effectively reflect adjustments needed to each of the other wafers in the run. For this reason, feedback techniques are not useful for correcting for wafer-to-wafer variations. Still further, the SAHD wafer is not useful for providing marketable ICs, since the drifts thereon are uncompensated. The SAHD is merely used to establish the feedback control data used for etching the other wafers during a run. Therefore, for each run a wafer is wasted.
  • In an alternative approach, as will be described in connection with various illustrative embodiments, material line pattern etching may be separated into two distinct etching steps. In some embodiments, the material is polysilicon. In some embodiments, the first etching step may be a reactive ion etching (RIE) etch step. In alternative embodiments, the first etching step may be performed using any suitable type of etch system that can perform any type of anisotropic etching or other type of etching. The second etching step may account for any variations in dimensions or other physical characteristics measured after the first etch step. In some embodiments, the second etching step may use chemical downstream etching (CDE). In other embodiments, the second etching step may use any type of isotropic or other type of etching. Thus, scatterometry measurements after lithography may be skipped and replaced with a feed-forward measurement. This means that, for purposes of patterning a polysilicon layer, only a single measurement may be used, thus effectively resulting in an etch-measure-etch process.
  • In addition, since state-of-the-art logic transistor gate patterning already involves a CD trim process (where the CD is reduced beyond what is normally achievable through lithography alone), at least one of the two etch steps may also provide for this CD trim capability. For example, CDE may be used for both the second etching step and CD trimming. Because CDE is generally well controllable, provides for isotropic (i.e., omnidirectional) etching, and is highly repeatable, CDE is particularly suitable for compensating with high accuracy for deviations from target as measured after the first etching step.
  • An illustrative embodiment of such an etch-measure-etch process is now described with respect to FIG. 1. For each wafer or other work piece in a run (which may be a set of any number of wafers, such as at least ten wafers, at least twenty wafers, at least thirty wafers, or any other number as desired), lithography 101 may be performed on each wafer, such that a pattern from a reticle or other type of photomask is optically transferred to a photo-sensitive layer (also known as a resist layer) formed on the wafer. The pattern may be transferred by projecting onto the resist layer an ultra-violet (UV) light or other wavelength image of the pattern formed on the reticle. Typically, multiple identical separate integrated circuits are formed on the same wafer, and so the image projected onto the wafer includes a number of repeated smaller images. To expose the resist-coated wafer, either a single larger image may be projected onto the wafer simultaneously for all of the integrated circuits in the wafer, or a smaller image may be repetitively exposed in a step-wise manner on successive portions of the wafer representing the different integrated circuits. The type of exposure used may depend upon the type of photomask and projection equipment used. In either case, exposure may be implemented in a conventional stepper machine that moves the wafer in steps under a scanning region.
  • For instance, referring to FIGS. 1 and 5, a resist layer 202 may be formed as a blanket layer over a polysilicon layer 201 or other conductive layer, which may itself be formed as a blanket layer over an insulating (e.g., oxide) layer 302, which in turn may be formed as a blanket layer over a silicon layer 301 or other substrate. Referring to FIGS. 1 and 6, this structure may then be exposed to a UV or other wavelength image (indicated in FIG. 6 by vertical arrows) as determined by a pattern formed on a reticle 611 or other photomask. In this example, reticle 611 is made of an optically transparent substance such as quartz glass, and the pattern may be embodied as a patterned layer of opaque material 613 layered on the glass, such as chrome. The result of projecting the image onto resist layer 202 is to chemically alter the exposed portions of resist layer 202 to affect how easily those portions can be removed from underlying polysilicon layer 201. After such removal, the result is a pattern in resist layer 202 including one or more openings 609 that expose respective underlying portions of polysilicon layer 201.
  • Next, referring only to FIG. 1, polysilicon etching 105, such as RIE or another type of etching, may be performed to etch those portions of polysilicon layer 201 exposed by the pattern in resist layer 202. In some embodiments, this is the first etching step of the etch-measure-etch process referred to previously. In some embodiments, this first etching step 105 may be performed to such an extent so as to allow for fine-adjustment etching of polysilicon layer 201 in a second etching step described later. There are various ways to determine one or more etching parameters such as etch rate and etch time for etching step 105. For instance, based on a sufficiently large volume of scatterometry data previously collected from initial runs including the whole patterning sequence (lithography and etching), the total dimensional variation from lithography and RIE etching arising during manufacturing will be known on both a run-to-run and a wafer-to-wafer basis. The etch control parameters of RIE etching step 105 may then be set at values that allow for compensation of any conceivable extent of run-to-run and wafer-to-wafer dimensional (e.g., CD) variation by the second etching step.
  • Referring to FIGS. 1 and 7, due to the anisotropic nature of RIE, etching step 105 may result in one or more openings 701 in polysilicon layer 201 (and in oxide layer 302) substantially aligned with openings 609. However, as can be seen in the example of FIG. 7, the amount of polysilicon layer 201 removed during etching step 105 may be less than desired such that openings 701 are narrower than intended. This is indicated in FIG. 7 where polysilicon layer 201 extends beyond the edges of openings 609 in resist layer 202. As will be described below, this may be compensated for later in the manufacturing process on a wafer-by-wafer basis for each and every wafer in a run, without the need for a sacrificial SAHD wafer.
  • Next, referring to FIGS. 1 and 8, resist layer 202 may be stripped from the wafer using, for example, ashing or CDE (step 107). In some embodiments, a scatterometer may be used to take a measurement in step 109. In further embodiments, an ellipsometer, a reflectometer, or a scanning electron microscope, may be used. In some embodiments, the measurement may be a direct measurement, and in other embodiments the measurement may be an indirect measurement. In either case, the measurement may be performed to measure or otherwise determine one or more physical characteristics of the pattern in polysilicon layer 201 at one or more different locations of polysilicon layer 201, such as one or more critical dimensions of the pattern in polysilicon layer 201. Regardless of how this measurement is taken, feed-forward compensation information 123 may be generated based on the measurement, wherein feed-forward compensation information 123 represents the one or more measured physical characteristics and/or the adjustments to or other settings of one or more etching parameters needed to correct for any under-etching.
  • Feed-forward compensation information 123 is then fed forward (indicated in FIG. 1 with a broken line) as a control to adjust one or more etching parameters of an additional etching process that fine-tunes polysilicon layer 201, referred to herein as tuning (step 111). Any one or more etching parameters may be adjusted in accordance with compensation information 123. For instance, in some embodiments, an etching parameter may include the temperature of the wafer. In further embodiments, an etching parameter may include the chemical makeup of the etchant(s) used. In still further embodiments, an etching parameter may include the etching gas pressure. In still further embodiments, an etching parameter may include the etching chamber volume. In yet further embodiments, an etching parameter may include the amount of time etching is performed.
  • Tuning 111 may include any type of etching process, such as CDE and/or another type of etching. In the CDE process, a gas stream is used to etch the wafer. Because ions do not directly impinge upon the wafer during this process, isotropic etching is achieved by radicals from the gas stream. Isotropic etching may be ideal for performing tuning step 111. Moreover, CDE etching is generally slower than RIE etching, and so very accurate adjustments can be made to the CDE etching process as compared with RIE etching. It has been found that the amount of time that CDE is performed varies approximately linearly with the amount of polysilicon line trimming.
  • Regardless of the type of etching used in tuning 111, one or more etch control parameters of tuning 111 may be adjusted or otherwise set based on feed-forward compensation information 123. In some embodiments, if feed-forward information 123 indicates that polysilicon layer 201 includes transistor gate lines that are wider than desired (i.e., that have been under-etched), then the etch control parameters in tuning 111 may be adjusted or otherwise set so as to additionally etch an appropriate amount of polysilicon layer 201 to produce the desired gate line width. In various embodiments, the etch control parameters can be individually tailored for each wafer in the run.
  • As mentioned previously, feed-forward compensation information 123 may be used to determine one or more etch control parameters during tuning 111, which may be used to remove an additional amount of polysilicon layer 201 after RIE 105, such as portions 901 as shown in FIG. 9. This is the second etching step of the etch-measure-etch process referred to previously. The etch control parameters may involve, for example, the amount of time that etching is performed, the pressure levels of one or more gases used during etching, and/or the temperature level used during etching. Any of a number of different etching parameters affiliated with etching may be adjusted or otherwise set, and the illustrative examples provided herein should not be considered limiting.
  • The resist strip 107, scatterometry 109, and tuning 111 steps may be performed by separate devices or by a single integrated device or etch system. For instance, these three steps 107, 109, 111 may be performed by a modified CDE tool having an IMM that may include a scatterometer and a processor, and that may further include automated etch control parameter adjustment capabilities based on the output of the processor. In such a case, each wafer being processed may remain in the chemical downstream etcher throughout processes 107, 109, and 111.
  • After performing tuning 111, each wafer may be treated with dilute hydrofluoric acid (DHF), for example, to remove the pad oxide layer from the wafer in step 113. The wafer may be further dipped in an acid bath and a deionized water rinse bath as desired.
  • In the process in accordance with FIG. 1, it is not necessary to provide a sacrificial SAHD wafer. In some embodiments, one or more feed-forward controls can be used in the process shown in FIG. 1. In some embodiments, one or more feedback controls can be used in the process shown in FIG. 1. In some embodiments, the measurements taken for each wafer may be applied to compensate for errors occurring on those same wafers. Thus, corrections may be made on a wafer-by-wafer basis. Thus, each and every wafer in a run in accordance with FIG. 1 has a reasonable potential to be a source of marketable integrated circuits.
  • In addition, and in accordance with FIG. 1, scatterometry step 109 may be performed on the wafer after resist layer 202 is stripped and polysilicon layer 201 is etched. This may allow for more accurate dimensional measurements as compared to measurements made in the presence of resist layer 202 still remaining on polysilicon layer 201. For instance, referring briefly to FIG. 3, it can be seen that the profile width of the pattern in polysilicon layer 201 (disposed above a silicon 301 substrate) has a relatively small variance along the bottom, middle, and top of the pattern profile as compared with the profile of the pattern in resist layer 202 (FIG. 2). This reduced variance may be due at least in part to passivation effects that occur during etching of polysilicon layer 201. Since passivation may not be present when patterning resist layer 202, a scatterometry measurement of polysilicon layer 201 in the absence of resist layer 202 may provide a marked improvement in dimensional metrology accuracy as compared with traditional pre-etch scatterometry.
  • Referring now to FIG. 4, an illustrative functional block diagram of a system 400, or portion thereof, is shown that may be used for manufacturing semiconductor devices from a run of wafers in accordance with the process of FIG. 1. System 400 as shown includes lithography equipment 401 for performing step 101 by patterning resist layer 202. Lithography equipment 401 may include a UV or other wavelength light projector, a holder for a reticle or other type of photomask, and a stepper for moving the wafer in relation to the projector in a controlled manner. System 400 may further include a first etcher (such as an RIE etcher 402), which may receive the wafer from lithographic equipment 401. RIE etcher 402 may perform step 105 so as to remove the exposed portions of polysilicon layer 201 from the wafer.
  • System 400 may additionally include a second etcher (such as a CDE tool 403), which may be used to perform at least step 107 to strip the remaining resist layer 202 after etching polysilicon layer 201. A scatterometer 405 may then be used to perform step 109 by measuring the stripped wafer and generating raw scatterometry data from the measurements. The raw scatterometry data may represent at least one or more measured critical dimensions of the pattern in polysilicon layer 201. Then, a processor 406 may receive the raw scatterometry data and generate one or more control commands based on the raw scatterometry data. For instance, based on the raw scatterometry data, processor 406 may make a determination that the etching temperature should be set at a specific temperature, that the gas pressure within the etching chamber needs to be set at a specific pressure, that a specific amount of time for subsequent etching needs to be set, and/or some other etching parameter needs to be adjusted. These adjustments and other settings may be represented by the control commands generated by processor 406.
  • A controller 407 may also be included that converts the control commands to control signals that are appropriate for directly adjusting etch control parameters of CDE tool 403. Alternatively, processor 406 may produce the control signals directly without the need for intervening control commands (such as where processor 406 and control 407 are a combined unit). CDE tool 403, scatterometer 405, processor 406 and/or controller 407 may be packaged as a single physical unit in a single common housing 520 (such as a cluster tool) or may be separate physical units. Also, adjustment of the various etch control parameters of CDE tool 403 may be fully automated via processor 406 and/or controller 407 and/or the etch control parameters may be manually adjustable.
  • In response to the control signals, the etch control parameters may be adjusted or otherwise set, and CDE tool 403 may again perform an etch in accordance with the new etch control parameters to perform step 111 (tuning) It is noted that any of the raw scatterometry data, control commands, or control signals of FIG. 4, alone or in any combination, may be considered to be at least part of the feed-forward compensation information 123 of the process of FIG. 1. After the desired amount of tuning (e.g., CD trimming) is performed, the wafer may then undergo a wet DHF treatment (step 113) by a wet DHF unit 404.
  • Thus, various illustrative systems and methods for manufacturing semiconductor devices using a post-resist-strip feed-forward adjustment mechanism have been described. Although the above description has been presented in the context of controlling the dimensions of polysilicon features, the description applies equally to controlling feature dimensions of other materials. Where such other materials are controlled in accordance with the present disclosure, the various specific formation and/or etching techniques may be applied and/or modified as appropriate to those materials and as understood by one of ordinary skill in the relevant art. Although the subject matter has been described in language specific to structural features and/or methodological acts, it is to be understood that the subject matter defined in the appended claims is not necessarily limited to the specific features or acts described above. Rather, the specific features and acts described above are disclosed as example forms of implementing the claims. Moreover, although various embodiments may be separately disclosed, any of the embodiments may be combined in any combination or subcombination as would be recognized by one of ordinary skill in the art.

Claims (21)

1. A method for manufacturing a workpiece, comprising:
determining a dimension of a pattern in a layer of material that is disposed on the workpiece; and
etching the layer of material using information that is related to the dimension.
2. The method of claim 1, comprising defining the pattern in the layer of material using an anisotropic etch process.
3. The method of claim 1, comprising defining the pattern in the layer of material using a reactive ion etch process.
4. The method of claim 1, wherein etching the layer of material comprises using an isotropic etch process.
5. The method of claim 1, wherein etching the layer of material comprises using a chemical downstream etch process.
6. The method of claim 1, wherein the information corresponds to an amount of time that the layer of material is etched.
7. The method of claim 1, wherein the information corresponds to a pressure of a gas used to etch the layer of material.
8. The method of claim 1, wherein the information corresponds to a temperature used to etch the layer of material.
9. A method of defining a feature on a wafer, comprising:
etching a layer using a first etch process through a mask to define a feature in the layer; and
etching the layer using a second etch process to change a dimension of the feature using an etching parameter that is determined from a physical characteristic of the feature.
10. The method of claim 9, wherein etching the layer using the second etch process comprises measuring the physical characteristic after the mask is removed.
11. The method of claim 9, wherein the physical characteristic includes the dimension of the feature.
12. The method of claim 11, wherein the feature comprises polysilicon and the dimension is a width of the polysilicon.
13. The method of claim 9, wherein the first etch process is an anisotropic etch process and the second etch process is an isotropic etch process.
14. The method of claim 9, wherein etching the layer using a second etch process comprises using an etching parameter that includes at least one of an amount of time that the layer is etched, a pressure of a gas used to etch the layer, and a temperature used to etch the layer.
15. The method of claim 9, wherein the first etch process comprises a reactive ion etch process.
16. The method of claim 15, wherein the second etch process comprises a chemical downstream etch process.
17. The method of claim 9, wherein the mask comprises a resist layer.
18. A method of manufacturing a layer over a substrate, comprising:
etching the layer over the substrate through a patterned resist layer that overlies the layer over the substrate by using a reactive ion etch process to define a pattern in the layer over the substrate;
removing the resist layer;
measuring a physical characteristic of the layer over the substrate;
determining an etch control parameter based on the physical characteristic; and
etching the layer over the substrate by using a chemical downstream etch process in accordance with the etch control parameter to remove a portion of the layer over the substrate.
19. The method of claim 18, wherein etching the layer over the substrate using the second etch process comprises using the etching parameter that includes at least one of an amount of time that the layer over the substrate is etched, a pressure of a gas used to etch the layer over the substrate, and a temperature used to etch the layer over the substrate.
20. The method of claim 18, wherein measuring the physical characteristic of the layer over the substrate comprises measuring a width of a feature formed in the layer over the substrate.
21. The method of claim 18, wherein measuring the physical characteristic of the layer over the substrate comprises measuring a width of each of a plurality of features formed at different locations in the layer over the substrate.
US12/691,218 2007-01-22 2010-01-21 Feature Dimension Control in a Manufacturing Process Abandoned US20100120177A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/691,218 US20100120177A1 (en) 2007-01-22 2010-01-21 Feature Dimension Control in a Manufacturing Process

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/625,575 US7674350B2 (en) 2007-01-22 2007-01-22 Feature dimension control in a manufacturing process
US12/691,218 US20100120177A1 (en) 2007-01-22 2010-01-21 Feature Dimension Control in a Manufacturing Process

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/625,575 Division US7674350B2 (en) 2007-01-22 2007-01-22 Feature dimension control in a manufacturing process

Publications (1)

Publication Number Publication Date
US20100120177A1 true US20100120177A1 (en) 2010-05-13

Family

ID=39641658

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/625,575 Active 2027-10-11 US7674350B2 (en) 2007-01-22 2007-01-22 Feature dimension control in a manufacturing process
US12/691,218 Abandoned US20100120177A1 (en) 2007-01-22 2010-01-21 Feature Dimension Control in a Manufacturing Process

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/625,575 Active 2027-10-11 US7674350B2 (en) 2007-01-22 2007-01-22 Feature dimension control in a manufacturing process

Country Status (1)

Country Link
US (2) US7674350B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130320803A1 (en) * 2012-05-31 2013-12-05 Seiko Epson Corporation Electronic device, electronic apparatus, and method of manufacturing electronic device

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112106182A (en) * 2018-03-20 2020-12-18 东京毅力科创株式会社 Self-sensing corrective heterogeneous platform incorporating integrated semiconductor processing modules and methods of use thereof
US10446563B1 (en) 2018-04-04 2019-10-15 Texas Instruments Incorporated Partially disposed gate layer into the trenches
CN113223955B (en) * 2021-05-08 2022-05-27 长鑫存储技术有限公司 Automatic complementary etching method and device

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6812044B2 (en) * 2002-12-19 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd Advanced control for plasma process
US20040241561A1 (en) * 2003-05-28 2004-12-02 Li-Jui Chen Scatterometric method of monitoring hot plate temperature and facilitating critical dimension control
US20050174575A1 (en) * 2001-03-30 2005-08-11 Norton Adam E. Polarimetric scatterometry methods for critical dimension measurements of periodic structures
US6960416B2 (en) * 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US7098140B2 (en) * 2003-06-30 2006-08-29 Advanced Micro Devices, Inc. Method of compensating for etch rate non-uniformities by ion implantation
US20070026547A1 (en) * 2004-07-29 2007-02-01 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US20070111338A1 (en) * 2004-03-31 2007-05-17 Tokyo Electron Limited Method of controlling trimming of a gate electrode structure
US20070122920A1 (en) * 2005-11-29 2007-05-31 Bornstein William B Method for improved control of critical dimensions of etched structures on semiconductor wafers
US7361599B2 (en) * 2002-09-03 2008-04-22 Texas Instruments Incorporated Integrated circuit and method

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050174575A1 (en) * 2001-03-30 2005-08-11 Norton Adam E. Polarimetric scatterometry methods for critical dimension measurements of periodic structures
US6960416B2 (en) * 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US7361599B2 (en) * 2002-09-03 2008-04-22 Texas Instruments Incorporated Integrated circuit and method
US6812044B2 (en) * 2002-12-19 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd Advanced control for plasma process
US20040241561A1 (en) * 2003-05-28 2004-12-02 Li-Jui Chen Scatterometric method of monitoring hot plate temperature and facilitating critical dimension control
US7098140B2 (en) * 2003-06-30 2006-08-29 Advanced Micro Devices, Inc. Method of compensating for etch rate non-uniformities by ion implantation
US20070111338A1 (en) * 2004-03-31 2007-05-17 Tokyo Electron Limited Method of controlling trimming of a gate electrode structure
US20070026547A1 (en) * 2004-07-29 2007-02-01 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US20070122920A1 (en) * 2005-11-29 2007-05-31 Bornstein William B Method for improved control of critical dimensions of etched structures on semiconductor wafers

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130320803A1 (en) * 2012-05-31 2013-12-05 Seiko Epson Corporation Electronic device, electronic apparatus, and method of manufacturing electronic device
US9520812B2 (en) * 2012-05-31 2016-12-13 Seiko Epson Corporation Electronic device, electronic apparatus, and method of manufacturing electronic device

Also Published As

Publication number Publication date
US7674350B2 (en) 2010-03-09
US20080176344A1 (en) 2008-07-24

Similar Documents

Publication Publication Date Title
KR100938636B1 (en) Methodology for repeatable post etch cd in a production tool
USRE39518E1 (en) Run to run control process for controlling critical dimensions
US6924088B2 (en) Method and system for realtime CD microloading control
US7498106B2 (en) Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US8193005B1 (en) MEMS process method for high aspect ratio structures
JP4567110B2 (en) Proximity effect measurement method and device by device capability measurement
CN108054115B (en) Polymer cleaning method for etching cavity
US20080248412A1 (en) Supervisory etch cd control
JP2004119753A (en) Etching processing apparatus and etching processing method
KR20190026958A (en) Method and computer program product for controlling positioning of patterns on a substrate in a manufacturing process
CN104730858A (en) Uniformity in Wafer Patterning using Feedback Control
US20070275485A1 (en) Real-time gate etch critical dimension control by oxygen monitoring
US7674350B2 (en) Feature dimension control in a manufacturing process
JP5254783B2 (en) Method and system for controlling the line size of an etch process
EP1480085B1 (en) A method for controlling a critical dimension (CD) in an etch process
US6838010B2 (en) System and method for wafer-based controlled patterning of features with critical dimensions
US7052921B1 (en) System and method using in situ scatterometry to detect photoresist pattern integrity during the photolithography process
US7736844B2 (en) Overlay mark and method of forming the same
US6982043B1 (en) Scatterometry with grating to observe resist removal rate during etch
CN109065465B (en) Method for measuring height stability of shallow trench isolation step
US6979648B2 (en) Method for BARC over-etch time adjust with real-time process feedback
Lee et al. Advanced profile control and the impact of sidewall angle at gate etch for critical nodes
KR100800774B1 (en) Photo-lithography apparatus and method of semiconductor wafer
KR100739965B1 (en) Method of etching for semiconductor device fabrication
US7186487B1 (en) Method of controlling exposure processes by monitoring photon levels, and system for accomplishing same

Legal Events

Date Code Title Description
AS Assignment

Owner name: INFINEON TECHNOLOGIES NORTH AMERICA CORP.,CALIFORN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GUTMANN, ALOIS;ZHUANG, HAOREN;LIPINSKI, MATTHIAS;AND OTHERS;SIGNING DATES FROM 20070119 TO 20070122;REEL/FRAME:023825/0030

Owner name: INFINEON TECHNOLOGIES AG,GERMANY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INFINEON TECHNOLOGIES NORTH AMERICA CORP.;REEL/FRAME:023825/0070

Effective date: 20070416

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION