US20110209412A1 - Method of making polishing pad assembly with glass or crystalline window - Google Patents

Method of making polishing pad assembly with glass or crystalline window Download PDF

Info

Publication number
US20110209412A1
US20110209412A1 US13/103,772 US201113103772A US2011209412A1 US 20110209412 A1 US20110209412 A1 US 20110209412A1 US 201113103772 A US201113103772 A US 201113103772A US 2011209412 A1 US2011209412 A1 US 2011209412A1
Authority
US
United States
Prior art keywords
window
polishing
polishing pad
spectrum
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/103,772
Inventor
Dominic J. Benvegnu
Jeffrey Drue David
Bogdan Swedek
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US13/103,772 priority Critical patent/US20110209412A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BENVEGNU, DOMINIC J., DAVID, JEFFREY DRUE, SWEDEK, BOGDAN
Publication of US20110209412A1 publication Critical patent/US20110209412A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/013Devices or means for detecting lapping completion
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/205Lapping pads for working plane surfaces provided with a window for inspecting the surface of the work being lapped
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/34Accessories
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/08Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation involving liquid or pneumatic means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/12Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation involving optical means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D7/00Bonded abrasive wheels, or wheels with inserted abrasive blocks, designed for acting otherwise than only by their periphery, e.g. by the front face; Bushings or mountings therefor
    • B24D7/14Zonally-graded wheels; Composite wheels comprising different abrasives
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Definitions

  • the present invention relates to generally to chemical mechanical polishing of substrates.
  • An integrated circuit is typically formed on a substrate by the sequential deposition of conductive, semiconductive, or insulative layers on a silicon wafer.
  • One fabrication step involves depositing a filler layer over a non-planar surface and planarizing the filler layer.
  • the filler layer is planarized until the top surface of a patterned layer is exposed.
  • a conductive filler layer for example, can be deposited on a patterned insulative layer to fill the trenches or holes in the insulative layer.
  • the portions of the conductive layer remaining between the raised pattern of the insulative layer form vias, plugs, and lines that provide conductive paths between thin film circuits on the substrate.
  • the filler layer is planarized until a predetermined thickness is left over the non planar surface.
  • planarization of the substrate surface is usually required for photolithography.
  • CMP Chemical mechanical polishing
  • This planarization method typically requires that the substrate be mounted on a carrier or polishing head.
  • the exposed surface of the substrate is typically placed against a rotating polishing disk pad or belt pad.
  • the polishing pad can be either a standard pad or a fixed abrasive pad.
  • a standard pad has a durable roughened surface, whereas a fixed-abrasive pad has abrasive particles held in a containment media.
  • the carrier head provides a controllable load on the substrate to push it against the polishing pad.
  • a polishing slurry is typically supplied to the surface of the polishing pad.
  • the polishing slurry includes at least one chemically reactive agent and, if used with a standard polishing pad, abrasive particles.
  • CMP CMP determining whether the polishing process is complete, i.e., whether a substrate layer has been planarized to a desired flatness or thickness, or when a desired amount of material has been removed.
  • Overpolishing (removing too much) of a conductive layer or film leads to increased circuit resistance.
  • underpolishing (removing too little) of a conductive layer leads to electrical shorting.
  • Variations in the initial thickness of the substrate layer, the slurry composition, the polishing pad condition, the relative speed between the polishing pad and the substrate, and the load on the substrate can cause variations in the material removal rate. These variations cause variations in the time needed to reach the polishing endpoint. Therefore, the polishing endpoint cannot be determined merely as a function of polishing time.
  • the invention features an assembly for chemical mechanical polishing.
  • the assembly includes a polishing pad having a polishing surface.
  • the assembly includes a solid window situated in the polishing pad to provide optical access through the polishing pad.
  • the solid window includes a first portion made from polyurethane and a second portion made from quartz. The first portion has a surface that is co planar with the polishing surface of the polishing pad.
  • the invention features a polishing pad that includes a polishing layer having a top surface and a bottom surface.
  • the pad includes an aperture having a first opening in the top surface and a second opening in the bottom surface.
  • the top surface is a polishing surface.
  • the pad includes a window that includes a first portion made of soft plastic and a crystalline or glass like second portion.
  • the window is transparent to white light.
  • the window is situated in the aperture so that the first portion plugs the aperture and the second portion is on a bottom side of the first portion, wherein the first portion acts a slurry-tight barrier.
  • the invention features a method of making a polishing pad.
  • the method includes placing mass of crystalline or glass like material in a mold of a polishing pad window, the mass being transparent to white light.
  • the method includes dispensing a liquid precursor of a soft plastic material into the mold, the soft plastic material being transparent to white light.
  • the method includes curing the liquid precursor to form a window that includes a first portion made of soft plastic material and a crystalline or glass like second portion.
  • the method includes placing the window in a mold of a polishing pad.
  • the method includes dispensing a liquid precursor of a polishing pad material into the mold of the polishing pad.
  • the method includes curing the liquid precursor of the polishing pad material to produce the polishing pad, wherein the window is situated in the mold of the polishing pad so that, when the polishing pad is produced, the window is situated in the polishing pad so that the first portion acts a slurry-tight barrier.
  • the invention features a method of making a polishing pad.
  • the method includes placing mass of crystalline or glass like materials in a mold of a polishing pad window, the mass being transparent to white light.
  • the method includes dispensing a liquid precursor of a soft plastic material into the mold, the soft plastic material being transparent to white light.
  • the method includes curing the liquid precursor to form a window that includes a first portion made of soft plastic material and a crystalline or glass like second portion.
  • the method includes forming a polishing layer that includes an aperture, the polishing layer having a top surface and a bottom surface, the aperture having a first opening in the top surface and a second opening in the bottom surface, the top surface being a polishing surface.
  • the method includes inserting the window in the aperture, the window being situated in the aperture so that the first portion plugs the aperture and the second portion is on a bottom side of the first portion, wherein the first portion acts a slurry-tight barrier.
  • the invention features a method of making a polishing pad.
  • the method includes forming a first portion of a polishing pad window, the first portion having a recess and being transparent to white light.
  • the method includes inserting a mass of crystalline or glass like material into the recess, the mass being transparent to white light.
  • the method includes forming a polishing layer that includes an aperture, the polishing layer having a top surface and a bottom surface, the aperture having a first opening in the top surface and a second opening in the bottom surface, the top surface being a polishing surface.
  • the method includes inserting the window in the aperture, the window being situated in the aperture so that the first portion plugs the aperture and the second portion is on a bottom side of the first portion, wherein the first portion acts a slurry-tight barrier.
  • the term substrate can include, for example, a product substrate (e.g., which includes multiple memory or processor dies), a test substrate, a bare substrate, and a gating substrate.
  • the substrate can be at various stages of integrated circuit fabrication, e.g., the substrate can be a bare wafer, or it can include one or more deposited and/or patterned layers.
  • the term substrate can include circular disks and rectangular sheets.
  • Endpoint determination can be made virtually without consideration of variations in polishing rate. Factors that affect polishing rate, for example, consumables, generally need not be considered.
  • a flushing system can be less likely to dry out slurry on a substrate surface being polished.
  • a polishing pad window can enhance the accuracy and/or precision of endpoint determination.
  • FIG. 1 shows a chemical mechanical polishing apparatus
  • FIGS. 2A-2H show implementations of a polishing pad window.
  • FIG. 3 shows an implementation of a flushing system.
  • FIG. 4 shows an alternative implementation of the flushing system.
  • FIG. 5 is an overhead view of a polishing pad and shows locations where in-situ measurements are taken.
  • FIG. 6A shows a spectrum obtained from in-situ measurements.
  • FIG. 6B illustrates the evolution of spectra obtained from in-situ measurements as polishing progresses.
  • FIG. 7A shows a method for obtaining a target spectrum.
  • FIG. 7B shows a method for obtaining a reference spectrum.
  • FIGS. 8A and 8B show a method for endpoint determination.
  • FIGS. 9A and 9B show an alternative method for endpoint determination.
  • FIGS. 10A and 10B show another alternative method for endpoint determination.
  • FIG. 11 shows an implementation for determining an endpoint.
  • FIG. 12 illustrates peak-to-trough normalization of a spectrum.
  • FIG. 1 shows a polishing apparatus 20 operable to polish a substrate 10 .
  • the polishing apparatus 20 includes a rotatable disk-shaped platen 24 , on which a polishing pad 30 is situated.
  • the platen is operable to rotate about axis 25 .
  • a motor can turn a drive shaft 22 to rotate the platen 24 .
  • the polishing pad 30 can be detachably secured to the platen 24 , for example, by a layer of adhesive. When worn, the polishing pad 30 can be detached and replaced.
  • the polishing pad 30 can be a two-layer polishing pad with an outer polishing layer 32 and a softer backing layer 34 .
  • Optical access 36 through the polishing pad is provided by including an aperture (i.e., a hole that runs through the pad) or a solid window.
  • the solid window can be secured to the polishing pad, although in some implementations the solid window can be supported on the platen 24 and project into an aperture in the polishing pad.
  • the polishing pad 30 is usually placed on the platen 24 so that the aperture or window overlies an optical head 53 situated in a recess 26 of the platen 24 .
  • the optical head 53 consequently has optical access through the aperture or window to a substrate being polished. The optical head is further described below.
  • the window can be, for example, a rigid crystalline or glassy material, e.g., quartz or glass, or a softer plastic material, e.g., silicone, polyurethane or a halogenated polymer (e.g., a fluoropolymer), or a combination of the materials mentioned.
  • the window can be transparent to white light. If a top surface of the solid window is a rigid crystalline or glassy material, then the top surface should be sufficiently recessed from the polishing surface to prevent scratching. If the top surface is near and may come into contact with the polishing surface, then the top surface of the window should be a softer plastic material.
  • the solid window is secured in the polishing pad and is a polyurethane window, or a window having a combination of quartz and polyurethane.
  • the window can have high transmittance, for example, approximately 80% transmittance, for monochromatic light of a particular color, for example, blue light or red light.
  • the window can be sealed to the polishing pad 30 so that liquid does not leak through an interface of the window and the polishing pad 30 .
  • the window includes a rigid crystalline or glassy material covered with an outer layer of a softer plastic material.
  • the top surface of the softer material can be coplanar with the polishing surface.
  • the bottom surface of the rigid material can be coplanar with or recessed relative to the bottom surface of the polishing pad.
  • the polishing pad includes two layers, the solid window can be integrated into the polishing layer, and the bottom layer can have an aperture aligned with the solid window.
  • no adhesive need be used to secure the two portions.
  • no adhesive is used to couple the polyurethane portion to the quartz portion of the window.
  • an adhesive that is transparent to white light can be used or an adhesive can be applied so that light passing through the window does not pass through the adhesive.
  • the adhesive can be applied only to the perimeter of the interface between the polyurethane and quartz portion.
  • a refractive index gel can be applied to a bottom surface of the window.
  • a bottom surface of the window can optionally include one or more recesses.
  • a recess can be shaped to accommodate, for example, an end of an optical fiber cable or an end of an eddy current sensor.
  • the recess allows the end of the optical fiber cable or the end of the eddy current sensor to be situated at a distance, from a substrate surface being polished, that is less than a thickness of the window.
  • the window includes a rigid crystalline portion or glass like portion and the recess is formed in such a portion by machining
  • the recess is polished so as to remove scratches caused by the machining.
  • a solvent and/or a liquid polymer can be applied to the surfaces of the recess to remove scratches caused by machining. The removal of scratches usually caused by machining reduces scattering and can improve the transmittance of light through the window.
  • FIG. 2A-2H show various implementations of the window.
  • the window can have two portions, a polyurethane portion 202 and a quartz portion 204 .
  • the portions are layers, with the polyurethane portion 202 situated on top of the quartz portion 204 .
  • the window can be situated in the polishing pad so that the top surface 206 of the polyurethane layer is coplanar with a polishing surface 208 of the polishing pad.
  • the polyurethane portion 202 can have a recess in which the quartz portion is situated. A bottom surface 210 of the quartz portion is exposed.
  • the polyurethane portion 202 can include projections, for example, projection 212 , that project into the quartz portion 204 .
  • the projections can act to reduce the likelihood that the polyurethane portion 202 will be pulled away from the quartz portion 204 due to friction from the substrate or retaining ring.
  • the interface between the polyurethane portion 202 and quartz portion 204 can be a rough surface. Such a surface can improve the strength of the coupling of the two portions of the window, also reducing the likelihood the polyurethane portion 202 will be pulled away from the quartz portion 204 due to friction from the substrate or retaining ring.
  • the polyurethane portion 202 can have non-uniform thickness.
  • the thickness at a location that would be in the path 214 of a light beam is less than the thickness at a location that would not be in the path 214 of the light beam.
  • thickness t 1 is less than thickness t 2 .
  • the thickness can be less at the edges of the window.
  • the polyurethane portion 202 can be attached to the quartz portion 204 by use of an adhesive 216 .
  • the adhesive can be applied so that it would not be in the path 214 of the light beam.
  • the polishing pad can include a polishing layer and a backing layer.
  • the polyurethane portion 202 extends through the polishing layer and at least partially into the backing layer.
  • the hole in the backing layer can be larger in size than the hole in the polishing layer, and the section of the polyurethane in the backing layer can be wider than the section of the polyurethane in the polishing layer.
  • the polishing layer thus provides a lip 218 which overhangs the window and which can act to resist a pulling of the polyurethane portion 202 away from the quartz portion 204 .
  • the polyurethane portion 202 conforms to the holes of the layers of the polishing pad.
  • refractive index gel 220 can be applied to the bottom surface 210 of the quartz portion 204 so as to provide a medium for light to travel from a fiber cable 222 to the window.
  • the refractive index gel 220 can fill the volume between the fiber cable 222 and the quartz portion 204 and can have a refractive index that matches or is between the indices of refraction of the fiber cable 222 and the quartz portion 204 .
  • the polyurethane portion should have a thickness so that, during the life time of the polishing pad, the polyurethane portion will not be worn so as to expose the quartz portion.
  • the quartz can be recessed from the bottom surface of the polishing pad, and the fiber cable 222 can extend partially into the polishing pad.
  • the above described window and polishing pad can be manufactured using a variety of techniques.
  • the polishing pad's backing layer 34 can be attached to its outer polishing layer 32 , for example, by adhesive.
  • the aperture that provides optical access 36 can be formed in the pad 30 , e.g., by cutting or by molding the pad 30 to include the aperture, and the window can be inserted into the aperture and secured to the pad 30 , e.g., by an adhesive.
  • a liquid precursor of the window can be dispensed into the aperture in the pad 30 and cured to form the window.
  • a solid transparent element e.g., the above described crystalline or glass like portion, can be positioned in liquid pad material, and the liquid pad material can be cured to form the pad 30 around the transparent element.
  • a block of pad material can be formed, and a layer of polishing pad with the molded window can be scythed from the block.
  • the second portion can be formed in the aperture of the pad 30 by applying the described liquid precursor technique.
  • the first portion can then be inserted. If the first portion is inserted before the liquid precursor of the second portion is cured, then curing can bond the first and second portions. If the first portion is inserted after the liquid precursor is cured, then the first and second portions can be secured by using an adhesive.
  • the polishing apparatus 20 can include a flushing system to improve light transmission through the optical access 36 .
  • the flushing system is implemented to provide a laminar flow of a fluid, e.g., a gas or liquid, across a top surface of the optical head 53 .
  • the top surface can be a top surface of a lens included in the optical head 53 .
  • the laminar flow of fluid across the top surface of the optical head 53 can sweep opaque slurry out of the optical access and/or prevent slurry from drying on the top surface and, consequently, improves transmission through the optical access.
  • the flushing system is implemented to direct a flow of gas at a bottom surface of the window.
  • the flow of gas can prevent condensation from forming at the solid window's bottom surface which would otherwise impede optical access.
  • FIG. 3 shows an implementation of the laminar-flow flushing system.
  • the flushing system includes a gas source 302 , a delivery line 304 , a delivery nozzle 306 , a suction nozzle 308 , a vacuum line 310 , and a vacuum source 312 .
  • the gas source 302 and vacuum source can be configured so that they can introduce and suction a same or a similar volume of gas.
  • the delivery nozzle 306 is situated so that the laminar flow of gas is directed across the transparent top surface 314 of the in-situ monitoring module and not directed at the substrate surface being polished. Consequently, the laminar flow of gas does not dry out slurry on a substrate surface being polished, which can undesirably affect polishing.
  • FIG. 4 shows an implementation of the flushing system for preventing the formation of condensation on a bottom surface of the solid window.
  • the system reduces or prevents the formation of condensation at the bottom surface of the polishing pad window.
  • the system includes a gas source 402 , a delivery line 404 , a delivery nozzle 406 , a suction nozzle 408 , a vacuum line 410 , and a vacuum source 412 .
  • the gas source 402 and vacuum source can be configured so that they can introduce and suction a same or a similar volume of gas.
  • the delivery nozzle 406 is situated so that the flow of gas is directed at the bottom surface window in the polishing pad 30 .
  • the flushing system does not include a vacuum source or line.
  • the flushing system includes a vent formed in the platen so that the gas introduced into the space underneath the solid window can be exhausted to a side of the platen or, alternatively, to any other location in the polishing apparatus that can tolerate moisture.
  • the above described gas source and vacuum source can be located away from the platen so that they do not rotate with the platen.
  • a rotational coupler for convey gas is included each of the supply line and the vacuum line.
  • the polishing apparatus 20 includes a combined slurry/rinse arm 39 .
  • the arm 39 is operable to dispense slurry 38 containing a liquid and a pH adjuster.
  • the polishing apparatus includes a slurry port operable to dispense slurry onto polishing pad 30 .
  • the polishing apparatus 20 includes a carrier head 70 operable to hold the substrate 10 against the polishing pad 30 .
  • the carrier head 70 is suspended from a support structure 72 , for example, a carousel, and is connected by a carrier drive shaft 74 to a carrier head rotation motor 76 so that the carrier head can rotate about an axis 71 .
  • the carrier head 70 can oscillate laterally in a radial slot formed in the support structure 72 .
  • the platen is rotated about its central axis 25
  • the carrier head is rotated about its central axis 71 and translated laterally across the top surface of the polishing pad.
  • the polishing apparatus also includes an optical monitoring system, which can be used to determine a polishing endpoint as discussed below.
  • the optical monitoring system includes a light source 51 and a light detector 52 . Light passes from the light source 51 , through the optical access 36 in the polishing pad 30 , impinges and is reflected from the substrate 10 back through the optical access 36 , and travels to the light detector 52 .
  • a bifurcated optical cable 54 can be used to transmit the light from the light source 51 to the optical access 36 and back from the optical access 36 to the light detector 52 .
  • the bifurcated optical cable 54 can include a “trunk” 55 and two “branches” 56 and 58 .
  • the platen 24 includes the recess 26 , in which the optical head 53 is situated.
  • the optical head 53 holds one end of the trunk 55 of the bifurcated fiber cable 54 , which is configured to convey light to and from a substrate surface being polished.
  • the optical head 53 can include one or more lenses or a window overlying the end of the bifurcated fiber cable 54 (e.g., as shown in FIG. 3 ).
  • the optical head 53 can merely hold the end of the trunk 55 adjacent the solid window in the polishing pad.
  • the optical head 53 can hold the above-described nozzles of the flushing system.
  • the optical head 53 can be removed from the recess 26 as required, for example, to effect preventive or corrective maintenance.
  • the platen includes a removable in-situ monitoring module 50 .
  • the in-situ monitoring module 50 can include one or more of the following: the light source 51 , the light detector 52 , and circuitry for sending and receiving signals to and from the light source 51 and light detector 52 .
  • the output of the detector 52 can be a digital electronic signal that passes through a rotary coupler, e.g., a slip ring, in the drive shaft 22 to the controller for the optical monitoring system.
  • the light source can be turned on or off in response to control commands in digital electronic signals that pass from the controller through the rotary coupler to the module 50 .
  • the in-situ monitoring module can also hold the respective ends of the branch portions 56 and 58 of the bifurcated optical fiber 54 .
  • the light source is operable to transmit light, which is conveyed through the branch 56 and out the end of the trunk 55 located in the optical head 53 , and which impinges on a substrate being polished. Light reflected from the substrate is received at the end of the trunk 55 located in the optical head 53 and conveyed through the branch 58 to the light detector 52 .
  • the bifurcated fiber cable 54 is a bundle of optical fibers.
  • the bundle includes a first group of optical fibers and a second group of optical fibers.
  • An optical fiber in the first group is connected to convey light from the light source 51 to a substrate surface being polished.
  • An optical fiber in the second group is connected to received light reflecting from the substrate surface being polished and convey the received light to a light detector.
  • the optical fibers can be arranged so that the optical fibers in the second group form an X-like shape that is centered on the longitudinal axis of the bifurcated optical fiber 54 (as viewed in a cross section of the bifurcated fiber cable 54 ).
  • the optical fibers in the second group can form V-like shapes that are mirror images of each other.
  • a suitable bifurcated optical fiber is available from Verity Instruments, Inc. of Carrollton, Tex.
  • the polishing pad window There is usually an optimal distance between the polishing pad window and the end of the trunk 55 of bifurcated fiber cable 54 proximate to the polishing pad window.
  • the distance can be empirically determined and is affected by, for example, the reflectivity of the window, the shape of the light beam emitted from the bifurcated fiber cable, and the distance to the substrate being monitored.
  • the bifurcated fiber cable is situated so that the end proximate to the window is as close as possible to the bottom of the window without actually touching the window.
  • the polishing apparatus 20 can include a mechanism, e.g., as part of the optical head 53 , that is operable to adjust the distance between the end of the bifurcated fiber cable 54 and the bottom surface of the polishing pad window.
  • the proximate end of the bifurcated fiber cable is embedded in the window.
  • the light source 51 is operable to emit white light.
  • the white light emitted includes light having wavelengths of 200-800 nanometers.
  • a suitable light source is a xenon lamp or a xenon-mercury lamp.
  • the light detector 52 can be a spectrometer.
  • a spectrometer is basically an optical instrument for measuring properties of light, for example, intensity, over a portion of the electromagnetic spectrum.
  • a suitable spectrometer is a grating spectrometer.
  • Typical output for a spectrometer is the intensity of the light as a function of wavelength.
  • the in-situ monitoring module 50 can include other sensor elements.
  • the in-situ monitoring module 50 can include, for example, eddy current sensors, lasers, light emitting diodes, and photodetectors.
  • the module 50 is usually situated so that a substrate being polished is within working range of the eddy current sensors.
  • the light source 51 and light detector 52 are connected to a computing device operable to control their operation and to receive their signals.
  • the computing device can include a microprocessor situated near the polishing apparatus, e.g., a personal computer. With respect to control, the computing device can, for example, synchronize activation of the light source 51 with the rotation of the platen 24 . As shown in FIG. 5 , the computer can cause the light source 51 to emit a series of flashes starting just before and ending just after the substrate 10 passes over the in-situ monitoring module.
  • each of points 501 - 511 depicted represents a location where light from the in-situ monitoring module impinged and reflected off.
  • the computer can cause the light source 51 to emit light continuously starting just before and ending just after the substrate 10 passes over the in-situ monitoring module.
  • the computing device can receive, for example, a signal that carries information describing a spectrum of the light received by the light detector 52 .
  • FIG. 6A shows examples of a spectrum measured from light that is emitted from a single flash of the light source and that is reflected from the substrate.
  • Spectrum 602 is measured from light reflected from a product substrate.
  • Spectrum 604 is measured from light reflected from a base silicon substrate (which is a wafer that has only a silicon layer).
  • Spectrum 606 is from light received by the optical head 53 when there is no substrate situated over the optical head 53 . Under this condition, referred to in the present specification as a dark condition, the received light is typically ambient light.
  • the computing device can process the above-described signal to determine an endpoint of a polishing step.
  • the spectra of light reflected from the substrate 10 evolve as polishing progresses.
  • FIG. 6B provides an example of the evolution as polishing of a film of interest progresses.
  • the different lines of spectrum represent different times in the polishing.
  • properties of the spectrum of the reflected light changes as a thickness of the film changes, and particular spectrums are exhibited by particular thicknesses of the film.
  • the computing device can execute logic that determines, based on one or more of the spectra, when an endpoint has been reached.
  • the one or more spectra on which an endpoint determination is based can include a target spectrum, a reference spectrum, or both.
  • a target spectrum refers to a spectrum exhibited by the white light reflecting from a film of interest when the film of interest has a target thickness.
  • a target thickness can be 1, 2, or 3 microns.
  • the target thickness can be zero, for example, when the film of interest is cleared so that an underlying film is exposed.
  • FIG. 7A shows a method 700 for obtaining a target spectrum.
  • Properties of a substrate with the same pattern as the product substrate are measured (step 702 ).
  • the substrate which is measured is referred to in the instant specification as a “set-up” substrate.
  • the set-up substrate can simply be a substrate which is similar or the same to the product substrate, or the set-up substrate could be one substrate from a batch.
  • the properties can include a pre-polished thickness of a film of interest at a particular location of interest on the substrate. Typically, the thicknesses at multiple locations are measured. The locations are usually selected so that a same type of die feature is measured for each location. Measurement can be performed at a metrology station.
  • the set-up substrate is polished in accordance with a polishing step of interest and spectra of white light reflecting off a substrate surface being polished are collected during polishing (step 704 ). Polishing and spectra collection can be performed at the above described polishing apparatus. Spectra are collected by the in-situ monitoring system during polishing. The substrate is overpolished, i.e., polished past an estimated endpoint, so that the spectrum of the light that reflected from the substrate when the target thickness is achieved can be obtained.
  • Properties of the overpolished substrate are measured (step 706 ).
  • the properties include post-polished thicknesses of the film of interest at the particular location or locations used for the pre-polish measurement.
  • the measured thicknesses and the collected spectra are used to select, from among the collected spectra, a spectrum determined to be exhibited by a thickness of interest (step 708 ).
  • linear interpolation can be performed using the measured pre-polish film thickness and post-polish substrate thicknesses to determine which of the spectra was exhibited when the target film thickness was achieved.
  • the spectrum determined to be the one exhibited when the target thickness was achieved is designated to be the target spectrum for the batch of substrates.
  • the spectra collected are processed to enhance accuracy and/or precision.
  • the spectra can be processed, for example: to normalize them to a common reference, to average them, and/or to filter noise from them. Particular implementations of these processing operations are described below.
  • a reference spectrum refers to a spectrum that is associated with a target film thickness.
  • a reference spectrum is usually empirically selected for particular endpoint determination logic so that the target thickness is achieved when the computer device calls endpoint by applying the particular spectrum-based endpoint logic.
  • the reference spectrum can be iteratively selected, as will be described below in reference to FIG. 7B .
  • the reference spectrum is usually not the target spectrum. Rather, the reference spectrum is usually the spectrum of the light reflected from the substrate when the film of interest has a thickness greater than the target thickness.
  • FIG. 7B shows a method 701 for selecting a reference spectrum for a particular target thickness and particular spectrum-based endpoint determination logic.
  • a set up substrate is measured and polished as described above in steps 702 - 706 (step 703 ).
  • spectra collected and the time at which each collected spectrum is measured is stored.
  • a polishing rate of the polishing apparatus for the particular set-up substrate is calculated (step 705 ).
  • An endpoint time is calculated for the particular set-up substrate to provide a calibration point to test the reference spectrum, as discussed below (step 707 ).
  • the endpoint time can be calculated based on the calculated polish rate PR, the pre-polish starting thickness of the film of interest, ST, and the target thickness of the film of interest, TT.
  • the calculated endpoint time can be evaluated by polishing another substrate of the batch of patterned substrates, stopping polishing at the calculated endpoint time, and measuring the thickness of the film of interest. If the thickness is within a satisfactory range of the target thickness, then the calculated endpoint time is satisfactory. Otherwise, the calculated endpoint time can be re-calculated.
  • One of the collected spectra is selected and designated to be the reference spectrum (step 709 ).
  • the spectrum selected is a spectrum of light reflected from the substrate when the film of interest has a thickness greater than and is approximately equal to the target thickness.
  • the particular endpoint determination logic is executed in simulation using the spectra collected for the set-up substrate and with the selected spectrum designated to be the reference spectrum (step 711 ). Execution of the logic yields an empirically derived but simulated endpoint time that the logic has determined to be the endpoint.
  • the empirically derived but simulated endpoint time is compared to the calculated endpoint time (step 713 ). If the empirically derived endpoint time is within a threshold range of the calculated endpoint time, then the currently selected reference spectrum is known to generate a result that matches the calibration point. Thus, when the endpoint logic is executed using the reference spectrum in a run-time environment, the system should reliably detect an endpoint at the target thickness. Therefore, the reference spectrum can be kept as the reference spectrum for run time polishing of the other substrates of the batch (step 718 ). Otherwise, steps 709 and 711 are repeated as appropriate.
  • variables other than the selected spectrum can be changed for each iteration (i.e., each performance of steps 709 and 711 ).
  • the above-mentioned processing of the spectra (for example, filter parameters) and/or a threshold range from a minimum of a difference trace can be changed.
  • the difference trace and the threshold range of a minimum of the difference trace are described below.
  • FIG. 8A shows a method 800 for using spectrum-based endpoint determination logic to determine an endpoint of a polishing step. Another substrate of the batch of patterned substrates is polished using the above-described polishing apparatus (step 802 ). At each revolution of the platen, the following steps are performed.
  • One or more spectra of white light reflecting off a substrate surface being polished are measured to obtain one or more current spectra for a current platen revolution (step 804 ).
  • the one or more spectra measured for the current platen revolution are optionally processed to enhance accuracy and/or precision as described above in reference to FIG. 7A and as described below in reference to FIG. 11 . If only one spectrum is measured, then the one spectrum is used as the current spectrum. If more than one current spectra is measured for a platen revolution, then they are grouped, averaged within each group, and the averages are designated to be current spectra.
  • the spectra can be grouped by radial distance from the center of the substrate.
  • a first current spectrum can be obtained from spectra measured as points 502 and 510 ( FIG. 5 )
  • a second current spectrum can be obtained from spectra measured at points 503 and 509
  • a third current spectra can be obtained from spectra measured at points 504 and 508 , and so forth.
  • the spectra measured at points 502 and 510 are averaged to obtain a first current spectrum for the current platen revolution.
  • the spectra measured at points 503 and 509 are averaged to obtain a second current spectrum for the current platen revolution.
  • the spectra measured at points 504 and 508 are averaged to obtain a third current spectrum for the current platen revolution.
  • a difference between the one or more current spectra and a reference spectrum is calculated (step 806 ).
  • the reference spectrum can be obtained as described above in reference to FIG. 7B .
  • the difference is a sum of differences in intensities over a range of wavelengths. That is,
  • I current ( ⁇ ) and I reference ( ⁇ ) are the intensity of a current spectra and the intensity of the target spectra for a given wavelength, respectively.
  • Each calculated difference is appended to a difference trace (step 808 ).
  • the difference trace is generally a plot of the calculated difference.
  • the difference trace is updated at least once per platen revolution. (When multiple current spectra are obtained for each platen revolution, the difference trace can be updated more than once per platen revolution.)
  • the difference trace can be processed, for example, smoothing the difference trace by filtering out a calculated difference that deviates beyond a threshold from preceding one or more calculated differences.
  • Whether the difference trace is within a threshold value of a minimum is determined (step 810 ). After the minimum has been detected, the endpoint is called when the different trace begins to rise past a particular threshold value of the minimum. Alternatively, the endpoint can be called based on the slope of the difference trace. In particular, the slope of the difference trace approaches and becomes zero at the minimum of the difference trace. The endpoint can be called when the slope of the difference trace is within a threshold range of the slope that is near zero.
  • window logic can be applied to facilitate the determination of step 808 .
  • Window logic suitable for use is described in commonly assigned U.S. Pat. Nos. 5,893,796 and 6,296,548, which are incorporated by reference.
  • polishing is allowed to continue and steps 804 , 806 , 808 , and 810 are repeated as appropriate. Otherwise, an endpoint is called and polishing is stopped (step 812 ).
  • FIG. 8B illustrates the above described method for determining endpoint.
  • Trace 801 is the raw difference trace.
  • Trace 803 is the smoothed difference trace. Endpoint is called when the smoothed difference trace 803 reaches a threshold value 805 above the minimum 807 .
  • a target spectrum can be used in the method 800 .
  • the difference calculation would be between a current spectrum and the target spectrum, and endpoint would be determined when the difference trace reaches a minimum.
  • FIG. 9A shows an alternative method 900 for using a spectrum-based endpoint determination logic to determine an endpoint of a polishing step.
  • a set-up substrate is polished and a target spectrum and reference spectrum are obtained (step 902 ). These spectra can be obtained as described above in reference to FIGS. 7A and 7B .
  • a target difference is calculated (step 904 ).
  • the target difference is the difference between the reference spectrum and the target spectrum and can be calculated using the above-described difference equation.
  • Polishing of another substrate of the batch of substrates is started (step 906 ).
  • the following steps are performed for each platen revolution during polishing.
  • One or more spectra of white light reflecting off a substrate surface being polished are measured to obtain one or more current spectra for a current platen revolution (step 908 ).
  • a difference between the current one or more spectra and the reference spectrum is calculated (step 910 ).
  • the calculated difference or differences (if there are more than one current spectrum) are appended to a difference trace (step 912 ). Whether the difference trace is within a threshold range of the target difference is determined (step 914 ).
  • polishing is allowed to continue and steps 908 , 910 , 912 , and 914 are repeated as appropriate. Otherwise, an endpoint is called and polishing is stopped (step 916 ).
  • FIG. 9B illustrates the above described method for determining endpoint.
  • Trace 901 is the raw difference trace.
  • Trace 903 is the smoothed difference trace. Endpoint is called when the smooth difference trace 903 is within a threshold range 905 of a target difference 907 .
  • FIG. 10A shows another method 1000 for determining an endpoint of a polishing step.
  • a reference spectrum is obtained (step 1002 ).
  • the reference spectrum is obtained as described above in reference to FIG. 7B .
  • the spectra collected from the process of obtaining the reference spectrum are stored in a library (step 1004 ).
  • the library can include spectra that are not collected but theoretically generated.
  • the spectra, including the reference spectrum, are indexed so that each spectrum has a unique index value.
  • the library can be implemented in memory of the computing device of the polishing apparatus.
  • a substrate from the batch of substrates is polished, and the following steps are performed for each platen revolution.
  • One or more spectra are measured to obtain a current spectra for a current platen revolution (step 1006 ).
  • the spectra are obtained as described above.
  • the spectra stored in the library which best fits the current spectra is determined (step 1008 ).
  • the index of the library spectrum determined to best fits the current spectra is appended to an endpoint index trace (step 1010 ). Endpoint is called when the endpoint trace reaches the index of the reference spectrum (step 1012 ).
  • FIG. 10B illustrates the above described method for determining endpoint.
  • Trace 1014 is the raw index trace.
  • Trace 1016 is the smoothed difference trace.
  • Line 1018 represents the index value of the reference spectrum.
  • Multiple current spectra can be obtained in each sweep of the optical head beneath the substrate, e.g., a spectra for each radial zone on the substrate being tracked, and an index trace can be generated for each radial zone.
  • FIG. 11 shows an implementation for determining an endpoint during a polishing step. For each platen revolution, the following steps are performed. Multiple raw spectra of white light reflecting off a substrate surface being polished are measured (step 1102 ).
  • Each measured raw spectra is normalized to remove light reflections contributed by mediums other than the film or films of interest (step 1104 ). Normalization of spectra facilitates their comparison to each other.
  • Light reflections contributed by media other than the film or films of interest include light reflections from the polishing pad window and from the base silicon layer of the substrate. Contributions from the window can be estimated by measuring the spectrum of light received by the in-situ monitoring system under a dark condition (i.e., when no substrates are placed over the in-situ monitoring system). Contributions from the silicon layer can be estimated by measuring the spectrum of light reflecting of a bare silicon substrate. The contributions are usually obtained prior to commencement of the polishing step.
  • a measured raw spectrum is normalized as follows:
  • A is the raw spectrum
  • Dark is the spectrum obtained under the dark condition
  • Si is the spectrum obtained from the bare silicon substrate.
  • the collected spectra can be sorted based on the region of the pattern that has generated the spectrum, and spectra from some regions can be excluded from the endpoint calculation.
  • spectra that are from light reflecting off scribe lines can be removed from consideration (step 1106 ).
  • Different regions of a pattern substrate usually yield different spectra (even when the spectra were obtained at a same point of time during polishing).
  • a spectrum of the light reflecting off a scribe line in a substrate is different from the spectrum of the light reflecting off an array of the substrate.
  • use of spectra from both regions of the pattern usually introduces error into the endpoint determination.
  • the spectra can be sorted based on their shapes into a group for scribe lines and a group for arrays. Because there is often greater variation in the spectra for scribe lines, usually these spectra can be excluded from consideration to enhance precision.
  • a subset of the spectra processed thus far is selected and averaged (step 1108 ).
  • the subset consists of the spectra obtained from light reflecting off the substrate at points of a region on the substrate.
  • the region can be, for example, region 512 or region 413 ( FIG. 5 ).
  • a high-pass filter is applied to the measured raw spectra (step 1110 ).
  • Application of the high pass filter typically removes low frequency distortion of the average of the subset of spectra.
  • the high-pass filter can be applied to the raw spectra, their average, or to both the raw spectra and their average.
  • the average is normalized so that its amplitude is the same or similar to the amplitude of the reference spectrum (step 1112 ).
  • the amplitude of a spectrum is the peak-to-trough value of the spectrum.
  • the average is normalized so that its reference spectrum is the same or similar to a reference amplitude to which the reference spectrum has also been normalized.
  • a difference between the normalized average and a reference spectrum is calculated (step 1114 ).
  • the reference spectrum is obtained as described in reference to FIG. 7B .
  • the difference is calculated using the above-described equation for calculating differences between spectra.
  • a difference trace is updated with the current difference (step 1116 ).
  • the difference trace exhibits calculated differences between normalized averages and the reference spectrum as a function of time (or platen revolution).
  • a median and low-pass filter is applied to the updated difference trace (step 1118 ).
  • Application of these filters typically smoothes the trace (by reducing or eliminating spikes in the trace).
  • Endpoint determination is performed based on the updated and filtered difference trace (step 1120 ). The determination is made based on when the difference trace reaches a minimum. The above described window logic is used to make the determination.
  • the signal processing steps of steps 1104 - 1112 can be used to improve endpoint determination procedures.
  • the normalized average spectra could be used to select a spectra from a library to generate an index trace, as described above in reference to FIG. 10A .
  • FIG. 12 illustrates the normalization of step 1112 .
  • a portion of a spectrum or an average of spectra
  • the portion considered is referred to in the instant specification as a normalization range and, furthermore, can be user selectable. Normalization is effected so that the highest point and the lowest point in the normalization range are normalized to 1 and 0, respectively.
  • the normalization is calculated as follows:
  • g is a gain
  • h is an offset
  • r max is the highest value in the normalization range
  • r min is the lowest value in the normalization range
  • N is the normalized spectrum
  • R is the pre normalized spectrum.
  • Embodiments of the invention and all of the functional operations described in this specification can be implemented in digital electronic circuitry, or in computer software, firmware, or hardware, including the structural means disclosed in this specification and structural equivalents thereof, or in combinations of them.
  • Embodiments of the invention can be implemented as one or more computer program products, i.e., one or more computer programs tangibly embodied in an information carrier, e.g., in a machine-readable storage device or in a propagated signal, for execution by, or to control the operation of, data processing apparatus, e.g., a programmable processor, a computer, or multiple processors or computers.
  • a computer program (also known as a program, software, software application, or code) can be written in any form of programming language, including compiled or interpreted languages, and it can be deployed in any form, including as a stand-alone program or as a module, component, subroutine, or other unit suitable for use in a computing environment.
  • a computer program does not necessarily correspond to a file.
  • a program can be stored in a portion of a file that holds other programs or data, in a single file dedicated to the program in question, or in multiple coordinated files (e.g., files that store one or more modules, sub-programs, or portions of code).
  • a computer program can be deployed to be executed on one computer or on multiple computers at one site or distributed across multiple sites and interconnected by a communication network.
  • the processes and logic flows described in this specification can be performed by one or more programmable processors executing one or more computer programs to perform functions by operating on input data and generating output.
  • the processes and logic flows can also be performed by, and apparatus can also be implemented as, special purpose logic circuitry, e.g., an FPGA (field programmable gate array) or an ASIC (application-specific integrated circuit).
  • polishing apparatus and methods can be applied in a variety of polishing systems.
  • Either the polishing pad, or the carrier head, or both can move to provide relative motion between the polishing surface and the substrate.
  • the platen may orbit rather than rotate.
  • the polishing pad can be a circular (or some other shape) pad secured to the platen.
  • Some aspects of the endpoint detection system may be applicable to linear polishing systems, e.g., where the polishing pad is a continuous or a reel-to-reel belt that moves linearly.
  • the polishing layer can be a standard (for example, polyurethane with or without fillers) polishing material, a soft material, or a fixed-abrasive material. Terms of relative positioning are used; it should be understood that the polishing surface and substrate can be held in a vertical orientation or some other orientation.

Abstract

Methods and apparatus for providing a chemical mechanical polishing pad. The pad includes a polishing layer having a top surface and a bottom surface. The pad includes an aperture having a first opening in the top surface and a second opening in the bottom surface. The top surface is a polishing surface. The pad includes a window that includes a first portion made of soft plastic and a crystalline or glass like second portion. The window is transparent to white light. The window is situated in the aperture so that the first portion plugs the aperture and the second portion is on a bottom side of the first portion, wherein the first portion acts a slurry-tight barrier.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional application and claims the benefit of priority under 35 U.S.C. Section 120 of U.S. application Ser. No. 12/572,100, filed Oct. 1, 2009, which is a continuation of U.S. application Ser. No. 11/945,987, filed Nov. 27, 2007, which is continuation of U.S. application Ser. No. 11/213,675, filed Aug. 26, 2005, which claims priority to U.S. Provisional Application Ser. No. 60/710,682, filed Aug. 22, 2005. The disclosure of each prior application is considered part of and is incorporated by reference in the disclosure of this application.
  • BACKGROUND
  • The present invention relates to generally to chemical mechanical polishing of substrates.
  • An integrated circuit is typically formed on a substrate by the sequential deposition of conductive, semiconductive, or insulative layers on a silicon wafer. One fabrication step involves depositing a filler layer over a non-planar surface and planarizing the filler layer. For certain applications, the filler layer is planarized until the top surface of a patterned layer is exposed. A conductive filler layer, for example, can be deposited on a patterned insulative layer to fill the trenches or holes in the insulative layer. After planarization, the portions of the conductive layer remaining between the raised pattern of the insulative layer form vias, plugs, and lines that provide conductive paths between thin film circuits on the substrate. For other applications, such as oxide polishing, the filler layer is planarized until a predetermined thickness is left over the non planar surface. In addition, planarization of the substrate surface is usually required for photolithography.
  • Chemical mechanical polishing (CMP) is one accepted method of planarization. This planarization method typically requires that the substrate be mounted on a carrier or polishing head. The exposed surface of the substrate is typically placed against a rotating polishing disk pad or belt pad. The polishing pad can be either a standard pad or a fixed abrasive pad. A standard pad has a durable roughened surface, whereas a fixed-abrasive pad has abrasive particles held in a containment media. The carrier head provides a controllable load on the substrate to push it against the polishing pad. A polishing slurry is typically supplied to the surface of the polishing pad. The polishing slurry includes at least one chemically reactive agent and, if used with a standard polishing pad, abrasive particles.
  • One problem in CMP is determining whether the polishing process is complete, i.e., whether a substrate layer has been planarized to a desired flatness or thickness, or when a desired amount of material has been removed. Overpolishing (removing too much) of a conductive layer or film leads to increased circuit resistance. On the other hand, underpolishing (removing too little) of a conductive layer leads to electrical shorting. Variations in the initial thickness of the substrate layer, the slurry composition, the polishing pad condition, the relative speed between the polishing pad and the substrate, and the load on the substrate can cause variations in the material removal rate. These variations cause variations in the time needed to reach the polishing endpoint. Therefore, the polishing endpoint cannot be determined merely as a function of polishing time.
  • SUMMARY
  • In one general aspect, the invention features an assembly for chemical mechanical polishing. The assembly includes a polishing pad having a polishing surface. The assembly includes a solid window situated in the polishing pad to provide optical access through the polishing pad. The solid window includes a first portion made from polyurethane and a second portion made from quartz. The first portion has a surface that is co planar with the polishing surface of the polishing pad.
  • In another general aspect, the invention features a polishing pad that includes a polishing layer having a top surface and a bottom surface. The pad includes an aperture having a first opening in the top surface and a second opening in the bottom surface. The top surface is a polishing surface. The pad includes a window that includes a first portion made of soft plastic and a crystalline or glass like second portion. The window is transparent to white light. The window is situated in the aperture so that the first portion plugs the aperture and the second portion is on a bottom side of the first portion, wherein the first portion acts a slurry-tight barrier.
  • In another general aspect, the invention features a method of making a polishing pad. The method includes placing mass of crystalline or glass like material in a mold of a polishing pad window, the mass being transparent to white light. The method includes dispensing a liquid precursor of a soft plastic material into the mold, the soft plastic material being transparent to white light. The method includes curing the liquid precursor to form a window that includes a first portion made of soft plastic material and a crystalline or glass like second portion. The method includes placing the window in a mold of a polishing pad. The method includes dispensing a liquid precursor of a polishing pad material into the mold of the polishing pad. The method includes curing the liquid precursor of the polishing pad material to produce the polishing pad, wherein the window is situated in the mold of the polishing pad so that, when the polishing pad is produced, the window is situated in the polishing pad so that the first portion acts a slurry-tight barrier.
  • In another general aspect, the invention features a method of making a polishing pad. The method includes placing mass of crystalline or glass like materials in a mold of a polishing pad window, the mass being transparent to white light. The method includes dispensing a liquid precursor of a soft plastic material into the mold, the soft plastic material being transparent to white light. The method includes curing the liquid precursor to form a window that includes a first portion made of soft plastic material and a crystalline or glass like second portion. The method includes forming a polishing layer that includes an aperture, the polishing layer having a top surface and a bottom surface, the aperture having a first opening in the top surface and a second opening in the bottom surface, the top surface being a polishing surface. The method includes inserting the window in the aperture, the window being situated in the aperture so that the first portion plugs the aperture and the second portion is on a bottom side of the first portion, wherein the first portion acts a slurry-tight barrier.
  • In another general aspect, the invention features a method of making a polishing pad. The method includes forming a first portion of a polishing pad window, the first portion having a recess and being transparent to white light. The method includes inserting a mass of crystalline or glass like material into the recess, the mass being transparent to white light. The method includes forming a polishing layer that includes an aperture, the polishing layer having a top surface and a bottom surface, the aperture having a first opening in the top surface and a second opening in the bottom surface, the top surface being a polishing surface. The method includes inserting the window in the aperture, the window being situated in the aperture so that the first portion plugs the aperture and the second portion is on a bottom side of the first portion, wherein the first portion acts a slurry-tight barrier.
  • As used in the instant specification, the term substrate can include, for example, a product substrate (e.g., which includes multiple memory or processor dies), a test substrate, a bare substrate, and a gating substrate. The substrate can be at various stages of integrated circuit fabrication, e.g., the substrate can be a bare wafer, or it can include one or more deposited and/or patterned layers. The term substrate can include circular disks and rectangular sheets.
  • Possible advantages of implementations of the invention can include one or more of the following. Endpoint determination can be made virtually without consideration of variations in polishing rate. Factors that affect polishing rate, for example, consumables, generally need not be considered. A flushing system can be less likely to dry out slurry on a substrate surface being polished. A polishing pad window can enhance the accuracy and/or precision of endpoint determination.
  • The details of one or more embodiments of the invention are set forth in the accompanying drawings and the description below. Other features, aspects, and advantages of the invention will become apparent from the description, the drawings, and the claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows a chemical mechanical polishing apparatus.
  • FIGS. 2A-2H show implementations of a polishing pad window.
  • FIG. 3 shows an implementation of a flushing system.
  • FIG. 4 shows an alternative implementation of the flushing system.
  • FIG. 5 is an overhead view of a polishing pad and shows locations where in-situ measurements are taken.
  • FIG. 6A shows a spectrum obtained from in-situ measurements.
  • FIG. 6B illustrates the evolution of spectra obtained from in-situ measurements as polishing progresses.
  • FIG. 7A shows a method for obtaining a target spectrum.
  • FIG. 7B shows a method for obtaining a reference spectrum.
  • FIGS. 8A and 8B show a method for endpoint determination.
  • FIGS. 9A and 9B show an alternative method for endpoint determination.
  • FIGS. 10A and 10B show another alternative method for endpoint determination.
  • FIG. 11 shows an implementation for determining an endpoint.
  • FIG. 12 illustrates peak-to-trough normalization of a spectrum.
  • Like reference numbers and designations in the various drawings indicate like elements.
  • DETAILED DESCRIPTION
  • FIG. 1 shows a polishing apparatus 20 operable to polish a substrate 10. The polishing apparatus 20 includes a rotatable disk-shaped platen 24, on which a polishing pad 30 is situated. The platen is operable to rotate about axis 25. For example, a motor can turn a drive shaft 22 to rotate the platen 24. The polishing pad 30 can be detachably secured to the platen 24, for example, by a layer of adhesive. When worn, the polishing pad 30 can be detached and replaced. The polishing pad 30 can be a two-layer polishing pad with an outer polishing layer 32 and a softer backing layer 34.
  • Optical access 36 through the polishing pad is provided by including an aperture (i.e., a hole that runs through the pad) or a solid window. The solid window can be secured to the polishing pad, although in some implementations the solid window can be supported on the platen 24 and project into an aperture in the polishing pad. The polishing pad 30 is usually placed on the platen 24 so that the aperture or window overlies an optical head 53 situated in a recess 26 of the platen 24. The optical head 53 consequently has optical access through the aperture or window to a substrate being polished. The optical head is further described below.
  • The window can be, for example, a rigid crystalline or glassy material, e.g., quartz or glass, or a softer plastic material, e.g., silicone, polyurethane or a halogenated polymer (e.g., a fluoropolymer), or a combination of the materials mentioned. The window can be transparent to white light. If a top surface of the solid window is a rigid crystalline or glassy material, then the top surface should be sufficiently recessed from the polishing surface to prevent scratching. If the top surface is near and may come into contact with the polishing surface, then the top surface of the window should be a softer plastic material. In some implementations the solid window is secured in the polishing pad and is a polyurethane window, or a window having a combination of quartz and polyurethane. The window can have high transmittance, for example, approximately 80% transmittance, for monochromatic light of a particular color, for example, blue light or red light. The window can be sealed to the polishing pad 30 so that liquid does not leak through an interface of the window and the polishing pad 30.
  • In one implementation, the window includes a rigid crystalline or glassy material covered with an outer layer of a softer plastic material. The top surface of the softer material can be coplanar with the polishing surface. The bottom surface of the rigid material can be coplanar with or recessed relative to the bottom surface of the polishing pad. In particular, if the polishing pad includes two layers, the solid window can be integrated into the polishing layer, and the bottom layer can have an aperture aligned with the solid window.
  • Assuming that the window includes a combination of a rigid crystalline or glassy material and a softer plastic material, no adhesive need be used to secure the two portions. For example, in one implementation, no adhesive is used to couple the polyurethane portion to the quartz portion of the window. Alternatively, an adhesive that is transparent to white light can be used or an adhesive can be applied so that light passing through the window does not pass through the adhesive. By way of example, the adhesive can be applied only to the perimeter of the interface between the polyurethane and quartz portion. A refractive index gel can be applied to a bottom surface of the window.
  • A bottom surface of the window can optionally include one or more recesses. A recess can be shaped to accommodate, for example, an end of an optical fiber cable or an end of an eddy current sensor. The recess allows the end of the optical fiber cable or the end of the eddy current sensor to be situated at a distance, from a substrate surface being polished, that is less than a thickness of the window. With an implementation in which the window includes a rigid crystalline portion or glass like portion and the recess is formed in such a portion by machining, the recess is polished so as to remove scratches caused by the machining. Alternatively, a solvent and/or a liquid polymer can be applied to the surfaces of the recess to remove scratches caused by machining. The removal of scratches usually caused by machining reduces scattering and can improve the transmittance of light through the window.
  • FIG. 2A-2H show various implementations of the window. As shown in FIG. 2A, the window can have two portions, a polyurethane portion 202 and a quartz portion 204. The portions are layers, with the polyurethane portion 202 situated on top of the quartz portion 204. The window can be situated in the polishing pad so that the top surface 206 of the polyurethane layer is coplanar with a polishing surface 208 of the polishing pad.
  • As shown in FIG. 2B, the polyurethane portion 202 can have a recess in which the quartz portion is situated. A bottom surface 210 of the quartz portion is exposed.
  • As shown in FIG. 2C, the polyurethane portion 202 can include projections, for example, projection 212, that project into the quartz portion 204. The projections can act to reduce the likelihood that the polyurethane portion 202 will be pulled away from the quartz portion 204 due to friction from the substrate or retaining ring.
  • As shown in FIG. 2D, the interface between the polyurethane portion 202 and quartz portion 204 can be a rough surface. Such a surface can improve the strength of the coupling of the two portions of the window, also reducing the likelihood the polyurethane portion 202 will be pulled away from the quartz portion 204 due to friction from the substrate or retaining ring.
  • As shown in FIG. 2E, the polyurethane portion 202 can have non-uniform thickness. The thickness at a location that would be in the path 214 of a light beam is less than the thickness at a location that would not be in the path 214 of the light beam. By way of example, thickness t1 is less than thickness t2. Alternatively, the thickness can be less at the edges of the window.
  • As shown in FIG. 2F, the polyurethane portion 202 can be attached to the quartz portion 204 by use of an adhesive 216. The adhesive can be applied so that it would not be in the path 214 of the light beam.
  • As shown in FIG. 2G, the polishing pad can include a polishing layer and a backing layer. The polyurethane portion 202 extends through the polishing layer and at least partially into the backing layer. The hole in the backing layer can be larger in size than the hole in the polishing layer, and the section of the polyurethane in the backing layer can be wider than the section of the polyurethane in the polishing layer. The polishing layer thus provides a lip 218 which overhangs the window and which can act to resist a pulling of the polyurethane portion 202 away from the quartz portion 204. The polyurethane portion 202 conforms to the holes of the layers of the polishing pad.
  • As shown in FIG. 2H, refractive index gel 220 can be applied to the bottom surface 210 of the quartz portion 204 so as to provide a medium for light to travel from a fiber cable 222 to the window. The refractive index gel 220 can fill the volume between the fiber cable 222 and the quartz portion 204 and can have a refractive index that matches or is between the indices of refraction of the fiber cable 222 and the quartz portion 204.
  • In implementations where the window includes both quartz and polyurethane portions, the polyurethane portion should have a thickness so that, during the life time of the polishing pad, the polyurethane portion will not be worn so as to expose the quartz portion. The quartz can be recessed from the bottom surface of the polishing pad, and the fiber cable 222 can extend partially into the polishing pad.
  • The above described window and polishing pad can be manufactured using a variety of techniques. The polishing pad's backing layer 34 can be attached to its outer polishing layer 32, for example, by adhesive. The aperture that provides optical access 36 can be formed in the pad 30, e.g., by cutting or by molding the pad 30 to include the aperture, and the window can be inserted into the aperture and secured to the pad 30, e.g., by an adhesive. Alternatively, a liquid precursor of the window can be dispensed into the aperture in the pad 30 and cured to form the window. Alternatively, a solid transparent element, e.g., the above described crystalline or glass like portion, can be positioned in liquid pad material, and the liquid pad material can be cured to form the pad 30 around the transparent element. In either of the later two cases, a block of pad material can be formed, and a layer of polishing pad with the molded window can be scythed from the block.
  • With an implementation in which the window includes a crystalline or glass like first portion and a second portion made of soft plastic material, the second portion can be formed in the aperture of the pad 30 by applying the described liquid precursor technique. The first portion can then be inserted. If the first portion is inserted before the liquid precursor of the second portion is cured, then curing can bond the first and second portions. If the first portion is inserted after the liquid precursor is cured, then the first and second portions can be secured by using an adhesive.
  • The polishing apparatus 20 can include a flushing system to improve light transmission through the optical access 36. There are different implementations of the flushing system. With implementations of the polishing apparatus 20 in which the polishing pad 30 includes an aperture instead of a solid window, the flushing system is implemented to provide a laminar flow of a fluid, e.g., a gas or liquid, across a top surface of the optical head 53. (The top surface can be a top surface of a lens included in the optical head 53.) The laminar flow of fluid across the top surface of the optical head 53 can sweep opaque slurry out of the optical access and/or prevent slurry from drying on the top surface and, consequently, improves transmission through the optical access. With implementations in which the polishing pad 30 includes a solid window instead of an aperture, the flushing system is implemented to direct a flow of gas at a bottom surface of the window. The flow of gas can prevent condensation from forming at the solid window's bottom surface which would otherwise impede optical access.
  • FIG. 3 shows an implementation of the laminar-flow flushing system. The flushing system includes a gas source 302, a delivery line 304, a delivery nozzle 306, a suction nozzle 308, a vacuum line 310, and a vacuum source 312. The gas source 302 and vacuum source can be configured so that they can introduce and suction a same or a similar volume of gas. The delivery nozzle 306 is situated so that the laminar flow of gas is directed across the transparent top surface 314 of the in-situ monitoring module and not directed at the substrate surface being polished. Consequently, the laminar flow of gas does not dry out slurry on a substrate surface being polished, which can undesirably affect polishing.
  • FIG. 4 shows an implementation of the flushing system for preventing the formation of condensation on a bottom surface of the solid window. The system reduces or prevents the formation of condensation at the bottom surface of the polishing pad window. The system includes a gas source 402, a delivery line 404, a delivery nozzle 406, a suction nozzle 408, a vacuum line 410, and a vacuum source 412. The gas source 402 and vacuum source can be configured so that they can introduce and suction a same or a similar volume of gas. The delivery nozzle 406 is situated so that the flow of gas is directed at the bottom surface window in the polishing pad 30.
  • In one implementation that is an alternative to the implementation of FIG. 4, the flushing system does not include a vacuum source or line. In lieu of these components, the flushing system includes a vent formed in the platen so that the gas introduced into the space underneath the solid window can be exhausted to a side of the platen or, alternatively, to any other location in the polishing apparatus that can tolerate moisture.
  • The above described gas source and vacuum source can be located away from the platen so that they do not rotate with the platen. In this case, a rotational coupler for convey gas is included each of the supply line and the vacuum line.
  • Returning to FIG. 1, the polishing apparatus 20 includes a combined slurry/rinse arm 39. During polishing, the arm 39 is operable to dispense slurry 38 containing a liquid and a pH adjuster. Alternative, the polishing apparatus includes a slurry port operable to dispense slurry onto polishing pad 30.
  • The polishing apparatus 20 includes a carrier head 70 operable to hold the substrate 10 against the polishing pad 30. The carrier head 70 is suspended from a support structure 72, for example, a carousel, and is connected by a carrier drive shaft 74 to a carrier head rotation motor 76 so that the carrier head can rotate about an axis 71. In addition, the carrier head 70 can oscillate laterally in a radial slot formed in the support structure 72. In operation, the platen is rotated about its central axis 25, and the carrier head is rotated about its central axis 71 and translated laterally across the top surface of the polishing pad.
  • The polishing apparatus also includes an optical monitoring system, which can be used to determine a polishing endpoint as discussed below. The optical monitoring system includes a light source 51 and a light detector 52. Light passes from the light source 51, through the optical access 36 in the polishing pad 30, impinges and is reflected from the substrate 10 back through the optical access 36, and travels to the light detector 52.
  • A bifurcated optical cable 54 can be used to transmit the light from the light source 51 to the optical access 36 and back from the optical access 36 to the light detector 52. The bifurcated optical cable 54 can include a “trunk” 55 and two “branches” 56 and 58.
  • As mentioned above, the platen 24 includes the recess 26, in which the optical head 53 is situated. The optical head 53 holds one end of the trunk 55 of the bifurcated fiber cable 54, which is configured to convey light to and from a substrate surface being polished. The optical head 53 can include one or more lenses or a window overlying the end of the bifurcated fiber cable 54 (e.g., as shown in FIG. 3). Alternatively, the optical head 53 can merely hold the end of the trunk 55 adjacent the solid window in the polishing pad. The optical head 53 can hold the above-described nozzles of the flushing system. The optical head 53 can be removed from the recess 26 as required, for example, to effect preventive or corrective maintenance.
  • The platen includes a removable in-situ monitoring module 50. The in-situ monitoring module 50 can include one or more of the following: the light source 51, the light detector 52, and circuitry for sending and receiving signals to and from the light source 51 and light detector 52. For example, the output of the detector 52 can be a digital electronic signal that passes through a rotary coupler, e.g., a slip ring, in the drive shaft 22 to the controller for the optical monitoring system. Similarly, the light source can be turned on or off in response to control commands in digital electronic signals that pass from the controller through the rotary coupler to the module 50.
  • The in-situ monitoring module can also hold the respective ends of the branch portions 56 and 58 of the bifurcated optical fiber 54. The light source is operable to transmit light, which is conveyed through the branch 56 and out the end of the trunk 55 located in the optical head 53, and which impinges on a substrate being polished. Light reflected from the substrate is received at the end of the trunk 55 located in the optical head 53 and conveyed through the branch 58 to the light detector 52.
  • In one implementation, the bifurcated fiber cable 54 is a bundle of optical fibers. The bundle includes a first group of optical fibers and a second group of optical fibers. An optical fiber in the first group is connected to convey light from the light source 51 to a substrate surface being polished. An optical fiber in the second group is connected to received light reflecting from the substrate surface being polished and convey the received light to a light detector. The optical fibers can be arranged so that the optical fibers in the second group form an X-like shape that is centered on the longitudinal axis of the bifurcated optical fiber 54 (as viewed in a cross section of the bifurcated fiber cable 54). Alternatively, other arrangements can be implemented. For example, the optical fibers in the second group can form V-like shapes that are mirror images of each other. A suitable bifurcated optical fiber is available from Verity Instruments, Inc. of Carrollton, Tex.
  • There is usually an optimal distance between the polishing pad window and the end of the trunk 55 of bifurcated fiber cable 54 proximate to the polishing pad window. The distance can be empirically determined and is affected by, for example, the reflectivity of the window, the shape of the light beam emitted from the bifurcated fiber cable, and the distance to the substrate being monitored. In one implementation, the bifurcated fiber cable is situated so that the end proximate to the window is as close as possible to the bottom of the window without actually touching the window. With this implementation, the polishing apparatus 20 can include a mechanism, e.g., as part of the optical head 53, that is operable to adjust the distance between the end of the bifurcated fiber cable 54 and the bottom surface of the polishing pad window. Alternatively, the proximate end of the bifurcated fiber cable is embedded in the window.
  • The light source 51 is operable to emit white light. In one implementation, the white light emitted includes light having wavelengths of 200-800 nanometers. A suitable light source is a xenon lamp or a xenon-mercury lamp.
  • The light detector 52 can be a spectrometer. A spectrometer is basically an optical instrument for measuring properties of light, for example, intensity, over a portion of the electromagnetic spectrum. A suitable spectrometer is a grating spectrometer. Typical output for a spectrometer is the intensity of the light as a function of wavelength.
  • Optionally, the in-situ monitoring module 50 can include other sensor elements. The in-situ monitoring module 50 can include, for example, eddy current sensors, lasers, light emitting diodes, and photodetectors. With implementations in which the in-situ monitoring module 50 includes eddy current sensors, the module 50 is usually situated so that a substrate being polished is within working range of the eddy current sensors.
  • The light source 51 and light detector 52 are connected to a computing device operable to control their operation and to receive their signals. The computing device can include a microprocessor situated near the polishing apparatus, e.g., a personal computer. With respect to control, the computing device can, for example, synchronize activation of the light source 51 with the rotation of the platen 24. As shown in FIG. 5, the computer can cause the light source 51 to emit a series of flashes starting just before and ending just after the substrate 10 passes over the in-situ monitoring module. (Each of points 501-511 depicted represents a location where light from the in-situ monitoring module impinged and reflected off.) Alternatively, the computer can cause the light source 51 to emit light continuously starting just before and ending just after the substrate 10 passes over the in-situ monitoring module.
  • With respect to receiving signals, the computing device can receive, for example, a signal that carries information describing a spectrum of the light received by the light detector 52. FIG. 6A shows examples of a spectrum measured from light that is emitted from a single flash of the light source and that is reflected from the substrate. Spectrum 602 is measured from light reflected from a product substrate. Spectrum 604 is measured from light reflected from a base silicon substrate (which is a wafer that has only a silicon layer). Spectrum 606 is from light received by the optical head 53 when there is no substrate situated over the optical head 53. Under this condition, referred to in the present specification as a dark condition, the received light is typically ambient light.
  • The computing device can process the above-described signal to determine an endpoint of a polishing step. Without being limited to any particular theory, the spectra of light reflected from the substrate 10 evolve as polishing progresses. FIG. 6B provides an example of the evolution as polishing of a film of interest progresses. The different lines of spectrum represent different times in the polishing. As can be seen, properties of the spectrum of the reflected light changes as a thickness of the film changes, and particular spectrums are exhibited by particular thicknesses of the film. The computing device can execute logic that determines, based on one or more of the spectra, when an endpoint has been reached. The one or more spectra on which an endpoint determination is based can include a target spectrum, a reference spectrum, or both.
  • As used in the instant specification, a target spectrum refers to a spectrum exhibited by the white light reflecting from a film of interest when the film of interest has a target thickness. By way of example, a target thickness can be 1, 2, or 3 microns. Alternatively, the target thickness can be zero, for example, when the film of interest is cleared so that an underlying film is exposed.
  • FIG. 7A shows a method 700 for obtaining a target spectrum. Properties of a substrate with the same pattern as the product substrate are measured (step 702). The substrate which is measured is referred to in the instant specification as a “set-up” substrate. The set-up substrate can simply be a substrate which is similar or the same to the product substrate, or the set-up substrate could be one substrate from a batch. The properties can include a pre-polished thickness of a film of interest at a particular location of interest on the substrate. Typically, the thicknesses at multiple locations are measured. The locations are usually selected so that a same type of die feature is measured for each location. Measurement can be performed at a metrology station.
  • The set-up substrate is polished in accordance with a polishing step of interest and spectra of white light reflecting off a substrate surface being polished are collected during polishing (step 704). Polishing and spectra collection can be performed at the above described polishing apparatus. Spectra are collected by the in-situ monitoring system during polishing. The substrate is overpolished, i.e., polished past an estimated endpoint, so that the spectrum of the light that reflected from the substrate when the target thickness is achieved can be obtained.
  • Properties of the overpolished substrate are measured (step 706). The properties include post-polished thicknesses of the film of interest at the particular location or locations used for the pre-polish measurement.
  • The measured thicknesses and the collected spectra are used to select, from among the collected spectra, a spectrum determined to be exhibited by a thickness of interest (step 708). In particular, linear interpolation can be performed using the measured pre-polish film thickness and post-polish substrate thicknesses to determine which of the spectra was exhibited when the target film thickness was achieved. The spectrum determined to be the one exhibited when the target thickness was achieved is designated to be the target spectrum for the batch of substrates.
  • Optionally, the spectra collected are processed to enhance accuracy and/or precision. The spectra can be processed, for example: to normalize them to a common reference, to average them, and/or to filter noise from them. Particular implementations of these processing operations are described below.
  • As used in the instant specification, a reference spectrum refers to a spectrum that is associated with a target film thickness. A reference spectrum is usually empirically selected for particular endpoint determination logic so that the target thickness is achieved when the computer device calls endpoint by applying the particular spectrum-based endpoint logic. The reference spectrum can be iteratively selected, as will be described below in reference to FIG. 7B. The reference spectrum is usually not the target spectrum. Rather, the reference spectrum is usually the spectrum of the light reflected from the substrate when the film of interest has a thickness greater than the target thickness.
  • FIG. 7B shows a method 701 for selecting a reference spectrum for a particular target thickness and particular spectrum-based endpoint determination logic. A set up substrate is measured and polished as described above in steps 702-706 (step 703). In particular, spectra collected and the time at which each collected spectrum is measured is stored.
  • A polishing rate of the polishing apparatus for the particular set-up substrate is calculated (step 705). The average polishing rate PR can be calculated by using the pre and post-polished thicknesses T1, T2, and the actual polish time, PT, e.g., PR=(T2−T1)/PT.
  • An endpoint time is calculated for the particular set-up substrate to provide a calibration point to test the reference spectrum, as discussed below (step 707). The endpoint time can be calculated based on the calculated polish rate PR, the pre-polish starting thickness of the film of interest, ST, and the target thickness of the film of interest, TT. The endpoint time can be calculated as a simple linear interpolation, assuming that the polishing rate is constant through the polishing process, e.g., ET=(ST−TT)/PR.
  • Optionally, the calculated endpoint time can be evaluated by polishing another substrate of the batch of patterned substrates, stopping polishing at the calculated endpoint time, and measuring the thickness of the film of interest. If the thickness is within a satisfactory range of the target thickness, then the calculated endpoint time is satisfactory. Otherwise, the calculated endpoint time can be re-calculated.
  • One of the collected spectra is selected and designated to be the reference spectrum (step 709). The spectrum selected is a spectrum of light reflected from the substrate when the film of interest has a thickness greater than and is approximately equal to the target thickness.
  • The particular endpoint determination logic is executed in simulation using the spectra collected for the set-up substrate and with the selected spectrum designated to be the reference spectrum (step 711). Execution of the logic yields an empirically derived but simulated endpoint time that the logic has determined to be the endpoint.
  • The empirically derived but simulated endpoint time is compared to the calculated endpoint time (step 713). If the empirically derived endpoint time is within a threshold range of the calculated endpoint time, then the currently selected reference spectrum is known to generate a result that matches the calibration point. Thus, when the endpoint logic is executed using the reference spectrum in a run-time environment, the system should reliably detect an endpoint at the target thickness. Therefore, the reference spectrum can be kept as the reference spectrum for run time polishing of the other substrates of the batch (step 718). Otherwise, steps 709 and 711 are repeated as appropriate.
  • Optionally, variables other than the selected spectrum can be changed for each iteration (i.e., each performance of steps 709 and 711). For example, the above-mentioned processing of the spectra (for example, filter parameters) and/or a threshold range from a minimum of a difference trace can be changed. The difference trace and the threshold range of a minimum of the difference trace are described below.
  • FIG. 8A shows a method 800 for using spectrum-based endpoint determination logic to determine an endpoint of a polishing step. Another substrate of the batch of patterned substrates is polished using the above-described polishing apparatus (step 802). At each revolution of the platen, the following steps are performed.
  • One or more spectra of white light reflecting off a substrate surface being polished are measured to obtain one or more current spectra for a current platen revolution (step 804). The one or more spectra measured for the current platen revolution are optionally processed to enhance accuracy and/or precision as described above in reference to FIG. 7A and as described below in reference to FIG. 11. If only one spectrum is measured, then the one spectrum is used as the current spectrum. If more than one current spectra is measured for a platen revolution, then they are grouped, averaged within each group, and the averages are designated to be current spectra. The spectra can be grouped by radial distance from the center of the substrate. By way of example, a first current spectrum can be obtained from spectra measured as points 502 and 510 (FIG. 5), a second current spectrum can be obtained from spectra measured at points 503 and 509, a third current spectra can be obtained from spectra measured at points 504 and 508, and so forth. The spectra measured at points 502 and 510 are averaged to obtain a first current spectrum for the current platen revolution. The spectra measured at points 503 and 509 are averaged to obtain a second current spectrum for the current platen revolution. The spectra measured at points 504 and 508 are averaged to obtain a third current spectrum for the current platen revolution.
  • A difference between the one or more current spectra and a reference spectrum is calculated (step 806). The reference spectrum can be obtained as described above in reference to FIG. 7B. In one implementation, the difference is a sum of differences in intensities over a range of wavelengths. That is,
  • Difference = λ = a b abs ( I current ( λ ) - I reference ( λ ) )
  • where a and b are the lower limit and upper limit of the range of wavelengths of a spectrum, respectively, and Icurrent(λ) and Ireference(λ) are the intensity of a current spectra and the intensity of the target spectra for a given wavelength, respectively.
  • Each calculated difference is appended to a difference trace (step 808). The difference trace is generally a plot of the calculated difference. The difference trace is updated at least once per platen revolution. (When multiple current spectra are obtained for each platen revolution, the difference trace can be updated more than once per platen revolution.)
  • Optionally, the difference trace can be processed, for example, smoothing the difference trace by filtering out a calculated difference that deviates beyond a threshold from preceding one or more calculated differences.
  • Whether the difference trace is within a threshold value of a minimum is determined (step 810). After the minimum has been detected, the endpoint is called when the different trace begins to rise past a particular threshold value of the minimum. Alternatively, the endpoint can be called based on the slope of the difference trace. In particular, the slope of the difference trace approaches and becomes zero at the minimum of the difference trace. The endpoint can be called when the slope of the difference trace is within a threshold range of the slope that is near zero.
  • Optionally, window logic can be applied to facilitate the determination of step 808. Window logic suitable for use is described in commonly assigned U.S. Pat. Nos. 5,893,796 and 6,296,548, which are incorporated by reference.
  • If the difference trace is NOT determined to have reached a threshold range of a minimum, polishing is allowed to continue and steps 804, 806, 808, and 810 are repeated as appropriate. Otherwise, an endpoint is called and polishing is stopped (step 812).
  • FIG. 8B illustrates the above described method for determining endpoint. Trace 801 is the raw difference trace. Trace 803 is the smoothed difference trace. Endpoint is called when the smoothed difference trace 803 reaches a threshold value 805 above the minimum 807.
  • As an alternative to using a reference spectrum, a target spectrum can be used in the method 800. The difference calculation would be between a current spectrum and the target spectrum, and endpoint would be determined when the difference trace reaches a minimum.
  • FIG. 9A shows an alternative method 900 for using a spectrum-based endpoint determination logic to determine an endpoint of a polishing step. A set-up substrate is polished and a target spectrum and reference spectrum are obtained (step 902). These spectra can be obtained as described above in reference to FIGS. 7A and 7B.
  • A target difference is calculated (step 904). The target difference is the difference between the reference spectrum and the target spectrum and can be calculated using the above-described difference equation.
  • Polishing of another substrate of the batch of substrates is started (step 906). The following steps are performed for each platen revolution during polishing. One or more spectra of white light reflecting off a substrate surface being polished are measured to obtain one or more current spectra for a current platen revolution (step 908). A difference between the current one or more spectra and the reference spectrum is calculated (step 910). The calculated difference or differences (if there are more than one current spectrum) are appended to a difference trace (step 912). Whether the difference trace is within a threshold range of the target difference is determined (step 914). If the difference trace is NOT determined to have reached a threshold range of the target difference, polishing is allowed to continue and steps 908, 910, 912, and 914 are repeated as appropriate. Otherwise, an endpoint is called and polishing is stopped (step 916).
  • FIG. 9B illustrates the above described method for determining endpoint. Trace 901 is the raw difference trace. Trace 903 is the smoothed difference trace. Endpoint is called when the smooth difference trace 903 is within a threshold range 905 of a target difference 907.
  • FIG. 10A shows another method 1000 for determining an endpoint of a polishing step. A reference spectrum is obtained (step 1002). The reference spectrum is obtained as described above in reference to FIG. 7B.
  • The spectra collected from the process of obtaining the reference spectrum are stored in a library (step 1004). Alternatively, the library can include spectra that are not collected but theoretically generated. The spectra, including the reference spectrum, are indexed so that each spectrum has a unique index value. The library can be implemented in memory of the computing device of the polishing apparatus.
  • A substrate from the batch of substrates is polished, and the following steps are performed for each platen revolution. One or more spectra are measured to obtain a current spectra for a current platen revolution (step 1006). The spectra are obtained as described above. The spectra stored in the library which best fits the current spectra is determined (step 1008). The index of the library spectrum determined to best fits the current spectra is appended to an endpoint index trace (step 1010). Endpoint is called when the endpoint trace reaches the index of the reference spectrum (step 1012).
  • FIG. 10B illustrates the above described method for determining endpoint. Trace 1014 is the raw index trace. Trace 1016 is the smoothed difference trace. Line 1018 represents the index value of the reference spectrum. Multiple current spectra can be obtained in each sweep of the optical head beneath the substrate, e.g., a spectra for each radial zone on the substrate being tracked, and an index trace can be generated for each radial zone.
  • FIG. 11 shows an implementation for determining an endpoint during a polishing step. For each platen revolution, the following steps are performed. Multiple raw spectra of white light reflecting off a substrate surface being polished are measured (step 1102).
  • Each measured raw spectra is normalized to remove light reflections contributed by mediums other than the film or films of interest (step 1104). Normalization of spectra facilitates their comparison to each other. Light reflections contributed by media other than the film or films of interest include light reflections from the polishing pad window and from the base silicon layer of the substrate. Contributions from the window can be estimated by measuring the spectrum of light received by the in-situ monitoring system under a dark condition (i.e., when no substrates are placed over the in-situ monitoring system). Contributions from the silicon layer can be estimated by measuring the spectrum of light reflecting of a bare silicon substrate. The contributions are usually obtained prior to commencement of the polishing step.
  • A measured raw spectrum is normalized as follows:

  • normalized spectrum=(A−Dark)/(Si−Dark)
  • where A is the raw spectrum, Dark is the spectrum obtained under the dark condition, and Si is the spectrum obtained from the bare silicon substrate.
  • Optionally, the collected spectra can be sorted based on the region of the pattern that has generated the spectrum, and spectra from some regions can be excluded from the endpoint calculation. In particular, spectra that are from light reflecting off scribe lines can be removed from consideration (step 1106). Different regions of a pattern substrate usually yield different spectra (even when the spectra were obtained at a same point of time during polishing). For example, a spectrum of the light reflecting off a scribe line in a substrate is different from the spectrum of the light reflecting off an array of the substrate. Because of their different shapes, use of spectra from both regions of the pattern usually introduces error into the endpoint determination. However, the spectra can be sorted based on their shapes into a group for scribe lines and a group for arrays. Because there is often greater variation in the spectra for scribe lines, usually these spectra can be excluded from consideration to enhance precision.
  • A subset of the spectra processed thus far is selected and averaged (step 1108). The subset consists of the spectra obtained from light reflecting off the substrate at points of a region on the substrate. The region can be, for example, region 512 or region 413 (FIG. 5).
  • Optionally, a high-pass filter is applied to the measured raw spectra (step 1110). Application of the high pass filter typically removes low frequency distortion of the average of the subset of spectra. The high-pass filter can be applied to the raw spectra, their average, or to both the raw spectra and their average.
  • The average is normalized so that its amplitude is the same or similar to the amplitude of the reference spectrum (step 1112). The amplitude of a spectrum is the peak-to-trough value of the spectrum. Alternatively, the average is normalized so that its reference spectrum is the same or similar to a reference amplitude to which the reference spectrum has also been normalized.
  • A difference between the normalized average and a reference spectrum is calculated (step 1114). The reference spectrum is obtained as described in reference to FIG. 7B. The difference is calculated using the above-described equation for calculating differences between spectra.
  • A difference trace is updated with the current difference (step 1116). The difference trace exhibits calculated differences between normalized averages and the reference spectrum as a function of time (or platen revolution).
  • A median and low-pass filter is applied to the updated difference trace (step 1118). Application of these filters typically smoothes the trace (by reducing or eliminating spikes in the trace).
  • Endpoint determination is performed based on the updated and filtered difference trace (step 1120). The determination is made based on when the difference trace reaches a minimum. The above described window logic is used to make the determination.
  • More generally, the signal processing steps of steps 1104-1112 can be used to improve endpoint determination procedures. For example, instead of generation of a difference trace, the normalized average spectra could be used to select a spectra from a library to generate an index trace, as described above in reference to FIG. 10A.
  • FIG. 12 illustrates the normalization of step 1112. As can be seen, only a portion of a spectrum (or an average of spectra) is considered for normalization. The portion considered is referred to in the instant specification as a normalization range and, furthermore, can be user selectable. Normalization is effected so that the highest point and the lowest point in the normalization range are normalized to 1 and 0, respectively. The normalization is calculated as follows:

  • g=(1−0)/(r max −r min)

  • h=1−r max +g

  • N=R+g+h
  • where, g is a gain, h is an offset, rmax is the highest value in the normalization range, rmin is the lowest value in the normalization range, N is the normalized spectrum, and R is the pre normalized spectrum.
  • Embodiments of the invention and all of the functional operations described in this specification can be implemented in digital electronic circuitry, or in computer software, firmware, or hardware, including the structural means disclosed in this specification and structural equivalents thereof, or in combinations of them. Embodiments of the invention can be implemented as one or more computer program products, i.e., one or more computer programs tangibly embodied in an information carrier, e.g., in a machine-readable storage device or in a propagated signal, for execution by, or to control the operation of, data processing apparatus, e.g., a programmable processor, a computer, or multiple processors or computers. A computer program (also known as a program, software, software application, or code) can be written in any form of programming language, including compiled or interpreted languages, and it can be deployed in any form, including as a stand-alone program or as a module, component, subroutine, or other unit suitable for use in a computing environment. A computer program does not necessarily correspond to a file. A program can be stored in a portion of a file that holds other programs or data, in a single file dedicated to the program in question, or in multiple coordinated files (e.g., files that store one or more modules, sub-programs, or portions of code). A computer program can be deployed to be executed on one computer or on multiple computers at one site or distributed across multiple sites and interconnected by a communication network.
  • The processes and logic flows described in this specification can be performed by one or more programmable processors executing one or more computer programs to perform functions by operating on input data and generating output. The processes and logic flows can also be performed by, and apparatus can also be implemented as, special purpose logic circuitry, e.g., an FPGA (field programmable gate array) or an ASIC (application-specific integrated circuit).
  • The above described polishing apparatus and methods can be applied in a variety of polishing systems. Either the polishing pad, or the carrier head, or both can move to provide relative motion between the polishing surface and the substrate. For example, the platen may orbit rather than rotate. The polishing pad can be a circular (or some other shape) pad secured to the platen. Some aspects of the endpoint detection system may be applicable to linear polishing systems, e.g., where the polishing pad is a continuous or a reel-to-reel belt that moves linearly. The polishing layer can be a standard (for example, polyurethane with or without fillers) polishing material, a soft material, or a fixed-abrasive material. Terms of relative positioning are used; it should be understood that the polishing surface and substrate can be held in a vertical orientation or some other orientation.
  • Particular embodiments of the invention have been described. Other embodiments are within the scope of the following claims. For example, the actions recited in the claims can be performed in a different order and still achieve desirable results.

Claims (16)

1. A method of making a polishing pad, the method comprising:
placing a body of crystalline or glass like material in a mold of a polishing pad window;
dispensing a liquid precursor of a soft plastic material into the mold;
curing the liquid precursor to form a transparent window that includes a soft plastic first portion and a crystalline or glass second portion;
placing the window in a mold of a polishing pad;
dispensing a liquid precursor of a polishing pad material into the mold of the polishing pad; and
curing the liquid precursor of the polishing pad material to produce the polishing pad, wherein the window is situated in the mold of the polishing pad so that, when the polishing pad is produced, the window is situated in the polishing pad so the first portion has a surface that is coplanar with a polishing surface of the polishing pad and the first portion acts a slurry-tight barrier.
2. The method of claim 1, wherein the body of crystalline or glass like material is quartz.
3. The method of claim 1, wherein the liquid precursor comprises a urethane.
4. The method of claim 1, wherein dispensing the liquid precursor of the soft plastic material comprises covering a non-planar surface on the body.
5. The method of claim 4, wherein dispensing the liquid precursor of the soft plastic material comprises filling a recess in the body.
6. The method of claim 4, wherein curing the liquid precursor forms the transparent window with the first portion having a greater thickness at edges of the window.
7. The method of claim 1, wherein dispensing the liquid precursor of the soft plastic material comprises covering sides of the body.
8. A method of making a polishing pad, the method comprising:
placing a body of crystalline or glass materials in a mold of a polishing pad window;
dispensing a liquid precursor of a soft plastic material into the mold;
curing the liquid precursor to form a transparent window that includes a soft plastic first portion and a crystalline or glass second portion;
forming a polishing layer that includes an aperture, the polishing layer having a top surface and a bottom surface, the aperture having a first opening in the top surface and a second opening in the bottom surface, the top surface being a polishing surface; and
inserting the window in the aperture, the window being situated in the aperture so that the first portion has a surface that is coplanar with the polishing surface and the first portion plugs the aperture and acts a slurry-tight barrier and the second portion is on a bottom side of the first portion.
9. The method of claim 8, wherein the body of crystalline or glass like material is quartz.
10. The method of claim 8, wherein the liquid precursor comprises a urethane.
11. The method of claim 8, wherein dispensing the liquid precursor of the soft plastic material comprises covering a non-planar surface on the body.
12. The method of claim 11, wherein dispensing the liquid precursor of the soft plastic material comprises filling a recess in the body.
13. The method of claim 11, wherein curing the liquid precursor forms the transparent window with the first portion having a greater thickness at edges of the window.
14. The method of claim 8, wherein dispensing the liquid precursor of the soft plastic material comprises covering sides of the body.
15. The method of claim 8, comprising attaching a side surface of each of the first portion and the second portion to the polishing pad with an adhesive.
16. The method of claim 15, comprising applying an adhesive to one of the first portion or the second portion prior to inserting the window into the aperture.
US13/103,772 2005-08-22 2011-05-09 Method of making polishing pad assembly with glass or crystalline window Abandoned US20110209412A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/103,772 US20110209412A1 (en) 2005-08-22 2011-05-09 Method of making polishing pad assembly with glass or crystalline window

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US71068205P 2005-08-22 2005-08-22
US11/213,675 US7306507B2 (en) 2005-08-22 2005-08-26 Polishing pad assembly with glass or crystalline window
US11/945,987 US7614933B2 (en) 2005-08-22 2007-11-27 Polishing pad assembly with glass or crystalline window
US12/572,100 US7938714B2 (en) 2005-08-22 2009-10-01 Polishing pad assembly with glass or crystalline window
US13/103,772 US20110209412A1 (en) 2005-08-22 2011-05-09 Method of making polishing pad assembly with glass or crystalline window

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/572,100 Division US7938714B2 (en) 2005-08-22 2009-10-01 Polishing pad assembly with glass or crystalline window

Publications (1)

Publication Number Publication Date
US20110209412A1 true US20110209412A1 (en) 2011-09-01

Family

ID=39933869

Family Applications (15)

Application Number Title Priority Date Filing Date
US11/213,674 Active US7226339B2 (en) 2005-08-22 2005-08-26 Spectrum based endpointing for chemical mechanical polishing
US11/213,344 Active 2025-11-03 US7764377B2 (en) 2005-08-22 2005-08-26 Spectrum based endpointing for chemical mechanical polishing
US11/213,675 Active US7306507B2 (en) 2005-08-22 2005-08-26 Polishing pad assembly with glass or crystalline window
US11/747,786 Active US7614936B2 (en) 2005-08-22 2007-05-11 Spectrum based endpointing for chemical mechanical polishing
US11/894,785 Active US7651385B2 (en) 2005-08-22 2007-08-20 Polishing system with optical head
US11/945,987 Expired - Fee Related US7614933B2 (en) 2005-08-22 2007-11-27 Polishing pad assembly with glass or crystalline window
US12/572,100 Expired - Fee Related US7938714B2 (en) 2005-08-22 2009-10-01 Polishing pad assembly with glass or crystalline window
US12/579,245 Active US7931522B2 (en) 2005-08-22 2009-10-14 Removable optical monitoring system for chemical mechanical polishing
US12/843,782 Active 2025-11-14 US8518827B2 (en) 2005-08-22 2010-07-26 Spectrum based endpointing for chemical mechanical polishing
US13/103,772 Abandoned US20110209412A1 (en) 2005-08-22 2011-05-09 Method of making polishing pad assembly with glass or crystalline window
US14/010,193 Active US9117751B2 (en) 2005-08-22 2013-08-26 Endpointing detection for chemical mechanical polishing based on spectrometry
US14/832,997 Active US9583405B2 (en) 2005-08-22 2015-08-21 Endpointing detection for chemical mechanical polishing based on spectrometry
US15/403,915 Active US10276460B2 (en) 2005-08-22 2017-01-11 Endpointing detection for chemical mechanical polishing based on spectrometry
US16/397,870 Active 2025-11-11 US11183435B2 (en) 2005-08-22 2019-04-29 Endpointing detection for chemical mechanical polishing based on spectrometry
US17/530,390 Active US11715672B2 (en) 2005-08-22 2021-11-18 Endpoint detection for chemical mechanical polishing based on spectrometry

Family Applications Before (9)

Application Number Title Priority Date Filing Date
US11/213,674 Active US7226339B2 (en) 2005-08-22 2005-08-26 Spectrum based endpointing for chemical mechanical polishing
US11/213,344 Active 2025-11-03 US7764377B2 (en) 2005-08-22 2005-08-26 Spectrum based endpointing for chemical mechanical polishing
US11/213,675 Active US7306507B2 (en) 2005-08-22 2005-08-26 Polishing pad assembly with glass or crystalline window
US11/747,786 Active US7614936B2 (en) 2005-08-22 2007-05-11 Spectrum based endpointing for chemical mechanical polishing
US11/894,785 Active US7651385B2 (en) 2005-08-22 2007-08-20 Polishing system with optical head
US11/945,987 Expired - Fee Related US7614933B2 (en) 2005-08-22 2007-11-27 Polishing pad assembly with glass or crystalline window
US12/572,100 Expired - Fee Related US7938714B2 (en) 2005-08-22 2009-10-01 Polishing pad assembly with glass or crystalline window
US12/579,245 Active US7931522B2 (en) 2005-08-22 2009-10-14 Removable optical monitoring system for chemical mechanical polishing
US12/843,782 Active 2025-11-14 US8518827B2 (en) 2005-08-22 2010-07-26 Spectrum based endpointing for chemical mechanical polishing

Family Applications After (5)

Application Number Title Priority Date Filing Date
US14/010,193 Active US9117751B2 (en) 2005-08-22 2013-08-26 Endpointing detection for chemical mechanical polishing based on spectrometry
US14/832,997 Active US9583405B2 (en) 2005-08-22 2015-08-21 Endpointing detection for chemical mechanical polishing based on spectrometry
US15/403,915 Active US10276460B2 (en) 2005-08-22 2017-01-11 Endpointing detection for chemical mechanical polishing based on spectrometry
US16/397,870 Active 2025-11-11 US11183435B2 (en) 2005-08-22 2019-04-29 Endpointing detection for chemical mechanical polishing based on spectrometry
US17/530,390 Active US11715672B2 (en) 2005-08-22 2021-11-18 Endpoint detection for chemical mechanical polishing based on spectrometry

Country Status (2)

Country Link
US (15) US7226339B2 (en)
CN (4) CN101242931B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140024291A1 (en) * 2012-07-18 2014-01-23 Jimin Zhang Endpoint Detection During Polishing Using Integrated Differential Intensity

Families Citing this family (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040242121A1 (en) * 2003-05-16 2004-12-02 Kazuto Hirokawa Substrate polishing apparatus
US7409260B2 (en) * 2005-08-22 2008-08-05 Applied Materials, Inc. Substrate thickness measuring during polishing
US7226339B2 (en) 2005-08-22 2007-06-05 Applied Materials, Inc. Spectrum based endpointing for chemical mechanical polishing
US8392012B2 (en) * 2008-10-27 2013-03-05 Applied Materials, Inc. Multiple libraries for spectrographic monitoring of zones of a substrate during processing
US8260446B2 (en) 2005-08-22 2012-09-04 Applied Materials, Inc. Spectrographic monitoring of a substrate during processing using index values
US7406394B2 (en) 2005-08-22 2008-07-29 Applied Materials, Inc. Spectra based endpointing for chemical mechanical polishing
US7520797B2 (en) * 2005-09-06 2009-04-21 Freescale Semiconductor, Inc. Platen endpoint window with pressure relief
US7534162B2 (en) * 2005-09-06 2009-05-19 Freescale Semiconductor, Inc. Grooved platen with channels or pathway to ambient air
JP4675803B2 (en) * 2006-03-10 2011-04-27 東京エレクトロン株式会社 Flattening equipment
US7497763B2 (en) * 2006-03-27 2009-03-03 Freescale Semiconductor, Inc. Polishing pad, a polishing apparatus, and a process for using the polishing pad
JP2007307639A (en) * 2006-05-17 2007-11-29 Toyo Tire & Rubber Co Ltd Polishing pad
JP5110677B2 (en) * 2006-05-17 2012-12-26 東洋ゴム工業株式会社 Polishing pad
US7998358B2 (en) * 2006-10-31 2011-08-16 Applied Materials, Inc. Peak-based endpointing for chemical mechanical polishing
US20080138988A1 (en) * 2006-12-07 2008-06-12 Jeffrey Drue David Detection of clearance of polysilicon residue
US7444198B2 (en) * 2006-12-15 2008-10-28 Applied Materials, Inc. Determining physical property of substrate
JP5654753B2 (en) * 2007-02-23 2015-01-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Determination of polishing end point using spectrum
JP4931133B2 (en) * 2007-03-15 2012-05-16 東洋ゴム工業株式会社 Polishing pad
US7952708B2 (en) 2007-04-02 2011-05-31 Applied Materials, Inc. High throughput measurement system
US20090275265A1 (en) * 2008-05-02 2009-11-05 Applied Materials, Inc. Endpoint detection in chemical mechanical polishing using multiple spectra
US7967661B2 (en) * 2008-06-19 2011-06-28 Micron Technology, Inc. Systems and pads for planarizing microelectronic workpieces and associated methods of use and manufacture
US8439723B2 (en) * 2008-08-11 2013-05-14 Applied Materials, Inc. Chemical mechanical polisher with heater and method
US8414357B2 (en) * 2008-08-22 2013-04-09 Applied Materials, Inc. Chemical mechanical polisher having movable slurry dispensers and method
US20100041316A1 (en) * 2008-08-14 2010-02-18 Yulin Wang Method for an improved chemical mechanical polishing system
US9214372B2 (en) * 2008-08-28 2015-12-15 Tokyo Ohka Kogyo Co., Ltd. Substrate processing system, carrying device and coating device
JP5675617B2 (en) 2008-09-04 2015-02-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Polishing speed adjustment using spectral monitoring of substrates during processing
US8129279B2 (en) * 2008-10-13 2012-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical mechanical polish process control for improvement in within-wafer thickness uniformity
US20100103422A1 (en) * 2008-10-27 2010-04-29 Applied Materials, Inc. Goodness of fit in spectrographic monitoring of a substrate during processing
US8210900B2 (en) * 2008-10-31 2012-07-03 Applied Materials, Inc. Dishing and defect control of chemical mechanical polishing using real-time adjustable additive delivery
US20100114532A1 (en) * 2008-11-03 2010-05-06 Applied Materials, Inc. Weighted spectrographic monitoring of a substrate during processing
US8295967B2 (en) * 2008-11-07 2012-10-23 Applied Materials, Inc. Endpoint control of multiple-wafer chemical mechanical polishing
US8352061B2 (en) 2008-11-14 2013-01-08 Applied Materials, Inc. Semi-quantitative thickness determination
US8292693B2 (en) * 2008-11-26 2012-10-23 Applied Materials, Inc. Using optical metrology for wafer to wafer feed back process control
KR20110120893A (en) 2009-01-16 2011-11-04 어플라이드 머티어리얼스, 인코포레이티드 Polishing pad and system with window support
US8585790B2 (en) * 2009-04-23 2013-11-19 Applied Materials, Inc. Treatment of polishing pad window
US8157614B2 (en) * 2009-04-30 2012-04-17 Applied Materials, Inc. Method of making and apparatus having windowless polishing pad and protected fiber
WO2011008499A2 (en) * 2009-06-30 2011-01-20 Applied Materials, Inc. Leak proof pad for cmp endpoint detection
WO2011042057A1 (en) * 2009-10-08 2011-04-14 Komax Holding Ag Device and method for removing coatings from solar modules
KR101861834B1 (en) 2009-11-03 2018-05-28 어플라이드 머티어리얼스, 인코포레이티드 Endpoint method using peak location of spectra contour plots versus time
US9017140B2 (en) 2010-01-13 2015-04-28 Nexplanar Corporation CMP pad with local area transparency
KR101610832B1 (en) * 2010-03-03 2016-04-08 삼성전자주식회사 Chemical Mechanical Polishing Appratus
US9579767B2 (en) 2010-04-28 2017-02-28 Applied Materials, Inc. Automatic generation of reference spectra for optical monitoring of substrates
CN106252220B (en) * 2010-05-05 2019-06-11 应用材料公司 Dynamic or adaptability for end point determination track spectral signature
US8202738B2 (en) 2010-05-05 2012-06-19 Applied Materials, Inc. Endpoint method using peak location of modified spectra
US20110281510A1 (en) * 2010-05-12 2011-11-17 Applied Materials, Inc. Pad Window Insert
US8616935B2 (en) 2010-06-02 2013-12-31 Applied Materials, Inc. Control of overpolishing of multiple substrates on the same platen in chemical mechanical polishing
US8666665B2 (en) 2010-06-07 2014-03-04 Applied Materials, Inc. Automatic initiation of reference spectra library generation for optical monitoring
US9156124B2 (en) 2010-07-08 2015-10-13 Nexplanar Corporation Soft polishing pad for polishing a semiconductor substrate
TWI478259B (en) * 2010-07-23 2015-03-21 Applied Materials Inc Tracking spectrum features in two dimensions for endpoint detection
US8954186B2 (en) 2010-07-30 2015-02-10 Applied Materials, Inc. Selecting reference libraries for monitoring of multiple zones on a substrate
TW201223702A (en) * 2010-08-06 2012-06-16 Applied Materials Inc Techniques for matching measured spectra to reference spectra for in-situ optical monitoring
US8657653B2 (en) 2010-09-30 2014-02-25 Nexplanar Corporation Homogeneous polishing pad for eddy current end-point detection
US8628384B2 (en) * 2010-09-30 2014-01-14 Nexplanar Corporation Polishing pad for eddy current end-point detection
WO2012051121A2 (en) 2010-10-15 2012-04-19 Applied Materials, Inc. Building a library of spectra for optical monitoring
SG190249A1 (en) * 2010-11-18 2013-06-28 Cabot Microelectronics Corp Polishing pad comprising transmissive region
US8535115B2 (en) 2011-01-28 2013-09-17 Applied Materials, Inc. Gathering spectra from multiple optical heads
US8367429B2 (en) 2011-03-10 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive endpoint method for pad life effect on chemical mechanical polishing
US8747189B2 (en) * 2011-04-26 2014-06-10 Applied Materials, Inc. Method of controlling polishing
US8755928B2 (en) 2011-04-27 2014-06-17 Applied Materials, Inc. Automatic selection of reference spectra library
WO2013028389A1 (en) * 2011-08-23 2013-02-28 Applied Materials, Inc. Optical detection of metal layer clearance
JP5732354B2 (en) * 2011-09-01 2015-06-10 東洋ゴム工業株式会社 Polishing pad
WO2013133974A1 (en) 2012-03-08 2013-09-12 Applied Materials, Inc. Fitting of optical model to measured spectrum
US8709114B2 (en) * 2012-03-22 2014-04-29 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of manufacturing chemical mechanical polishing layers
US9156125B2 (en) 2012-04-11 2015-10-13 Cabot Microelectronics Corporation Polishing pad with light-stable light-transmitting region
US8563335B1 (en) 2012-04-23 2013-10-22 Applied Materials, Inc. Method of controlling polishing using in-situ optical monitoring and fourier transform
US9168630B2 (en) * 2012-04-23 2015-10-27 Applied Materials, Inc. User-input functions for data sequences in polishing endpoint detection
US9289875B2 (en) * 2012-04-25 2016-03-22 Applied Materials, Inc. Feed forward and feed-back techniques for in-situ process control
CN103522170A (en) * 2012-07-05 2014-01-22 上海宏力半导体制造有限公司 Laser liner window for chemical mechanical grinding manufacturing process
US9296084B2 (en) 2012-07-19 2016-03-29 Applied Materials, Inc. Polishing control using weighting with default sequence
US9221147B2 (en) 2012-10-23 2015-12-29 Applied Materials, Inc. Endpointing with selective spectral monitoring
SG11201503496YA (en) 2012-11-06 2015-06-29 Cabot Microelectronics Corp Polishing pad with offset concentric grooving pattern and method for polishing a substrate therewith
US9482610B2 (en) 2012-11-12 2016-11-01 Applied Materials, Inc. Techniques for matching spectra
US20140141696A1 (en) 2012-11-21 2014-05-22 Applied Materials, Inc. Polishing System with In-Sequence Sensor
US9056383B2 (en) * 2013-02-26 2015-06-16 Applied Materials, Inc. Path for probe of spectrographic metrology system
US9446497B2 (en) 2013-03-07 2016-09-20 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Broad spectrum, endpoint detection monophase olefin copolymer window with specific composition in multilayer chemical mechanical polishing pad
US9186772B2 (en) 2013-03-07 2015-11-17 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad with broad spectrum, endpoint detection window and method of polishing therewith
US9108290B2 (en) 2013-03-07 2015-08-18 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Multilayer chemical mechanical polishing pad
US9679823B2 (en) 2013-03-15 2017-06-13 Applied Materials, Inc. Metric for recognizing correct library spectrum
TWI599447B (en) 2013-10-18 2017-09-21 卡博特微電子公司 Cmp polishing pad having edge exclusion region of offset concentric groove pattern
US9551567B2 (en) 2013-10-25 2017-01-24 Applied Materials, Inc. Reducing noise in spectral data from polishing substrates
US10012494B2 (en) 2013-10-25 2018-07-03 Applied Materials, Inc. Grouping spectral data from polishing substrates
US10399203B2 (en) 2014-04-22 2019-09-03 Ebara Corporation Polishing method and polishing apparatus
US9352440B2 (en) * 2014-04-30 2016-05-31 Applied Materials, Inc. Serial feature tracking for endpoint detection
US9811077B2 (en) 2014-07-16 2017-11-07 Applied Materials, Inc. Polishing with pre deposition spectrum
US9362186B2 (en) 2014-07-18 2016-06-07 Applied Materials, Inc. Polishing with eddy current feed meaurement prior to deposition of conductive layer
JP6399873B2 (en) * 2014-09-17 2018-10-03 株式会社荏原製作所 Film thickness signal processing apparatus, polishing apparatus, film thickness signal processing method, and polishing method
US9446498B1 (en) 2015-03-13 2016-09-20 rohm and Hass Electronic Materials CMP Holdings, Inc. Chemical mechanical polishing pad with window
US11524383B2 (en) * 2016-03-14 2022-12-13 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and computer-readable recording medium
TWI743176B (en) 2016-08-26 2021-10-21 美商應用材料股份有限公司 Method of obtaining measurement representative of thickness of layer on substrate, and metrology system and computer program product
WO2018057623A1 (en) * 2016-09-21 2018-03-29 Applied Materials, Inc. Endpoint detection with compensation for filtering
TWI807987B (en) * 2016-11-30 2023-07-01 美商應用材料股份有限公司 Spectrographic monitoring using a neural network
JP6948868B2 (en) * 2017-07-24 2021-10-13 株式会社荏原製作所 Polishing equipment and polishing method
US10286517B2 (en) 2017-08-08 2019-05-14 Micron Technology, Inc. Polishing apparatuses
JP7023063B2 (en) * 2017-08-08 2022-02-21 株式会社荏原製作所 Substrate polishing equipment and method
JP6948878B2 (en) * 2017-08-22 2021-10-13 ラピスセミコンダクタ株式会社 Semiconductor manufacturing equipment and semiconductor substrate polishing method
CN107520740A (en) * 2017-09-18 2017-12-29 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) The detection method of optical spectrum end-point, apparatus and system in a kind of chemically mechanical polishing
CN109994394B (en) * 2017-12-29 2021-05-28 中电海康集团有限公司 Method for flattening MTJ (magnetic tunnel junction) unit in MRAM (magnetic random Access memory) device and MRAM device
CN108481183B (en) * 2018-01-31 2020-04-10 中国科学院长春光学精密机械与物理研究所 Machining method of multi-straight slit component for airborne high spectral resolution spectrometer
CN111316403A (en) 2018-03-12 2020-06-19 应用材料公司 Filtering during polishing in-situ monitoring
JP7197999B2 (en) 2018-05-11 2022-12-28 キオクシア株式会社 polishing equipment and polishing pads
US11029297B2 (en) 2018-08-08 2021-06-08 Applied Materials, Inc. Method of gas composition determination, adjustment, and usage
JP2022501207A (en) 2018-09-24 2022-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated Machine vision as an input to the CMP process control algorithm
CN109499984B (en) * 2018-10-13 2022-03-18 广东嗨学云教育科技有限公司 Universal manufacturing device for integrated circuit
TWI754915B (en) * 2019-04-18 2022-02-11 美商應用材料股份有限公司 Chemical mechanical polishing temperature scanning apparatus for temperature control
JP7253458B2 (en) * 2019-06-27 2023-04-06 株式会社荏原製作所 Method, Apparatus, and System for Determining Optimal Operating Recipe for Optical Film Thickness Gauge
WO2021065575A1 (en) * 2019-09-30 2021-04-08 富士紡ホールディングス株式会社 Polishing pad and method for manufacturing same
KR20220123069A (en) 2020-06-29 2022-09-05 어플라이드 머티어리얼스, 인코포레이티드 Film thickness estimation from machine learning based processing of substrate images
JP7389718B2 (en) * 2020-06-29 2023-11-30 株式会社荏原製作所 Computer-readable recording medium that records a polishing method, polishing device, and program

Family Cites Families (138)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63166251A (en) 1986-12-27 1988-07-09 Mitsubishi Electric Corp Semiconductor device
US5385612A (en) 1992-09-29 1995-01-31 Li; Chou H. Cleaning system
US5365340A (en) * 1992-12-10 1994-11-15 Hughes Aircraft Company Apparatus and method for measuring the thickness of thin films
JP3326443B2 (en) 1993-08-10 2002-09-24 株式会社ニコン Wafer polishing method and apparatus therefor
US5433651A (en) 1993-12-22 1995-07-18 International Business Machines Corporation In-situ endpoint detection and process monitoring method and apparatus for chemical-mechanical polishing
US5595526A (en) 1994-11-30 1997-01-21 Intel Corporation Method and apparatus for endpoint detection in a chemical/mechanical process for polishing a substrate
US5964643A (en) * 1995-03-28 1999-10-12 Applied Materials, Inc. Apparatus and method for in-situ monitoring of chemical mechanical polishing operations
US5893796A (en) * 1995-03-28 1999-04-13 Applied Materials, Inc. Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus
JP2004001227A (en) 1995-07-20 2004-01-08 Ebara Corp Device and method for polishing
JP3300217B2 (en) 1996-01-29 2002-07-08 大日本スクリーン製造株式会社 Polishing process monitoring method and apparatus
US5747380A (en) * 1996-02-26 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Robust end-point detection for contact and via etching
CN1196182A (en) 1997-04-14 1998-10-21 崔安连 Bud preserving and fruit quick growth agent
US6111634A (en) 1997-05-28 2000-08-29 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness using a multi-wavelength spectrometer during chemical-mechanical polishing
US6489624B1 (en) * 1997-07-18 2002-12-03 Nikon Corporation Apparatus and methods for detecting thickness of a patterned layer
US5879626A (en) 1997-07-30 1999-03-09 Allen-Bradley Company, Llc Photoelectric sensor having dust removal apparatus
US5807439A (en) * 1997-09-29 1998-09-15 Siemens Aktiengesellschaft Apparatus and method for improved washing and drying of semiconductor wafers
JP4460659B2 (en) 1997-10-22 2010-05-12 株式会社ルネサステクノロジ Thin film thickness measuring method and apparatus, thin film device manufacturing method and apparatus using the same
TW374050B (en) * 1997-10-31 1999-11-11 Applied Materials Inc Method and apparatus for modeling substrate reflectivity during chemical mechanical polishing
JP3371775B2 (en) 1997-10-31 2003-01-27 株式会社日立製作所 Polishing method
CN1222599C (en) * 1997-11-26 2005-10-12 先进医用光学公司 Contact lens cleaning compsitions
US6124141A (en) 1998-01-07 2000-09-26 International Business Machines Corporation Non-destructive method and device for measuring the depth of a buried interface
JPH11285968A (en) * 1998-04-01 1999-10-19 Nikon Corp Polishing device and method
JP3395663B2 (en) 1998-09-03 2003-04-14 株式会社ニコン Detection method and detection device, polishing device and polishing method
US6271047B1 (en) * 1998-05-21 2001-08-07 Nikon Corporation Layer-thickness detection methods and apparatus for wafers and the like, and polishing apparatus comprising same
US6395130B1 (en) 1998-06-08 2002-05-28 Speedfam-Ipec Corporation Hydrophobic optical endpoint light pipes for chemical mechanical polishing
US6361646B1 (en) * 1998-06-08 2002-03-26 Speedfam-Ipec Corporation Method and apparatus for endpoint detection for chemical mechanical polishing
US6106662A (en) 1998-06-08 2000-08-22 Speedfam-Ipec Corporation Method and apparatus for endpoint detection for chemical mechanical polishing
TW398036B (en) * 1998-08-18 2000-07-11 Promos Technologies Inc Method of monitoring of chemical mechanical polishing end point and uniformity
US6296189B1 (en) 1998-08-26 2001-10-02 Spectra Science Corporation. Methods and apparatus employing multi-spectral imaging for the remote identification and sorting of objects
IL125964A (en) * 1998-08-27 2003-10-31 Tevet Process Control Technolo Method and apparatus for measuring the thickness of a transparent film, particularly of a photoresist film on a semiconductor substrate
WO2000026613A1 (en) * 1998-11-02 2000-05-11 Applied Materials, Inc. Optical monitoring of radial ranges in chemical mechanical polishing a metal layer on a substrate
US6159073A (en) * 1998-11-02 2000-12-12 Applied Materials, Inc. Method and apparatus for measuring substrate layer thickness during chemical mechanical polishing
US6908374B2 (en) * 1998-12-01 2005-06-21 Nutool, Inc. Chemical mechanical polishing endpoint detection
JP2000183001A (en) 1998-12-10 2000-06-30 Okamoto Machine Tool Works Ltd Polish end-point detecting method for wafer and chemical-mechanical polishing device used for the same
US6172756B1 (en) * 1998-12-11 2001-01-09 Filmetrics, Inc. Rapid and accurate end point detection in a noisy environment
US6184985B1 (en) * 1998-12-11 2001-02-06 Filmetrics, Inc. Spectrometer configured to provide simultaneous multiple intensity spectra from independent light sources
US6204922B1 (en) * 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
DE19859672C2 (en) 1998-12-23 2001-04-12 Raymond A & Cie Process for the automated bundling of cable harnesses and automatic device for carrying out the process
US6716085B2 (en) * 2001-12-28 2004-04-06 Applied Materials Inc. Polishing pad with transparent window
US20040082271A1 (en) * 1999-01-25 2004-04-29 Wiswesser Andreas Norbert Polishing pad with window
US6190234B1 (en) * 1999-01-25 2001-02-20 Applied Materials, Inc. Endpoint detection with light beams of different wavelengths
GB2348003B (en) * 1999-03-19 2001-02-07 Geco Prakla Seismic data processing method for data acquired using overlapping vibratory sweeps
KR100435246B1 (en) * 1999-03-31 2004-06-11 가부시키가이샤 니콘 Polishing body, polisher, method for adjusting polisher, method for measuring thickness of polished film or end point of polishing, method for producing semiconductor device
JP2000310512A (en) 1999-04-28 2000-11-07 Hitachi Ltd Method and device for measuring film thickness of thin film and method and device for manufacturing thin film device using the same
US6334807B1 (en) 1999-04-30 2002-01-01 International Business Machines Corporation Chemical mechanical polishing in-situ end point system
JP3327289B2 (en) * 2000-03-29 2002-09-24 株式会社ニコン Process end point measuring device, measuring method, polishing device, semiconductor device manufacturing method, and recording medium recording signal processing program
WO2000071971A1 (en) 1999-05-24 2000-11-30 Luxtron Corporation Optical techniques for measuring layer thicknesses
US6146242A (en) 1999-06-11 2000-11-14 Strasbaugh, Inc. Optical view port for chemical mechanical planarization endpoint detection
US6358327B1 (en) * 1999-06-29 2002-03-19 Applied Materials, Inc. Method for endpoint detection using throttle valve position
US6171181B1 (en) * 1999-08-17 2001-01-09 Rodel Holdings, Inc. Molded polishing pad having integral window
US6454630B1 (en) * 1999-09-14 2002-09-24 Applied Materials, Inc. Rotatable platen having a transparent window for a chemical mechanical polishing apparatus and method of making the same
DE60011798T2 (en) * 1999-09-29 2005-11-10 Rohm and Haas Electronic Materials CMP Holdings, Inc., Wilmington GRINDING CUSHION
JP2001105308A (en) * 1999-10-04 2001-04-17 Asahi Kasei Corp Polishing device with light transmission path
US6340602B1 (en) * 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6707540B1 (en) * 1999-12-23 2004-03-16 Kla-Tencor Corporation In-situ metalization monitoring using eddy current and optical measurements
JP4817575B2 (en) * 1999-12-23 2011-11-16 ケーエルエー−テンカー コーポレイション Real-time monitoring of metallization process using eddy current measurement
JP3259225B2 (en) 1999-12-27 2002-02-25 株式会社ニコン Polishing status monitoring method and apparatus, polishing apparatus, process wafer, semiconductor device manufacturing method, and semiconductor device
JP3506114B2 (en) * 2000-01-25 2004-03-15 株式会社ニコン MONITOR DEVICE, POLISHING APPARATUS HAVING THE MONITOR DEVICE, AND POLISHING METHOD
JP4487375B2 (en) 2000-03-30 2010-06-23 株式会社ニコン Pattern modeling method, film thickness measuring method, process state determining method, film thickness measuring apparatus, process state determining apparatus, polishing apparatus, and semiconductor device manufacturing method
JP2001287159A (en) 2000-04-05 2001-10-16 Nikon Corp Surface condition measuring method and measuring device, polishing machine, and semiconductor device manufacturing method
US6685537B1 (en) * 2000-06-05 2004-02-03 Speedfam-Ipec Corporation Polishing pad window for a chemical mechanical polishing tool
JP3832198B2 (en) 2000-06-16 2006-10-11 日本電気株式会社 Method and apparatus for detecting end point of polishing of semiconductor wafer
US7095511B2 (en) * 2000-07-06 2006-08-22 Filmetrics, Inc. Method and apparatus for high-speed thickness mapping of patterned thin films
AU2001279247A1 (en) * 2000-08-10 2002-02-25 Sensys Instruments Corporation Database interpolation method for optical measurement of diffractive microstructures
US6609947B1 (en) 2000-08-30 2003-08-26 Micron Technology, Inc. Planarizing machines and control systems for mechanical and/or chemical-mechanical planarization of micro electronic substrates
WO2002030617A1 (en) * 2000-10-06 2002-04-18 Cabot Microelectronics Corporation Polishing pad comprising a filled translucent region
US6511363B2 (en) 2000-12-27 2003-01-28 Tokyo Seimitsu Co., Ltd. Polishing end point detecting device for wafer polishing apparatus
JP3804064B2 (en) 2001-12-04 2006-08-02 株式会社東京精密 Polishing end point detection method and apparatus for wafer polishing apparatus
JP2002025960A (en) 2000-12-28 2002-01-25 Wallace T Y Tang Method and apparatus for monitoring thin film
US6819426B2 (en) * 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
JP3946470B2 (en) 2001-03-12 2007-07-18 株式会社デンソー Method for measuring thickness of semiconductor layer and method for manufacturing semiconductor substrate
US6664557B1 (en) 2001-03-19 2003-12-16 Lam Research Corporation In-situ detection of thin-metal interface using optical interference
US6491569B2 (en) 2001-04-19 2002-12-10 Speedfam-Ipec Corporation Method and apparatus for using optical reflection data to obtain a continuous predictive signal during CMP
US6676482B2 (en) * 2001-04-20 2004-01-13 Speedfam-Ipec Corporation Learning method and apparatus for predictive determination of endpoint during chemical mechanical planarization using sparse sampling
KR100456218B1 (en) 2001-04-26 2004-11-09 박명흠 Apparatus and method for providing internet telephone service by using private branch exchange service
JP2002359217A (en) 2001-05-31 2002-12-13 Omron Corp Method and device for detecting polishing end point
US6906506B1 (en) * 2001-06-08 2005-06-14 The Regents Of The University Of Michigan Method and apparatus for simultaneous measurement of electric field and temperature using an electrooptic semiconductor probe
US6936842B2 (en) * 2001-06-27 2005-08-30 Applied Materials, Inc. Method and apparatus for process monitoring
US6762838B2 (en) * 2001-07-02 2004-07-13 Tevet Process Control Technologies Ltd. Method and apparatus for production line screening
JP3932836B2 (en) 2001-07-27 2007-06-20 株式会社日立製作所 Thin film thickness measuring method and apparatus, and device manufacturing method using the same
US6678046B2 (en) 2001-08-28 2004-01-13 Therma-Wave, Inc. Detector configurations for optical metrology
US6618130B2 (en) * 2001-08-28 2003-09-09 Speedfam-Ipec Corporation Method and apparatus for optical endpoint detection during chemical mechanical polishing
JP2003080451A (en) 2001-09-07 2003-03-18 Tokyo Seimitsu Co Ltd Polishing device and polishing method
US6898596B2 (en) * 2001-10-23 2005-05-24 Therma-Wave, Inc. Evolution of library data sets
CN1414608A (en) * 2001-10-26 2003-04-30 矽统科技股份有限公司 Chemical and mechanical grinding device for semiconductor crystal material
US6709314B2 (en) * 2001-11-07 2004-03-23 Applied Materials Inc. Chemical mechanical polishing endpoinat detection
US6586337B2 (en) 2001-11-09 2003-07-01 Speedfam-Ipec Corporation Method and apparatus for endpoint detection during chemical mechanical polishing
US6678055B2 (en) * 2001-11-26 2004-01-13 Tevet Process Control Technologies Ltd. Method and apparatus for measuring stress in semiconductor wafers
US6599765B1 (en) 2001-12-12 2003-07-29 Lam Research Corporation Apparatus and method for providing a signal port in a polishing pad for optical endpoint detection
JP3878016B2 (en) * 2001-12-28 2007-02-07 株式会社荏原製作所 Substrate polishing equipment
US6942546B2 (en) 2002-01-17 2005-09-13 Asm Nutool, Inc. Endpoint detection for non-transparent polishing member
JP4015934B2 (en) * 2002-04-18 2007-11-28 株式会社東芝 Video coding method and apparatus
US6878039B2 (en) 2002-01-28 2005-04-12 Speedfam-Ipec Corporation Polishing pad window for a chemical-mechanical polishing tool
US6935922B2 (en) * 2002-02-04 2005-08-30 Kla-Tencor Technologies Corp. Methods and systems for generating a two-dimensional map of a characteristic at relative or absolute locations of measurement spots on a specimen during polishing
US6813034B2 (en) 2002-02-05 2004-11-02 Therma-Wave, Inc. Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
US6875077B2 (en) * 2002-03-18 2005-04-05 Raytech Innovative Solutions, Inc. Polishing pad for use in chemical/mechanical planarization of semiconductor wafers having a transparent window for end-point determination and method of making
US6688945B2 (en) * 2002-03-25 2004-02-10 Macronix International Co. Ltd. CMP endpoint detection system
US6524176B1 (en) * 2002-03-25 2003-02-25 Macronix International Co. Ltd. Polishing pad
US6806948B2 (en) * 2002-03-29 2004-10-19 Lam Research Corporation System and method of broad band optical end point detection for film change indication
CN1302522C (en) * 2002-05-15 2007-02-28 旺宏电子股份有限公司 Terminal detection system for chemical and mechanical polisher
JP2004012302A (en) 2002-06-07 2004-01-15 Hitachi Ltd Method and instrument for measuring film thickness distribution
JP2004017229A (en) 2002-06-18 2004-01-22 Shimadzu Corp Substrate polishing device
US6947135B2 (en) * 2002-07-01 2005-09-20 Therma-Wave, Inc. Reduced multicubic database interpolation method for optical measurement of diffractive microstructures
CN100488729C (en) 2002-10-17 2009-05-20 株式会社荏原制作所 Polishing state monitoring apparatus and polishing apparatus and method
JP4542324B2 (en) 2002-10-17 2010-09-15 株式会社荏原製作所 Polishing state monitoring device and polishing device
CN1492213A (en) 2002-10-23 2004-04-28 台湾积体电路制造股份有限公司 Method for measuring non-metal layer thickness in chemical and mechanical grinding process
US6885467B2 (en) * 2002-10-28 2005-04-26 Tevet Process Control Technologies Ltd. Method and apparatus for thickness decomposition of complicated layer structures
JP2004165473A (en) 2002-11-14 2004-06-10 Seiko Epson Corp Cmp device, cmp method, semiconductor device and its manufacturing method
US6931870B2 (en) 2002-12-04 2005-08-23 Samsung Electronics Co., Ltd. Time division multi-cycle type cooling apparatus and method for controlling the same
US6960120B2 (en) * 2003-02-10 2005-11-01 Cabot Microelectronics Corporation CMP pad with composite transparent window
US6832947B2 (en) * 2003-02-10 2004-12-21 Cabot Microelectronics Corporation CMP pad with composite transparent window
US6969619B1 (en) 2003-02-18 2005-11-29 Novellus Systems, Inc. Full spectrum endpoint detection
US6991514B1 (en) 2003-02-21 2006-01-31 Verity Instruments, Inc. Optical closed-loop control system for a CMP apparatus and method of manufacture thereof
KR100528473B1 (en) * 2003-03-13 2005-11-15 삼성전자주식회사 Synchronous mirror delay circuit and semiconductor integrated circuit device comprising the same
JP2004343090A (en) 2003-04-22 2004-12-02 Jsr Corp Polishing pad and method for polishing semiconductor wafer
US20040242121A1 (en) 2003-05-16 2004-12-02 Kazuto Hirokawa Substrate polishing apparatus
US7008296B2 (en) * 2003-06-18 2006-03-07 Applied Materials, Inc. Data processing for monitoring chemical mechanical polishing
JP2005011977A (en) 2003-06-18 2005-01-13 Ebara Corp Device and method for substrate polishing
JP4202841B2 (en) * 2003-06-30 2008-12-24 株式会社Sumco Surface polishing equipment
JP2005033012A (en) 2003-07-14 2005-02-03 Seiko Epson Corp Polishing apparatus, and semiconductor device manufacturing method
US20050026542A1 (en) * 2003-07-31 2005-02-03 Tezer Battal Detection system for chemical-mechanical planarization tool
US7097537B1 (en) * 2003-08-18 2006-08-29 Applied Materials, Inc. Determination of position of sensor measurements during polishing
JP4464642B2 (en) * 2003-09-10 2010-05-19 株式会社荏原製作所 Polishing state monitoring apparatus, polishing state monitoring method, polishing apparatus, and polishing method
JP2005101114A (en) 2003-09-22 2005-04-14 Lam Res Corp In-situ detection of border of metal thin film state using optical interference through dynamic update reference
US7798309B2 (en) 2003-11-13 2010-09-21 Applied Materials, Inc. Stabilizing substrate carriers during overhead transport
JP2005159203A (en) 2003-11-28 2005-06-16 Hitachi Ltd Thickness measuring method and its instrument, polishing rate calculating method, and cpm processing method and its apparatus
US7255771B2 (en) * 2004-03-26 2007-08-14 Applied Materials, Inc. Multiple zone carrier head with flexible membrane
US7120553B2 (en) * 2004-07-22 2006-10-10 Applied Materials, Inc. Iso-reflectance wavelengths
US7169016B2 (en) 2005-05-10 2007-01-30 Nikon Corporation Chemical mechanical polishing end point detection apparatus and method
US7406394B2 (en) 2005-08-22 2008-07-29 Applied Materials, Inc. Spectra based endpointing for chemical mechanical polishing
US7226339B2 (en) 2005-08-22 2007-06-05 Applied Materials, Inc. Spectrum based endpointing for chemical mechanical polishing
US7409260B2 (en) 2005-08-22 2008-08-05 Applied Materials, Inc. Substrate thickness measuring during polishing
US7210980B2 (en) * 2005-08-26 2007-05-01 Applied Materials, Inc. Sealed polishing pad, system and methods
TWI422798B (en) 2006-10-06 2014-01-11 Ebara Corp Processing end point detecting method, grinding method and grinding device
US7998358B2 (en) 2006-10-31 2011-08-16 Applied Materials, Inc. Peak-based endpointing for chemical mechanical polishing
US8352061B2 (en) 2008-11-14 2013-01-08 Applied Materials, Inc. Semi-quantitative thickness determination
US9579767B2 (en) * 2010-04-28 2017-02-28 Applied Materials, Inc. Automatic generation of reference spectra for optical monitoring of substrates
KR102395616B1 (en) * 2016-10-10 2022-05-09 어플라이드 머티어리얼스, 인코포레이티드 Real-time profile control for chemical mechanical polishing

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140024291A1 (en) * 2012-07-18 2014-01-23 Jimin Zhang Endpoint Detection During Polishing Using Integrated Differential Intensity
US9248544B2 (en) * 2012-07-18 2016-02-02 Applied Materials, Inc. Endpoint detection during polishing using integrated differential intensity

Also Published As

Publication number Publication date
US20100075582A1 (en) 2010-03-25
US20130344625A1 (en) 2013-12-26
US20150364390A1 (en) 2015-12-17
US20100035519A1 (en) 2010-02-11
US20070042681A1 (en) 2007-02-22
US11715672B2 (en) 2023-08-01
US7651385B2 (en) 2010-01-26
US20080009227A1 (en) 2008-01-10
CN101391397B (en) 2012-10-03
US7931522B2 (en) 2011-04-26
US8518827B2 (en) 2013-08-27
US20080102734A1 (en) 2008-05-01
US7614936B2 (en) 2009-11-10
US20070042680A1 (en) 2007-02-22
US20170125313A1 (en) 2017-05-04
CN101391397A (en) 2009-03-25
CN101242931A (en) 2008-08-13
US20100284007A1 (en) 2010-11-11
US20070042675A1 (en) 2007-02-22
US11183435B2 (en) 2021-11-23
US9117751B2 (en) 2015-08-25
US7614933B2 (en) 2009-11-10
US20220077006A1 (en) 2022-03-10
CN101242931B (en) 2013-03-27
US10276460B2 (en) 2019-04-30
US20190252274A1 (en) 2019-08-15
US7764377B2 (en) 2010-07-27
US7306507B2 (en) 2007-12-11
US9583405B2 (en) 2017-02-28
CN109500726A (en) 2019-03-22
US7938714B2 (en) 2011-05-10
CN109500726B (en) 2021-03-09
US20070218812A1 (en) 2007-09-20
US7226339B2 (en) 2007-06-05
CN107738177A (en) 2018-02-27

Similar Documents

Publication Publication Date Title
US11715672B2 (en) Endpoint detection for chemical mechanical polishing based on spectrometry
US10766119B2 (en) Spectra based endpointing for chemical mechanical polishing
US9799578B2 (en) Peak-based endpointing for chemical mechanical polishing
US7409260B2 (en) Substrate thickness measuring during polishing
WO2007024807A2 (en) Apparatus and methods for spectrum based monitoring of chemical mechanical polishing

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BENVEGNU, DOMINIC J.;DAVID, JEFFREY DRUE;SWEDEK, BOGDAN;SIGNING DATES FROM 20050921 TO 20050929;REEL/FRAME:026720/0502

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE