US20140065797A1 - In-situ deposited mask layer for device singulation by laser scribing and plasma etch - Google Patents

In-situ deposited mask layer for device singulation by laser scribing and plasma etch Download PDF

Info

Publication number
US20140065797A1
US20140065797A1 US14/072,653 US201314072653A US2014065797A1 US 20140065797 A1 US20140065797 A1 US 20140065797A1 US 201314072653 A US201314072653 A US 201314072653A US 2014065797 A1 US2014065797 A1 US 2014065797A1
Authority
US
United States
Prior art keywords
mask
substrate
plasma
etch
laser
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/072,653
Inventor
Madhava Rao Yalamanchili
Wei-Sheng Lei
Brad Eaton
Saravjeet Singh
Ajay Kumar
Banqiu Wu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US14/072,653 priority Critical patent/US20140065797A1/en
Publication of US20140065797A1 publication Critical patent/US20140065797A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/16Composite materials, e.g. fibre reinforced
    • B23K2103/166Multilayered materials
    • B23K2103/172Multilayered materials wherein at least one of the layers is non-metallic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/50Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/062Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam
    • B23K26/0622Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam by shaping pulses
    • B23K26/0624Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam by shaping pulses using ultrashort pulses, i.e. pulses of 1ns or less
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/362Laser etching
    • B23K26/364Laser etching for making a groove or trench, e.g. for scribing a break initiation groove
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/40Removing material taking account of the properties of the material involved
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2101/00Articles made by soldering, welding or cutting
    • B23K2101/36Electric or electronic devices
    • B23K2101/40Semiconductor devices

Definitions

  • Embodiments of the present invention pertain to the field of semiconductor processing and, in particular, to masking methods for dicing substrates, each substrate having an integrated circuit (IC) thereon.
  • IC integrated circuit
  • ICs are formed on a substrate (also referred to as a wafer), typically composed of silicon or other semiconductor material.
  • a substrate also referred to as a wafer
  • thin film layers of various materials which are either semiconducting, conducting or insulating are utilized to form the ICs. These materials are doped, deposited and etched using various well-known processes to simultaneously form a plurality of ICs, such as memory devices, logic devices, photovoltaic devices, etc, in parallel on a same substrate.
  • the substrate is mounted on a supporting member such as an adhesive film stretched across a film frame and the substrate is “diced” to separate each individual device or “die” from one another for packaging, etc.
  • a supporting member such as an adhesive film stretched across a film frame
  • the substrate is “diced” to separate each individual device or “die” from one another for packaging, etc.
  • the two most popular dicing techniques are scribing and sawing.
  • a diamond tipped scribe is moved across a substrate surface along pre-formed scribe lines.
  • pressure such as with a roller
  • sawing a diamond tipped saw cuts the substrate along the streets.
  • thin substrate singulation such as 50-150 ⁇ ms ( ⁇ m) thick bulk silicon singulation
  • the conventional approaches have yielded only poor process quality.
  • plasma dicing has also been contemplated, a standard lithography operation for patterning resist may render implementation cost prohibitive.
  • Another limitation possibly hampering implementation of plasma dicing is that plasma processing of commonly encountered metals (e.g., copper) in dicing along streets can create production issues or throughput limits.
  • masking of the plasma dicing process may be problematic, depending on, inter alia, the thickness and top surface topography of the substrate, the selectivity of the plasma etch, and the materials present on the top surface of the substrate.
  • Embodiments of the present invention include methods of masking semiconductor substrates for a hybrid dicing process including both laser scribing and plasma etching.
  • a method of dicing a semiconductor substrate having a plurality of ICs includes forming a mask over the semiconductor substrate, the mask including a plasma deposited material covering and protecting the ICs. At least a portion of the mask thickness in the street is patterned with a laser scribing process to provide a patterned mask with gaps or trenches, exposing regions of the substrate between the ICs. The substrate is then plasma etched through the gaps in the patterned mask to singulate the ICs into chips.
  • a system for dicing a semiconductor substrate includes a femtosecond laser and a plasma etch chamber, coupled to a same platform.
  • the plasma etch chamber is utilized both for plasma etching of the substrate and for in-situ deposition of a polymeric masking material.
  • a method of dicing a substrate having a plurality of ICs includes forming a water soluble mask layer over a front side of a silicon substrate.
  • the water soluble mask layer covers and protects a majority of IC surfaces disposed on the front side of the substrate.
  • the ICs include a copper bumped top surface having bumps surrounded by a passivation layer, such as polyimide (PI).
  • PI polyimide
  • Subsurface thin films below the bumps and passivation include a low-K interlayer dielectric (ILD) layer and a layer of copper interconnect.
  • the water soluble material, the passivation layer, and subsurface thin films are patterned with a femtosecond laser scribing process to expose regions of the silicon substrate between the ICs.
  • the water soluble material thickness is augmented with a polymeric mask material plasma deposited prior to the plasma etch in-situ with the etch chamber that is to perform the substrate etch.
  • the silicon substrate is etched through with a deep silicon plasma etch process to singulate the ICs.
  • the water soluble layer and in-situ deposited polymeric mask materials are then washed off in water or other solvent suitable for removal of etch polymer residue.
  • FIG. 1A is a flow diagram illustrating a hybrid laser ablation-plasma etch singulation method with a plasma deposited mask material formed prior to laser scribing, in accordance with an embodiment of the present invention
  • FIG. 1B is a flow diagram illustrating a hybrid laser ablation-plasma etch singulation method with a plasma deposited mask material formed subsequent to laser scribing, in accordance with an embodiment of the present invention
  • FIG. 1C is a flow diagram illustrating a hybrid laser ablation-plasma etch singulation method with a plasma deposited mask material formed dynamically with etch of the substrate, in accordance with an embodiment of the present invention
  • FIG. 2 is a flow diagram illustrating a method of applying a water soluble mask layer as an ex-situ mask, in accordance with an embodiment of the present invention
  • FIG. 3 is a flow diagram illustrating a method of applying a water soluble mask layer to a substrate to be diced prior to wafer thinning, in accordance with an embodiment of the present invention
  • FIG. 4A illustrates a cross-sectional view of a semiconductor substrate including a plurality of ICs corresponding to operation 102 of the dicing method illustrated in FIG. 1A , in accordance with an embodiment of the present invention
  • FIG. 4B illustrates a cross-sectional view of a semiconductor substrate including a plurality of ICs corresponding to operation 103 of the dicing method illustrated in FIG. 1A , in accordance with an embodiment of the present invention
  • FIG. 4C illustrates a cross-sectional view of a semiconductor substrate including a plurality of ICs corresponding to operation 105 of the dicing method illustrated in FIG. 1A , in accordance with an embodiment of the present invention
  • FIG. 4D illustrates a cross-sectional view of a semiconductor substrate including a plurality of ICs corresponding to operation 107 of the dicing method illustrated in FIG. 1A , in accordance with an embodiment of the present invention
  • FIG. 5A illustrates a cross-sectional view of plasma deposited polymer mask applied over a water soluble mask prior to laser scribing, in accordance with embodiments of the present invention
  • FIG. 5B illustrates a cross-sectional view of a plasma deposited polymer mask applied over a water soluble mask subsequent to laser scribing, in accordance with embodiments of the present invention
  • FIG. 6 illustrates a block diagram of an integrated platform layout for laser and plasma dicing of substrates with an integrated wet station for mask removal, in accordance with an embodiment of the present invention.
  • FIG. 7 illustrates a block diagram of an exemplary computer system which controls automated performance of one or more operation in the masking, laser scribing, plasma dicing methods described herein, in accordance with an embodiment of the present invention.
  • Coupled may be used to indicate that two or more elements are in direct physical or electrical contact with each other.
  • Connected may be used to indicate that two or more elements are in either direct or indirect (with other intervening elements between them) physical or electrical contact with each other, and/or that the two or more elements co-operate or interact with each other (e.g., as in a cause an effect relationship).
  • the terms “over,” “under,” “between,” and “on” as used herein refer to a relative position of one material layer with respect to other material layers.
  • one layer disposed over or under another layer may be directly in contact with the other layer or may have one or more intervening layers.
  • one layer disposed between two layers may be directly in contact with the two layers or may have one or more intervening layers.
  • a first layer “on” a second layer is in contact with that second layer.
  • the relative position of one layer with respect to other layers is provided assuming operations are performed relative to a substrate without consideration of the absolute orientation of the substrate.
  • a hybrid substrate or substrate dicing process involving an initial laser scribe and subsequent plasma etch for die singulation is implemented with an etch mask including a plasma deposited material layer.
  • plasma deposition of the mask layer and plasma etching of the substrate for singulation is performed by/occurs within a same plasma chamber
  • plasma deposition of the mask layer is referred to herein as “in-situ” while mask materials not form by the plasma etch chamber are referred to herein as “ex-situ.”
  • the laser scribe process may be used to cleanly remove at least a partial thickness of an unpatterned (i.e., blanket) mask layer, a passivation layer, and subsurface thin film device layers.
  • the laser etch process may then be terminated upon exposure of, or partial ablation of, the substrate.
  • the plasma etch portion of the hybrid dicing process may then be employed to etch through the bulk of the substrate, such as through bulk single crystalline silicon, for singulation or dicing of chips.
  • a combination of femtosecond laser scribing and plasma etching is used to dice a semiconductor substrate into individualized or singulated ICs.
  • femtosecond laser scribing is an essentially, if not completely, non-equilibrium process.
  • the femtosecond-based laser scribing may be localized with a negligible thermal damage zone.
  • laser scribing is used to singulated ICs having ultra-low ⁇ films (i.e., with a dielectric constant below 3.0).
  • direct writing with laser eliminates a lithography patterning operation, allowing the masking material to be non-photosensitive, and a plasma etch-based dicing processing implemented with very little cost to partition the substrate.
  • through silicon via (TSV)-type etching is used to complete the dicing process in a plasma etch chamber; the TSV-type etch depositing on sidewalls of the trench substantially the same material plasma deposited on a topside of the ICs to as the etch mask.
  • FIG. 1A is a flow diagram illustrating a hybrid laser ablation-plasma etch singulation method with a plasma deposited mask material formed prior to laser scribing, in accordance with an embodiment of the present invention.
  • FIGS. 4A-4D illustrate cross-sectional views of a substrate 406 including first and second ICs 425 , 426 corresponding to the operations in method 100 , in accordance with an embodiment of the present invention.
  • Method 100 begins with receipt of a substrate with ICs formed thereon.
  • substrate 406 is composed of any material suitable to withstand a fabrication process of the thin film device layers formed thereon.
  • substrate 406 is a group IV-based material such as, but not limited to, monocrystalline silicon, germanium or silicon/germanium.
  • substrate 406 is a III-V material such as, e.g., a III-V material substrate used in the fabrication of light emitting diodes (LEDs).
  • LEDs light emitting diodes
  • a carrier 411 such as a backing tape 410 stretched across a fame (not illustrate) and adhered to a backside of the substrate with a die attach film (DAF) 408 .
  • DAF die attach film
  • first and second ICs 425 , 426 include memory devices or complimentary metal-oxide-semiconductor (CMOS) transistors fabricated in a silicon substrate 406 and encased in a dielectric stack.
  • CMOS complimentary metal-oxide-semiconductor
  • a plurality of metal interconnects may be formed above the devices or transistors, and in surrounding dielectric layers, and may be used to electrically couple the devices or transistors to form the ICs 425 , 426 .
  • Materials making up the street 427 may be similar to or the same as those materials used to form the ICs 425 , 426 .
  • street 427 may include thin film layers of dielectric materials, semiconductor materials, and metallization.
  • the street 427 includes a test device similar to the ICs 425 , 426 .
  • the width of the street 427 may be anywhere between 10 ⁇ m and 100 ⁇ m.
  • a mask 402 including a plasma deposited layer is formed over substrate 406 , covering both the ICs 425 , 426 and intervening street 427 between the ICs 425 , 426 .
  • forming the mask 402 includes plasma depositing a polymer over the substrate.
  • the plasma etch is to be a deep trench etch process having a plurality of successive etch and deposition cycles
  • each deposition cycle during the etch operation 105 deposits an additional amount of substantially the same polymer formed during the masking operation 102 .
  • the plasma deposition at operation 102 is to replace a photo resist mask and therefore is performed for a sufficient duration prior to commencement of substrate etching so as to accumulate a polymer protection layer over horizontal surfaces (e.g., top surfaces) of the ICs 425 , 426 .
  • the mask 402 either consists only of the plasma deposited polymer layer formed at operation 102 or, as illustrated by the dashed line in FIG. 4A , the mask 402 includes multiple distinct material layers 402 A and 402 B, at least one of which is the plasma deposited polymer layer formed at operation 102 while the other is an ex-situ mask material formed at operation 101 ( FIG. 1A ).
  • the plasma deposited polymer layer may be either in contact with a top surface of the ICs 425 , 426 (i.e., deposited polymer layer is 402 A) or disposed over an underlying mask material (i.e., deposited polymer layer is 402 B).
  • a mask including a plasma deposited polymer may be readily removed without damage to an underlying passivation layer, which is often polyimide (PI) and/or bumps, which are often copper.
  • PI polyimide
  • mask 402 is to be of sufficient thickness to survive the plasma etch process (though it may be very nearly consumed), protecting even copper bumps which may be damaged, oxidized, or otherwise contaminated if exposed to the substrate etching plasma.
  • the mask 402 includes a water soluble material disposed over the ICs 425 , 426 .
  • the water soluble material may be applied before or after plasma deposition of the polymeric material, to be disposed below or above the plasma deposited polymer layer formed at operation 102 , respectively. Therefore, in reference to FIG. 4A , in a first embodiment mask layer 402 A is a water soluble material while mask layer 402 B is the in-situ plasma deposited polymeric mask material.
  • presence of the water soluble layer may provide protection a top surface of the ICs 425 , 426 during subsequent laser scribing and/or assist with mask the removal process.
  • mask layer 402 A is the in-situ plasma deposited polymeric mask material while mask layer 402 A is a water soluble material.
  • the water soluble mask layer is thermally stable to at least 60° C., preferably stable at 100° C., and ideally stable to 120° C. to avoid excessive crosslinking during the subsequent plasma etch process when the material's temperature will be elevated. Generally, excessive crosslinking adversely affects the solubility of the material, making post-etch removal more difficult.
  • the water soluble layer may be either wet applied or applied as a dry film laminate.
  • exemplary materials include, at least one of: poly(vinyl alcohol), poly(acrylic acid), poly(methacrylic acid), poly(acrylamide), or poly(ethylene oxide) with many other water soluble materials also readily available.
  • Dry films for lamination may include the water soluble material only or may further include an adhesive layer that may also be water soluble or not.
  • the dry film includes a UV sensitive adhesive layer which has reduced adhesive bond strength upon UV exposure. Such UV exposure may occur during the subsequent plasma street etch.
  • FIG. 2 is a flow diagram illustrating a method 200 for spin coating water soluble ex-situ mask layer onto a substrate to be diced which is performed at operation 101 , in accordance with an embodiment of the present invention.
  • Method 200 begins with a substrate loaded onto a spin coat system or transferred into a spin coat module of an integrated platform.
  • an aqueous solution of a water soluble polymer is spun over substrate 406 .
  • design of the aqueous solution is constrained to a maximum film thickness and thermal stability is a concern.
  • the maximum thickness of the mask 402 and in particular the water soluble layer, is limited by the ability of a laser to pattern through the masking by ablation.
  • the mask 402 may be much thicker over the ICs 425 , 426 and or edges of the street 427 where no street pattern is to be formed by ablation.
  • FIG. 5A illustrates an expanded cross-sectional view 500 of plasma deposited polymer mask layer 402 B applied over a water soluble mask layer 402 A with the water soluble mask layer 402 A in contact with a top surface of the IC 426 and the street 427 , in accordance with embodiments of the present invention.
  • the substrate 406 has a top surface 503 upon which thin film device layers are disposed which is opposite a bottom surface 502 which interfaces with the DAF 408 ( FIG. 4A ).
  • the thin film device layer materials may include, but are not limited to, organic materials (e.g., polymers), metals, or inorganic dielectrics such as silicon dioxide and silicon nitride.
  • the exemplary thin film device layers illustrated in FIG. 5 include a silicon dioxide layer 504 , a silicon nitride layer 505 , copper interconnect layers 508 with low- ⁇ (e.g., less than 3.5) or ultra low- ⁇ (e.g., less than 3.0) interlayer dielectric layers (ILD) such as carbon doped oxide (CDO) disposed there between.
  • a top surface of the IC 426 includes a bump 512 , typically copper, surrounded by a passivation layer 511 , typically a polyimide (PI) or similar polymer.
  • the bump 512 and passivation layer 511 therefore make up a top surface of the IC with the thin film device layers forming subsurface IC layers.
  • the bump 512 extends from a top surface of the passivation layer 511 by a bump height H B which in the exemplary embodiments ranges between 10 ⁇ m and 50 ⁇ m.
  • the maximum thickness of the mask 402 in the street, T max is generally a function of the laser power and optical conversion efficiency associated with laser wavelength. As T max is associated with the street 427 , street feature topography, street width, and the method of applying the water soluble layer may be designed to achieve a desired T max .
  • the mask 402 has a street thickness T max less than 30 ⁇ m and advantageously less than 20 ⁇ m with a thicker etch mask calling for multiple laser passes.
  • the minimum thickness of the mask 402 is a function of the selectivity achieved by the subsequent plasma etch (e.g., operation 105 in FIG. 1 ).
  • the spin and dispense parameters for the spin coating operation 204 may have only a small process window where T max at the street is maintained below 20 ⁇ m while a top surface of the bump (which in an embodiment has a H B of at least 50 ⁇ m) is covered with a minimum mask thickness T min , which is a function of the etch selectivity to the water soluble mask material layer.
  • Poly(vinyl alcohol) (PVA) for example, has been found to provide an etch rate of between 1 ⁇ m/min and 1.5 ⁇ m/min for the exemplary silicon plasma etch processes described elsewhere herein for an etch rate selectivity of approximately 1:20 (PVA: silicon).
  • the minimum thickness over a top bump surface of an IC e.g., T min in FIG. 5
  • the plasma etch depth D E which is both a function of the thickness of the substrate T Sub and laser scribe depth D L .
  • T min is at least 3 ⁇ m and would advantageously be at least 6 ⁇ m to provide sufficient margin for D E of at least 100 ⁇ m.
  • T MIN values may not be consistent with the T MAX constraint.
  • the in-situ plasma deposited polymer mask layer 402 B is deposited to a thickness sufficient to achieve the T min necessary to protect the bump 512 .
  • the aqueous solution is dried, for example on a hot plate.
  • the drying temperature and time should be selected to avoid excessive crosslinking which may render subsequent mask removal difficult.
  • Exemplary drying temperatures range from 60° C. to 150° C. depending on the material. For example, PVA was found to remain soluble at 60° C. while becoming more insoluble as the temperature approached the 150° C. limit of the range.
  • Completing spin coating method 200 the substrate is unloaded or transferred in-vaccuo to a plasma etch chamber for in-situ plasma deposition of the second mask material or to a laser scribe module (returning to method 100 illustrated in FIG. 1A or method 150 illustrated in FIG. 1B , respectively).
  • in-vaccuo transfer is particularly advantageous to avoid mask delamination during etch of the substrate.
  • plasma deposition of the polymeric material may proceed in manner known in the art.
  • the plasma deposition process at operation 102 proceeds with source gases and plasma conditions substantially the same those employed during a deposition cycle utilized during the subsequent substrate etch process.
  • source gases include fluorocarbons, such as, but not limited to, C 4 F 8 and C 4 F 6 to deposit a C x F y polymer over substrate surfaces.
  • the source gas may be a fluorinated hydrocarbon (CH x F y ) with x and y varying and the exemplary embodiment being CH 2 F 2 to deposit a C x H y F z polymer over substrate surfaces.
  • Process pressure and plasma power may both vary as a matter of design choice as a function of source gas with higher pressures increasing deposition rates. Exemplary process pressures range between 20 mTorr and 200 mTorr. Plasma power may range between 2 kW and 6 kW source (top) power with typically no bias (bottom) power applied.
  • the substrate temperature and more specifically any water soluble layer disposed on the substrate can be maintained at sufficiently low temperatures to retain water solubility of the water soluble material.
  • cooling power is applied during plasma deposition of polymer at operation 102 via an electrostatic chuck (ESC) chilled to ⁇ 10° C. to ⁇ 15° C. to maintain the water soluble mask material layer at a temperature below 100° C. and preferably between 70° C. and 80° C. throughout the duration of the plasma deposition process.
  • ESC electrostatic chuck
  • the plasma deposited polymer will provide an etch selectivity of between 1:20 and 1:30 (polymer:substrate).
  • a hard bake at a temperature over 150° C. may be necessary and such a high bake temperature would be disadvantageous for embodiments employing a water soluble layer (e.g., causing excessive crosslinking) in contact with the ICs 425 , 426 .
  • the minimum thickness over a top bump surface of an IC (e.g., T min in FIG. 5 ) may be determined by the plasma etch depth D E which is both a function of the thickness of the substrate T Sub and laser scribe depth D L .
  • T min is at least 2 ⁇ m and advantageously at least 4 ⁇ m to provide sufficient margin for D E of at least 100 ⁇ m.
  • the masking polymer may be plasma deposited to a thickness (T min ) of 10 ⁇ m, or more. Ideally, the thickness of the plasma deposited polymer is such that it is almost completely consumed during the subsequent substrate etch process, to simplify post-etch mask removal.
  • the mask 402 is unpatterned prior to the laser scribing operation 103 with the laser scribe to perform a direct writing of the scribe lines by ablating portions of the mask 402 (e.g., water soluble layer 402 A and plasma deposited layer 402 B) disposed over the street 427 .
  • the mask 402 is patterned by ablation with a laser scribing process forming trenches 412 , extending the subsurface thin film device layers, and exposing regions of the substrate 406 between the ICs 425 , 426 .
  • patterning the mask 402 with the laser-based scribing process includes forming trenches 414 partially into the regions of the substrate 406 between the ICs 425 , 426 , as depicted in FIG. 4B .
  • the laser scribing depth D L is approximately in the range of 5 ⁇ ms to 50 ⁇ ms deep, advantageously in the range of 10 ⁇ ms to 20 ⁇ ms deep, depending on the thickness T F of the passivation layer 511 and subsurface thin film device layers and thickness T max plasma deposited polymer mask material and any additional material layer included as part of the mask 402 (e.g., water soluble layer).
  • the mask 402 is patterned with a laser having a pulse width (duration) in the femtosecond range (i.e., 10 ⁇ 15 seconds), referred to herein as a femtosecond laser.
  • Laser parameters selection such as pulse width, may be critical to developing a successful laser scribing and dicing process that minimizes chipping, microcracks and delamination in order to achieve clean laser scribe cuts.
  • a laser pulse width in the femtosecond range advantageously mitigates heat damage issues relative longer pulse widths (e.g., picosecond or nanosecond).
  • a femtosecond energy source avoids low energy recoupling mechanisms present for picosecond sources and provides for greater thermal nonequilibrium than does a nanosecond-source.
  • the various thin film device layer materials present in the street 427 behave quite differently in terms of optical absorption and ablation mechanisms.
  • dielectrics layers such as silicon dioxide, is essentially transparent to all commercially available laser wavelengths under normal conditions.
  • metals, organics (e.g., low- ⁇ materials) and silicon can couple photons very easily, particularly nanosecond-based or picosecond-based laser irradiation.
  • laser irradiation of the street 427 may disadvantageously cause delamination.
  • a laser penetrating through high bandgap energy dielectrics such as silicon dioxide with an approximately of 9 eV bandgap
  • high bandgap energy dielectrics such as silicon dioxide with an approximately of 9 eV bandgap
  • the vaporization may generate high pressures potentially causing severe interlayer delamination and microcracking.
  • Femtosecond-based laser irradiation processes have been demonstrated to avoid or mitigate such microcracking or delamination of such material stacks.
  • Parameters for a femtosecond laser-based process may be selected to have substantially the same ablation characteristics for the inorganic and organic dielectrics, metals, and semiconductors.
  • the absorptivity/absorptance of silicon dioxide is non-linear and may be brought more in-line with that of organic dielectrics, semiconductors and metals.
  • a high intensity and short pulse width femtosecond-based laser process is used to ablate a stack of thin film layers including a silicon dioxide layer and one or more of an organic dielectric, a semiconductor, or a metal.
  • suitable femtosecond-based laser processes are characterized by a high peak intensity (irradiance) that usually leads to nonlinear interactions in various materials.
  • the femtosecond laser sources have a pulse width approximately in the range of 50 femtoseconds to 500 femtoseconds, although preferably in the range of 100 femtoseconds to 400 femtoseconds.
  • the laser emission spans any combination of the visible spectrum, the ultra-violet (UV), and/or infra-red (IR) spectrums for a broad or narrow band optical emission spectrum. Even for femtosecond laser ablation, certain wavelengths may provide better performance than others. For example, in one embodiment, a femtosecond-based laser process having a wavelength closer to or in the UV range provides a cleaner ablation process than a femtosecond-based laser process having a wavelength closer to or in the IR range.
  • UV ultra-violet
  • IR infra-red
  • a femtosecond laser suitable for semiconductor substrate or substrate scribing is based on a laser having a wavelength of approximately between 1570-200 nanometers although preferably in the range of 540 nanometers to 250 nanometers.
  • pulse widths are less than or equal to 500 femtoseconds for a laser having a wavelength less than or equal to 540 nanometers.
  • dual laser wavelengths e.g., a combination of an IR laser and a UV laser
  • the laser and associated optical pathway provide a focal spot at the work surface approximately in the range of 3 ⁇ m to 15 ⁇ m, though advantageously in the range of 5 ⁇ m to 10 ⁇ m.
  • the spatial beam profile at the work surface may be a single mode (Gaussian) or have a beam shaped top-hat profile.
  • the laser source has a pulse repetition rate approximately in the range of 200 kHz to 10 MHz, although preferably approximately in the range of 500 kHz to 5 MHz
  • the laser source delivers pulse energy at the work surface approximately in the range of 0.5 ⁇ J to 100 ⁇ J, although preferably approximately in the range of 1 ⁇ J to 5 ⁇ J.
  • the laser scribing process runs along a work piece surface at a speed approximately in the range of 300 mm/sec to 5 m/sec, although preferably approximately in the range of 600 mm/sec to 2 m/sec.
  • the scribing process may be run in single pass only, or in multiple passes, but is advantageously no more than two passes.
  • the laser may be applied either in a train of single pulses at a given pulse repetition rate or a train of pulse bursts.
  • the kerf width of the laser beam generated is approximately in the range of 2 ⁇ M to 15 ⁇ m, although in silicon substrate scribing/dicing preferably approximately in the range of 6 ⁇ m to 10 ⁇ m, as measured at a device/silicon interface.
  • the substrate 406 is exposed to a plasma 416 to etch through the trenches 412 in the mask 402 to singulate the ICs 426 at operation 105 .
  • the substrate is etched in the same chamber that performed the plasma mask deposition operation 102 .
  • etching the substrate 406 at operation 105 includes etching the trenches 412 formed with the femtosecond-based laser scribing process to ultimately etch entirely through substrate 406 , as depicted in FIG. 4C .
  • the etch operation 105 entails a through via etch process.
  • the etch rate of the material of substrate 406 is greater than 25 ⁇ ms per minute.
  • a high-density plasma source operating at high powers may be used for the plasma etching operation 105 . Exemplary powers range between 3 kW and 6 kW, or more.
  • a deep silicon etch i.e., such as a through silicon via (TSV) etch
  • TSV through silicon via
  • Effects of the high power on any water soluble material layer present in the mask 402 are controlled through application of cooling power via an electrostatic chuck (ESC) chilled to ⁇ 10° C. to ⁇ 15° C. to maintain the water soluble mask material layer at a temperature below 100° C. and preferably between 70° C. and 80° C. throughout the duration of the plasma etch process. At such temperatures, water solubility is advantageously maintained.
  • ESC electrostatic chuck
  • the plasma etch operation 105 further entails a plurality of protective polymer deposition cycles interleaved over time with a plurality of etch cycles.
  • the deposition time to etch time ratio is typically 1:1 to 1:1.4.
  • the etch process may have a deposition cycle with a duration of 250 ms-750 ms and an etch cycle of 250 ms-750 ms.
  • polymer will accumulate only on vertical surfaces, such as the trench sidewalls while the polymer mask material deposited on horizontal surfaces at operation 102 is consumed or eroded during the substrate etch operation 105 .
  • an etching process chemistry employing for example SF 6 for the exemplary silicon etch embodiment, is alternated with a deposition process chemistry, employing a polymerizing fluorocarbon (C x F y ) gas such as, but not limited to, C 4 F 6 or C 4 F 8 or fluorinated hydrocarbon (CH x F y with x>1).
  • a polymerizing fluorocarbon (C x F y ) gas such as, but not limited to, C 4 F 6 or C 4 F 8 or fluorinated hydrocarbon (CH x F y with x>1).
  • the same polymerizing gas chemistry is the same as that for the masking operation 102 . For example, as illustrated in FIG.
  • etch operation 105 forms the same polymer mask layer 402 B on the sidewalls of the etched trench and also etches away the polymer mask layer 402 B (illustrated as being thinner than in FIG. 4A ).
  • Process pressures may further be alternated between etch and deposition cycles to favor each in the particular cycle, as known in the art.
  • method 300 is completed with removal of the mask 402 , including the in-situ deposited layer.
  • a water soluble mask layer is washed off with water, for example with a pressurized jet of de-ionized water or through submergence in an ambient or heated water bath.
  • the mask 402 may be washed off with aqueous solvent solutions known in the art to be effective for etch polymer removal.
  • either of the plasma singulation operation 105 or mask removal process at operation 107 may further pattern the die attach film 908 , exposing the top portion of the backing tape 910 .
  • FIG. 1B is a flow diagram illustrating a hybrid laser ablation-plasma etch singulation method 150 with a plasma deposited mask material formed at operation 102 subsequent to the laser scribing operation 103 , in accordance with an embodiment of the present invention.
  • FIG. 5B illustrates a cross-sectional view 550 of a plasma deposited polymer mask applied over a water soluble mask subsequent to laser scribing, in accordance with embodiments of the present invention.
  • the ex-situ mask material layer e.g., layer 402 A in FIG.
  • 5B is to serve as a contamination protection layer and also a basis for an ablated trench of sufficiently high aspect (AR) that there is a significant differential in the thickness of plasma deposited polymer between a top surface of the IC and the bottom surface of the laser scribed trench exposing the substrate.
  • This differential in plasma deposited polymer then enables a break through etch to clear the deposited polymer from the trench bottom while sufficient deposited polymer remains to mask the ICs.
  • an ex-situ mask (e.g., a water soluble material or any of the materials describe elsewhere herein) is formed by any of the techniques previously described.
  • PVA is spin-coated over the substrate to a thickness of between 2 ⁇ m and 12 ⁇ m.
  • the ex-situ mask layer is ablated by the laser along with the thin film device layers 504 , 505 , 506 , 507 , 508 and 511 in the street 427 , as previously described in the context of FIG. 1A .
  • the aspect ratio (AR) of the scribed trench is laser scribed depth D L divided by the width of trench 412 .
  • the AR may be anywhere between 1.5:1 and 5:1.
  • the substrate is loaded into an etch chamber and an in-situ plasma deposition of polymer is performed substantially as described elsewhere herein.
  • the AR of the scribed trench drives a significant differential in the thickness of plasma deposited polymer at a top surface of the bump 512 (T min ) and thickness of plasma deposited polymer at the bottom surface of the laser scribed trench 412 (T barrier ).
  • T min ⁇ T barrier This differential in plasma deposited polymer then enables a break through etch to clear the deposited polymer from the trench bottom while sufficient deposited polymer is retained outside of the trench to mask the ICs.
  • T barrier is less than 0.5 ⁇ m.
  • the substrate is plasma etched (e.g., in the same chamber which performed the mask deposition operation 102 ) first with a polymer breakthrough to clear the polymer deposited in the scribed trench (without clearing the thicker polymer layer deposited outside of the trench) and second with a substrate etch employing any of the techniques and conditions described elsewhere herein for the method 100 .
  • the polymer breakthrough step entails a higher bias power than utilized during the main etch/dep sequenced anisotropic etch process.
  • FIG. 1C is a flow diagram illustrating a hybrid laser ablation-plasma etch singulation method 190 having a plasma deposited mask material formed dynamically with etch of the substrate, in accordance with an embodiment of the present invention.
  • an ex-situ mask e.g., a water soluble material or any of the materials describe elsewhere herein
  • PVA is spin-coated over the substrate to a thickness of between 2 ⁇ m and 12 ⁇ m.
  • the ex-situ mask is ablated by the laser along with the thin film device layers 504 , 505 , 506 , 507 , 508 and 511 in the street 427 , as previously described in the context of FIG. 1A .
  • the substrate is loaded into a etch chamber and the substrate is plasma etched.
  • the polymer mask e.g., layer 402 B in FIG. 4C
  • This instaneously in-situ deposited mask may achieve a high aspect trench etch with very high selectivity to the underlying mask layer 402 A (e.g., water soluble mask material) at the cost of a slight reduction in substrate etch rate.
  • throughput may still improve relative to the methods 100 and 150 .
  • the substrate etch operation 105 generally employs an iterative or cyclic dep/etch process (e.g., same source gases) similar to those described in the context of the methods 100 and 150 . However in one embodiment of method 190 , the operation 105 leads off with a deposition cycle (rather than an etch cycle). In a further embodiment, the ratio of deposition time to etch time is relatively higher (e.g., dep time:etch time ratio is greater than 1:1 and more particularly between 1.2:1 and 2:1).
  • each etch step is typically partitioned into two sub-steps, with the first sub etch step being directional etch to etch the deposited polymer and silicon on the trench bottom by applying 100-200 W bias power, and the second sub etch step being isotropic etch to etch polymer and silicon isotropically with no bias power being applied.
  • the ratio of the first sub etch step time to the second sub etch step time can be also adjusted to better control the consumption of mask layer on top of wafer surface.
  • the spin coating method 200 may be performed prior to, or subsequent to, a backside grind (BSG).
  • BSG backside grind
  • spin coating is generally an accomplished technique for substrates having a conventional thickness of 750 ⁇ m the spin coating method 200 may be advantageously performed prior to backside grind.
  • the spin coating method 200 is performed subsequent to the backside grind, for example by supporting both the thin substrate and taped frame upon a rotatable chuck.
  • FIG. 3 is a flow diagram illustrating a method 300 for applying a water soluble layer to a substrate to be diced prior to wafer thinning.
  • Method 300 begins with receiving a bumped and passivated substrate.
  • the water soluble mask layer e.g., mask layer 402 A
  • Operation 304 may therefore entail the spin coating method 200 , as described elsewhere herein.
  • frontside tape is formed over the water soluble mask layer. Any conventional frontside tape, such as, but not limited to UV-tape, may be applied over the water soluble mask layer.
  • the substrate is thinned from the backside, for example by grinding the bottom surface 501 of the substrate 406 illustrated in FIG. 5 .
  • a backside support 411 is added to the thinned substrate.
  • the backside tape 410 may be applied and the frontside tape then removed to expose the water soluble mask layer.
  • Method 300 then returns to operation 103 ( FIG. 1 ) to complete method 100 , in accordance with an embodiment of the present invention.
  • FIG. 6 illustrates a block diagram of a cluster tool 606 coupled with laser scribe apparatus 610 for laser and plasma dicing of substrates, in accordance with an embodiment of the present invention.
  • the cluster tool 606 is coupled to a factory interface 602 (FI) having a plurality of load locks 604 .
  • the factory interface 602 may be a suitable atmospheric port to interface between an outside manufacturing facility with laser scribe apparatus 610 and cluster tool 606 .
  • the factory interface 602 may include robots with arms or blades for transferring substrates (or carriers thereof) from storage units (such as front opening unified pods) into either cluster tool 606 or laser scribe apparatus 610 , or both.
  • a laser scribe apparatus 610 is also coupled to the FI 602 .
  • the laser scribe apparatus 610 includes a femtosecond laser.
  • the femtosecond laser to performing the laser ablation portion of the hybrid laser and etch singulation process 100 .
  • a moveable stage is also included in laser scribe apparatus 610 , the moveable stage configured for moving a substrate or substrate (or a carrier thereof) relative to the femtosecond-based laser.
  • the femtosecond laser is also moveable.
  • the cluster tool 606 includes one or more plasma etch chambers 608 coupled to the FI by a robotic transfer chamber 650 housing a robotic arm for in-vaccuo transfer of substrates.
  • the plasma etch chambers 608 is suitable for both the plasma etch portion of the hybrid laser and etch singulation process 100 and to deposit a polymer mask over the substrate.
  • the plasma etch chamber 608 is further coupled to an SF 6 gas source and at least one of a C 4 F 8 , C 4 F 6 , or CH 2 F 2 source.
  • the one or more plasma etch chambers 608 is an Applied Centura® Silvi a TM Etch system, available from Applied Materials of Sunnyvale, Calif., USA, although other suitable etch systems are also available commercially.
  • more than one plasma etch chamber 608 is included in the cluster tool 606 portion of integrated platform 600 to enable high manufacturing throughput of the singulation or dicing process.
  • the cluster tool 606 may include other chambers suitable for performing functions in the hybrid laser ablation-plasma etch singulation process 100 .
  • a wet process module 614 is coupled to the robotic transfer module 650 to wash off a remainder of a water soluble mask and/or plasma deposited polymer after plasma etching the substrate.
  • the wet process module 614 may include for example a pressurized water spray jet or other solvent dispenser.
  • the deposition module 612 is a spin coating module for application of the water soluble mask layer described herein.
  • the deposition module 612 may include a rotatable chuck adapted to clamp by vacuum, or otherwise, a thinned substrate mounted on a carrier such as backing tape mounted on a frame.
  • FIG. 7 illustrates a computer system 700 within which a set of instructions, for causing the machine to execute one or more of the scribing methods discussed herein may be executed, for example to analyze a reflected light from a tag to identify at least one micromachine artifact.
  • the exemplary computer system 700 includes a processor 702 , a main memory 704 (e.g., read-only memory (ROM), flash memory, dynamic random access memory (DRAM) such as synchronous DRAM (SDRAM) or Rambus DRAM (RDRAM), etc.), a static memory 706 (e.g., flash memory, static random access memory (SRAM), etc.), and a secondary memory 718 (e.g., a data storage device), which communicate with each other via a bus 730 .
  • main memory 704 e.g., read-only memory (ROM), flash memory, dynamic random access memory (DRAM) such as synchronous DRAM (SDRAM) or Rambus DRAM (RDRAM), etc.
  • DRAM dynamic random access memory
  • Processor 702 represents one or more general-purpose processing devices such as a microprocessor, central processing unit, or the like. More particularly, the processor 702 may be a complex instruction set computing (CISC) microprocessor, reduced instruction set computing (RISC) microprocessor, very long instruction word (VLIW) microprocessor, etc. Processor 702 may also be one or more special-purpose processing devices such as an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), a digital signal processor (DSP), network processor, or the like. Processor 702 is configured to execute the processing logic 726 for performing the operations and steps discussed herein.
  • CISC complex instruction set computing
  • RISC reduced instruction set computing
  • VLIW very long instruction word
  • Processor 702 may also be one or more special-purpose processing devices such as an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), a digital signal processor (DSP), network processor, or the like.
  • ASIC application specific integrated circuit
  • FPGA field programm
  • the computer system 700 may further include a network interface device 708 .
  • the computer system 700 also may include a video display unit 710 (e.g., a liquid crystal display (LCD) or a cathode ray tube (CRT), an alphanumeric input device 712 (e.g., a keyboard), a cursor control device 714 (e.g., a mouse), and a signal generation device 716 (e.g., a speaker).
  • a video display unit 710 e.g., a liquid crystal display (LCD) or a cathode ray tube (CRT)
  • an alphanumeric input device 712 e.g., a keyboard
  • a cursor control device 714 e.g., a mouse
  • a signal generation device 716 e.g., a speaker
  • the secondary memory 718 may include a machine-accessible storage medium (or more specifically a computer-readable storage medium) 731 on which is stored one or more sets of instructions (e.g., software 722 ) embodying any one or more of the methodologies or functions described herein.
  • the software 722 may also reside, completely or at least partially, within the main memory 704 and/or within the processor 702 during execution thereof by the computer system 700 , the main memory 704 and the processor 702 also constituting machine-readable storage media.
  • the software 722 may further be transmitted or received over a network 720 via the network interface device 708 .
  • the machine-accessible storage medium 731 may also be used to store pattern recognition algorithms, artifact shape data, artifact positional data, or particle sparkle data. While the machine-accessible storage medium 731 is shown in an exemplary embodiment to be a single medium, the term “machine-readable storage medium” should be taken to include a single medium or multiple media (e.g., a centralized or distributed database, and/or associated caches and servers) that store the one or more sets of instructions. The term “machine-readable storage medium” shall also be taken to include any medium that is capable of storing or encoding a set of instructions for execution by the machine and that cause the machine to perform any one or more of the methodologies of the present invention. The term “machine-readable storage medium” shall accordingly be taken to include, but not be limited to, solid-state memories, and optical and magnetic media.

Abstract

Methods of dicing substrates by both laser scribing and plasma etching. A method includes forming an in-situ mask with a plasma etch chamber by accumulating a thickness of plasma deposited polymer to protect IC bump surfaces from a subsequent plasma etch. Second mask materials, such as a water soluble mask material may be utilized along with the plasma deposited polymer. At least some portion of the mask is patterned with a femtosecond laser scribing process to provide a patterned mask with trenches. The patterning exposing regions of the substrate between the ICs in which the substrate is plasma etched to singulate the IC and the water soluble material layer washed off.

Description

  • This is a Divisional application of Ser. No. 13/160,973 filed Jun. 15, 2011, which is presently pending.
  • TECHNICAL FIELD
  • Embodiments of the present invention pertain to the field of semiconductor processing and, in particular, to masking methods for dicing substrates, each substrate having an integrated circuit (IC) thereon.
  • BACKGROUND DESCRIPTION OF RELATED ART
  • In semiconductor substrate processing, ICs are formed on a substrate (also referred to as a wafer), typically composed of silicon or other semiconductor material. In general, thin film layers of various materials which are either semiconducting, conducting or insulating are utilized to form the ICs. These materials are doped, deposited and etched using various well-known processes to simultaneously form a plurality of ICs, such as memory devices, logic devices, photovoltaic devices, etc, in parallel on a same substrate.
  • Following device formation, the substrate is mounted on a supporting member such as an adhesive film stretched across a film frame and the substrate is “diced” to separate each individual device or “die” from one another for packaging, etc. Currently, the two most popular dicing techniques are scribing and sawing. For scribing, a diamond tipped scribe is moved across a substrate surface along pre-formed scribe lines. Upon the application of pressure, such as with a roller, the substrate separates along the scribe lines. For sawing, a diamond tipped saw cuts the substrate along the streets. For thin substrate singulation, such as 50-150 μms (μm) thick bulk silicon singulation, the conventional approaches have yielded only poor process quality. Some of the challenges that may be faced when singulating die from thin substrates may include microcrack formation or delamination between different layers, chipping of inorganic dielectric layers, retention of strict kerf width control, or precise ablation depth control.
  • While plasma dicing has also been contemplated, a standard lithography operation for patterning resist may render implementation cost prohibitive. Another limitation possibly hampering implementation of plasma dicing is that plasma processing of commonly encountered metals (e.g., copper) in dicing along streets can create production issues or throughput limits. Finally, masking of the plasma dicing process may be problematic, depending on, inter alia, the thickness and top surface topography of the substrate, the selectivity of the plasma etch, and the materials present on the top surface of the substrate.
  • SUMMARY
  • Embodiments of the present invention include methods of masking semiconductor substrates for a hybrid dicing process including both laser scribing and plasma etching.
  • In an embodiment, a method of dicing a semiconductor substrate having a plurality of ICs includes forming a mask over the semiconductor substrate, the mask including a plasma deposited material covering and protecting the ICs. At least a portion of the mask thickness in the street is patterned with a laser scribing process to provide a patterned mask with gaps or trenches, exposing regions of the substrate between the ICs. The substrate is then plasma etched through the gaps in the patterned mask to singulate the ICs into chips.
  • In another embodiment, a system for dicing a semiconductor substrate includes a femtosecond laser and a plasma etch chamber, coupled to a same platform. The plasma etch chamber is utilized both for plasma etching of the substrate and for in-situ deposition of a polymeric masking material.
  • In another embodiment, a method of dicing a substrate having a plurality of ICs includes forming a water soluble mask layer over a front side of a silicon substrate. The water soluble mask layer covers and protects a majority of IC surfaces disposed on the front side of the substrate. The ICs include a copper bumped top surface having bumps surrounded by a passivation layer, such as polyimide (PI). Subsurface thin films below the bumps and passivation include a low-K interlayer dielectric (ILD) layer and a layer of copper interconnect. The water soluble material, the passivation layer, and subsurface thin films are patterned with a femtosecond laser scribing process to expose regions of the silicon substrate between the ICs. The water soluble material thickness is augmented with a polymeric mask material plasma deposited prior to the plasma etch in-situ with the etch chamber that is to perform the substrate etch. The silicon substrate is etched through with a deep silicon plasma etch process to singulate the ICs. The water soluble layer and in-situ deposited polymeric mask materials are then washed off in water or other solvent suitable for removal of etch polymer residue.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Embodiments of the present invention are illustrated by way of example, and not limitation, in the figures of the accompanying drawings in which:
  • FIG. 1A is a flow diagram illustrating a hybrid laser ablation-plasma etch singulation method with a plasma deposited mask material formed prior to laser scribing, in accordance with an embodiment of the present invention;
  • FIG. 1B is a flow diagram illustrating a hybrid laser ablation-plasma etch singulation method with a plasma deposited mask material formed subsequent to laser scribing, in accordance with an embodiment of the present invention;
  • FIG. 1C is a flow diagram illustrating a hybrid laser ablation-plasma etch singulation method with a plasma deposited mask material formed dynamically with etch of the substrate, in accordance with an embodiment of the present invention;
  • FIG. 2 is a flow diagram illustrating a method of applying a water soluble mask layer as an ex-situ mask, in accordance with an embodiment of the present invention;
  • FIG. 3 is a flow diagram illustrating a method of applying a water soluble mask layer to a substrate to be diced prior to wafer thinning, in accordance with an embodiment of the present invention;
  • FIG. 4A illustrates a cross-sectional view of a semiconductor substrate including a plurality of ICs corresponding to operation 102 of the dicing method illustrated in FIG. 1A, in accordance with an embodiment of the present invention;
  • FIG. 4B illustrates a cross-sectional view of a semiconductor substrate including a plurality of ICs corresponding to operation 103 of the dicing method illustrated in FIG. 1A, in accordance with an embodiment of the present invention;
  • FIG. 4C illustrates a cross-sectional view of a semiconductor substrate including a plurality of ICs corresponding to operation 105 of the dicing method illustrated in FIG. 1A, in accordance with an embodiment of the present invention;
  • FIG. 4D illustrates a cross-sectional view of a semiconductor substrate including a plurality of ICs corresponding to operation 107 of the dicing method illustrated in FIG. 1A, in accordance with an embodiment of the present invention;
  • FIG. 5A illustrates a cross-sectional view of plasma deposited polymer mask applied over a water soluble mask prior to laser scribing, in accordance with embodiments of the present invention;
  • FIG. 5B illustrates a cross-sectional view of a plasma deposited polymer mask applied over a water soluble mask subsequent to laser scribing, in accordance with embodiments of the present invention;
  • FIG. 6 illustrates a block diagram of an integrated platform layout for laser and plasma dicing of substrates with an integrated wet station for mask removal, in accordance with an embodiment of the present invention; and
  • FIG. 7 illustrates a block diagram of an exemplary computer system which controls automated performance of one or more operation in the masking, laser scribing, plasma dicing methods described herein, in accordance with an embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Methods of dicing substrates, each substrate having a plurality of ICs thereon, are described. In the following description, numerous specific details are set forth, such as femtosecond laser scribing and deep silicon plasma etching conditions in order to describe exemplary embodiments of the present invention. However, it will be apparent to one skilled in the art that embodiments of the present invention may be practiced without these specific details. In other instances, well-known aspects, such as IC fabrication, substrate thinning, taping, etc., are not described in detail to avoid unnecessarily obscuring embodiments of the present invention. Reference throughout this specification to “an embodiment” means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention. Thus, the appearances of the phrase “in an embodiment” in various places throughout this specification are not necessarily referring to the same embodiment of the invention. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments. Also, it is to be understood that the various exemplary embodiments shown in the Figures are merely illustrative representations and are not necessarily drawn to scale.
  • The terms “coupled” and “connected,” along with their derivatives, may be used herein to describe structural relationships between components. It should be understood that these terms are not intended as synonyms for each other. Rather, in particular embodiments, “connected” may be used to indicate that two or more elements are in direct physical or electrical contact with each other. “Coupled” my be used to indicate that two or more elements are in either direct or indirect (with other intervening elements between them) physical or electrical contact with each other, and/or that the two or more elements co-operate or interact with each other (e.g., as in a cause an effect relationship).
  • The terms “over,” “under,” “between,” and “on” as used herein refer to a relative position of one material layer with respect to other material layers. As such, for example, one layer disposed over or under another layer may be directly in contact with the other layer or may have one or more intervening layers. Moreover, one layer disposed between two layers may be directly in contact with the two layers or may have one or more intervening layers. In contrast, a first layer “on” a second layer is in contact with that second layer. Additionally, the relative position of one layer with respect to other layers is provided assuming operations are performed relative to a substrate without consideration of the absolute orientation of the substrate.
  • Generally, described herein is a hybrid substrate or substrate dicing process involving an initial laser scribe and subsequent plasma etch for die singulation is implemented with an etch mask including a plasma deposited material layer. For certain embodiments where plasma deposition of the mask layer and plasma etching of the substrate for singulation is performed by/occurs within a same plasma chamber, plasma deposition of the mask layer is referred to herein as “in-situ” while mask materials not form by the plasma etch chamber are referred to herein as “ex-situ.” The laser scribe process may be used to cleanly remove at least a partial thickness of an unpatterned (i.e., blanket) mask layer, a passivation layer, and subsurface thin film device layers. The laser etch process may then be terminated upon exposure of, or partial ablation of, the substrate. The plasma etch portion of the hybrid dicing process may then be employed to etch through the bulk of the substrate, such as through bulk single crystalline silicon, for singulation or dicing of chips.
  • In accordance with an embodiment of the present invention, a combination of femtosecond laser scribing and plasma etching is used to dice a semiconductor substrate into individualized or singulated ICs. In one embodiment, femtosecond laser scribing is an essentially, if not completely, non-equilibrium process. For example, the femtosecond-based laser scribing may be localized with a negligible thermal damage zone. In an embodiment, laser scribing is used to singulated ICs having ultra-low κ films (i.e., with a dielectric constant below 3.0). In one embodiment, direct writing with laser eliminates a lithography patterning operation, allowing the masking material to be non-photosensitive, and a plasma etch-based dicing processing implemented with very little cost to partition the substrate. In one embodiment, through silicon via (TSV)-type etching is used to complete the dicing process in a plasma etch chamber; the TSV-type etch depositing on sidewalls of the trench substantially the same material plasma deposited on a topside of the ICs to as the etch mask.
  • FIG. 1A is a flow diagram illustrating a hybrid laser ablation-plasma etch singulation method with a plasma deposited mask material formed prior to laser scribing, in accordance with an embodiment of the present invention. FIGS. 4A-4D illustrate cross-sectional views of a substrate 406 including first and second ICs 425, 426 corresponding to the operations in method 100, in accordance with an embodiment of the present invention.
  • Method 100 begins with receipt of a substrate with ICs formed thereon. Referring to FIG. 4A, substrate 406 is composed of any material suitable to withstand a fabrication process of the thin film device layers formed thereon. For example, in one embodiment, substrate 406 is a group IV-based material such as, but not limited to, monocrystalline silicon, germanium or silicon/germanium. In another embodiment, substrate 406 is a III-V material such as, e.g., a III-V material substrate used in the fabrication of light emitting diodes (LEDs). During device fabrication, the substrate 406 is typically 600 μm-800 μm thick, but as illustrated in FIG. 4A has been thinned to 50 μm to 100 μm with the thinned substrate now supported by a carrier 411, such as a backing tape 410 stretched across a fame (not illustrate) and adhered to a backside of the substrate with a die attach film (DAF) 408.
  • In embodiments, first and second ICs 425, 426 include memory devices or complimentary metal-oxide-semiconductor (CMOS) transistors fabricated in a silicon substrate 406 and encased in a dielectric stack. A plurality of metal interconnects may be formed above the devices or transistors, and in surrounding dielectric layers, and may be used to electrically couple the devices or transistors to form the ICs 425, 426. Materials making up the street 427 may be similar to or the same as those materials used to form the ICs 425, 426. For example, street 427 may include thin film layers of dielectric materials, semiconductor materials, and metallization. In one embodiment, the street 427 includes a test device similar to the ICs 425, 426. The width of the street 427 may be anywhere between 10 μm and 100 μm.
  • At operation 102, a mask 402 including a plasma deposited layer is formed over substrate 406, covering both the ICs 425, 426 and intervening street 427 between the ICs 425, 426. In an embodiment, forming the mask 402 includes plasma depositing a polymer over the substrate. For certain in-situ embodiments, where the plasma etch is to be a deep trench etch process having a plurality of successive etch and deposition cycles, each deposition cycle during the etch operation 105 deposits an additional amount of substantially the same polymer formed during the masking operation 102. However, whereas a typical deep trench etch process is performed with a lithographically defined photo resist mask and does not dynamically accumulate polymer on non-vertical (e.g., horizontal) surfaces during etch, the plasma deposition at operation 102 is to replace a photo resist mask and therefore is performed for a sufficient duration prior to commencement of substrate etching so as to accumulate a polymer protection layer over horizontal surfaces (e.g., top surfaces) of the ICs 425, 426.
  • Depending on the embodiment, the mask 402 either consists only of the plasma deposited polymer layer formed at operation 102 or, as illustrated by the dashed line in FIG. 4A, the mask 402 includes multiple distinct material layers 402A and 402B, at least one of which is the plasma deposited polymer layer formed at operation 102 while the other is an ex-situ mask material formed at operation 101 (FIG. 1A). For such multi-layered embodiments, the plasma deposited polymer layer may be either in contact with a top surface of the ICs 425, 426 (i.e., deposited polymer layer is 402A) or disposed over an underlying mask material (i.e., deposited polymer layer is 402B). Unlike other more conventional masking materials such as photoresist, inorganic dielectric hardmasks such as silicon dioxide, or silsesquioxanes, a mask including a plasma deposited polymer may be readily removed without damage to an underlying passivation layer, which is often polyimide (PI) and/or bumps, which are often copper. As such, mask 402 is to be of sufficient thickness to survive the plasma etch process (though it may be very nearly consumed), protecting even copper bumps which may be damaged, oxidized, or otherwise contaminated if exposed to the substrate etching plasma.
  • In one multi-layered mask embodiment where the method 100 includes ex-situ mask formation operation 101, the mask 402 includes a water soluble material disposed over the ICs 425, 426. For such embodiments, the water soluble material may be applied before or after plasma deposition of the polymeric material, to be disposed below or above the plasma deposited polymer layer formed at operation 102, respectively. Therefore, in reference to FIG. 4A, in a first embodiment mask layer 402A is a water soluble material while mask layer 402B is the in-situ plasma deposited polymeric mask material. For such embodiments, presence of the water soluble layer may provide protection a top surface of the ICs 425, 426 during subsequent laser scribing and/or assist with mask the removal process. In a second embodiment, mask layer 402A is the in-situ plasma deposited polymeric mask material while mask layer 402A is a water soluble material.
  • In an embodiment, the water soluble mask layer is thermally stable to at least 60° C., preferably stable at 100° C., and ideally stable to 120° C. to avoid excessive crosslinking during the subsequent plasma etch process when the material's temperature will be elevated. Generally, excessive crosslinking adversely affects the solubility of the material, making post-etch removal more difficult. Depending on the embodiment, the water soluble layer may be either wet applied or applied as a dry film laminate. For either mode of application, exemplary materials include, at least one of: poly(vinyl alcohol), poly(acrylic acid), poly(methacrylic acid), poly(acrylamide), or poly(ethylene oxide) with many other water soluble materials also readily available. Dry films for lamination may include the water soluble material only or may further include an adhesive layer that may also be water soluble or not. In a particular embodiment, the dry film includes a UV sensitive adhesive layer which has reduced adhesive bond strength upon UV exposure. Such UV exposure may occur during the subsequent plasma street etch.
  • FIG. 2 is a flow diagram illustrating a method 200 for spin coating water soluble ex-situ mask layer onto a substrate to be diced which is performed at operation 101, in accordance with an embodiment of the present invention. Method 200 begins with a substrate loaded onto a spin coat system or transferred into a spin coat module of an integrated platform. At operation 204 an aqueous solution of a water soluble polymer is spun over substrate 406. For the present invention, design of the aqueous solution is constrained to a maximum film thickness and thermal stability is a concern. In the street, the maximum thickness of the mask 402, and in particular the water soluble layer, is limited by the ability of a laser to pattern through the masking by ablation. The mask 402 may be much thicker over the ICs 425, 426 and or edges of the street 427 where no street pattern is to be formed by ablation.
  • FIG. 5A illustrates an expanded cross-sectional view 500 of plasma deposited polymer mask layer 402B applied over a water soluble mask layer 402A with the water soluble mask layer 402A in contact with a top surface of the IC 426 and the street 427, in accordance with embodiments of the present invention. As shown in FIG. 5A, the substrate 406 has a top surface 503 upon which thin film device layers are disposed which is opposite a bottom surface 502 which interfaces with the DAF 408 (FIG. 4A). Generally, the thin film device layer materials may include, but are not limited to, organic materials (e.g., polymers), metals, or inorganic dielectrics such as silicon dioxide and silicon nitride. The exemplary thin film device layers illustrated in FIG. 5 include a silicon dioxide layer 504, a silicon nitride layer 505, copper interconnect layers 508 with low-κ (e.g., less than 3.5) or ultra low-κ (e.g., less than 3.0) interlayer dielectric layers (ILD) such as carbon doped oxide (CDO) disposed there between. A top surface of the IC 426 includes a bump 512, typically copper, surrounded by a passivation layer 511, typically a polyimide (PI) or similar polymer. The bump 512 and passivation layer 511 therefore make up a top surface of the IC with the thin film device layers forming subsurface IC layers. The bump 512 extends from a top surface of the passivation layer 511 by a bump height HB which in the exemplary embodiments ranges between 10 μm and 50 μm.
  • The maximum thickness of the mask 402 in the street, Tmax, is generally a function of the laser power and optical conversion efficiency associated with laser wavelength. As Tmax is associated with the street 427, street feature topography, street width, and the method of applying the water soluble layer may be designed to achieve a desired Tmax. In particular embodiments, the mask 402 has a street thickness Tmax less than 30 μm and advantageously less than 20 μm with a thicker etch mask calling for multiple laser passes.
  • The minimum thickness of the mask 402 is a function of the selectivity achieved by the subsequent plasma etch (e.g., operation 105 in FIG. 1). The spin and dispense parameters for the spin coating operation 204 may have only a small process window where Tmax at the street is maintained below 20 μm while a top surface of the bump (which in an embodiment has a HB of at least 50 μm) is covered with a minimum mask thickness Tmin, which is a function of the etch selectivity to the water soluble mask material layer. Poly(vinyl alcohol) (PVA), for example, has been found to provide an etch rate of between 1 μm/min and 1.5 μm/min for the exemplary silicon plasma etch processes described elsewhere herein for an etch rate selectivity of approximately 1:20 (PVA: silicon). As such, the minimum thickness over a top bump surface of an IC (e.g., Tmin in FIG. 5) may be determined by the plasma etch depth DE which is both a function of the thickness of the substrate TSub and laser scribe depth DL. For example, in the exemplary embodiment where DE is at least 50 μm, Tmin is at least 3 μm and would advantageously be at least 6 μm to provide sufficient margin for DE of at least 100 μm. Such TMIN values may not be consistent with the TMAX constraint.
  • For embodiments where spin coating of the water soluble layer (FIG. 2, operation 204) to a thickness below TMAX leaves a top surface of a copper bump 512 with a Tmin mask thickness insufficient to protect the bump 512 through a full duration of the subsequent plasma etching (or even fails to cover the bump 512 at all as illustrated in FIG. 5A), the in-situ plasma deposited polymer mask layer 402B is deposited to a thickness sufficient to achieve the Tmin necessary to protect the bump 512.
  • Continuing with the spin coating method 200, at operation 208 the aqueous solution is dried, for example on a hot plate. The drying temperature and time should be selected to avoid excessive crosslinking which may render subsequent mask removal difficult. Exemplary drying temperatures range from 60° C. to 150° C. depending on the material. For example, PVA was found to remain soluble at 60° C. while becoming more insoluble as the temperature approached the 150° C. limit of the range. Completing spin coating method 200, the substrate is unloaded or transferred in-vaccuo to a plasma etch chamber for in-situ plasma deposition of the second mask material or to a laser scribe module (returning to method 100 illustrated in FIG. 1A or method 150 illustrated in FIG. 1B, respectively). In particular embodiments, where the water soluble layer is hygroscopic, in-vaccuo transfer is particularly advantageous to avoid mask delamination during etch of the substrate.
  • Returning to FIG. 1A, for embodiments where the mask 402 is to include only a plasma deposited material (operation 101 skipped), or include a second mask material (e.g., a water soluble material layer formed at operation 101), plasma deposition of the polymeric material may proceed in manner known in the art. For one in-situ deposition embodiment, the plasma deposition process at operation 102 proceeds with source gases and plasma conditions substantially the same those employed during a deposition cycle utilized during the subsequent substrate etch process. Exemplary source gases include fluorocarbons, such as, but not limited to, C4F8 and C4F6 to deposit a CxFy polymer over substrate surfaces. Alternatively, the source gas may be a fluorinated hydrocarbon (CHxFy) with x and y varying and the exemplary embodiment being CH2F2 to deposit a CxHyFz polymer over substrate surfaces. Process pressure and plasma power may both vary as a matter of design choice as a function of source gas with higher pressures increasing deposition rates. Exemplary process pressures range between 20 mTorr and 200 mTorr. Plasma power may range between 2 kW and 6 kW source (top) power with typically no bias (bottom) power applied.
  • As the polymer deposition is performed within an etch chamber, the substrate temperature and more specifically any water soluble layer disposed on the substrate can be maintained at sufficiently low temperatures to retain water solubility of the water soluble material. In the exemplary embodiment, cooling power is applied during plasma deposition of polymer at operation 102 via an electrostatic chuck (ESC) chilled to −10° C. to −15° C. to maintain the water soluble mask material layer at a temperature below 100° C. and preferably between 70° C. and 80° C. throughout the duration of the plasma deposition process.
  • Generally, the plasma deposited polymer will provide an etch selectivity of between 1:20 and 1:30 (polymer:substrate). In comparison, to achieve similar etch resistance with photoresist for example, a hard bake at a temperature over 150° C. may be necessary and such a high bake temperature would be disadvantageous for embodiments employing a water soluble layer (e.g., causing excessive crosslinking) in contact with the ICs 425, 426. As such, the minimum thickness over a top bump surface of an IC (e.g., Tmin in FIG. 5) may be determined by the plasma etch depth DE which is both a function of the thickness of the substrate TSub and laser scribe depth DL. In the exemplary embodiment where DE is at least 50 μm, Tmin is at least 2 μm and advantageously at least 4 μm to provide sufficient margin for DE of at least 100 μm. Depending on the duration of the plasma etch to be performed (i.e., etch depth DE), the masking polymer may be plasma deposited to a thickness (Tmin) of 10 μm, or more. Ideally, the thickness of the plasma deposited polymer is such that it is almost completely consumed during the subsequent substrate etch process, to simplify post-etch mask removal.
  • For the method 100, the mask 402, including the plasma deposited layer, is unpatterned prior to the laser scribing operation 103 with the laser scribe to perform a direct writing of the scribe lines by ablating portions of the mask 402 (e.g., water soluble layer 402A and plasma deposited layer 402B) disposed over the street 427. At operation 103 of method 100, and corresponding FIG. 4B, the mask 402 is patterned by ablation with a laser scribing process forming trenches 412, extending the subsurface thin film device layers, and exposing regions of the substrate 406 between the ICs 425, 426. As such, the laser scribing process is used to ablate the thin film material of the streets 427 originally formed between the ICs 425, 426. In accordance with an embodiment of the present invention, patterning the mask 402 with the laser-based scribing process includes forming trenches 414 partially into the regions of the substrate 406 between the ICs 425, 426, as depicted in FIG. 4B.
  • In the exemplary embodiment illustrated in FIG. 5, the laser scribing depth DL is approximately in the range of 5 μms to 50 μms deep, advantageously in the range of 10 μms to 20 μms deep, depending on the thickness TF of the passivation layer 511 and subsurface thin film device layers and thickness Tmax plasma deposited polymer mask material and any additional material layer included as part of the mask 402 (e.g., water soluble layer).
  • In an embodiment, the mask 402 is patterned with a laser having a pulse width (duration) in the femtosecond range (i.e., 10−15 seconds), referred to herein as a femtosecond laser. Laser parameters selection, such as pulse width, may be critical to developing a successful laser scribing and dicing process that minimizes chipping, microcracks and delamination in order to achieve clean laser scribe cuts. A laser pulse width in the femtosecond range advantageously mitigates heat damage issues relative longer pulse widths (e.g., picosecond or nanosecond). Although not bound by theory, as currently understood a femtosecond energy source avoids low energy recoupling mechanisms present for picosecond sources and provides for greater thermal nonequilibrium than does a nanosecond-source. With nanosecond or picoseconds laser sources, the various thin film device layer materials present in the street 427 behave quite differently in terms of optical absorption and ablation mechanisms. For example, dielectrics layers such as silicon dioxide, is essentially transparent to all commercially available laser wavelengths under normal conditions. By contrast, metals, organics (e.g., low-κ materials) and silicon can couple photons very easily, particularly nanosecond-based or picosecond-based laser irradiation. If non-optimal laser parameters are selected, in a stacked structures that involve two or more of an inorganic dielectric, an organic dielectric, a semiconductor, or a metal, laser irradiation of the street 427 may disadvantageously cause delamination. For example, a laser penetrating through high bandgap energy dielectrics (such as silicon dioxide with an approximately of 9 eV bandgap) without measurable absorption may be absorbed in an underlying metal or silicon layer, causing significant vaporization of the metal or silicon layers. The vaporization may generate high pressures potentially causing severe interlayer delamination and microcracking. Femtosecond-based laser irradiation processes have been demonstrated to avoid or mitigate such microcracking or delamination of such material stacks.
  • Parameters for a femtosecond laser-based process may be selected to have substantially the same ablation characteristics for the inorganic and organic dielectrics, metals, and semiconductors. For example, the absorptivity/absorptance of silicon dioxide is non-linear and may be brought more in-line with that of organic dielectrics, semiconductors and metals. In one embodiment, a high intensity and short pulse width femtosecond-based laser process is used to ablate a stack of thin film layers including a silicon dioxide layer and one or more of an organic dielectric, a semiconductor, or a metal. In accordance with an embodiment of the present invention, suitable femtosecond-based laser processes are characterized by a high peak intensity (irradiance) that usually leads to nonlinear interactions in various materials. In one such embodiment, the femtosecond laser sources have a pulse width approximately in the range of 50 femtoseconds to 500 femtoseconds, although preferably in the range of 100 femtoseconds to 400 femtoseconds.
  • In certain embodiments, the laser emission spans any combination of the visible spectrum, the ultra-violet (UV), and/or infra-red (IR) spectrums for a broad or narrow band optical emission spectrum. Even for femtosecond laser ablation, certain wavelengths may provide better performance than others. For example, in one embodiment, a femtosecond-based laser process having a wavelength closer to or in the UV range provides a cleaner ablation process than a femtosecond-based laser process having a wavelength closer to or in the IR range. In a specific embodiment, a femtosecond laser suitable for semiconductor substrate or substrate scribing is based on a laser having a wavelength of approximately between 1570-200 nanometers although preferably in the range of 540 nanometers to 250 nanometers. In a particular embodiment, pulse widths are less than or equal to 500 femtoseconds for a laser having a wavelength less than or equal to 540 nanometers. However, in an alternative embodiment, dual laser wavelengths (e.g., a combination of an IR laser and a UV laser) are used.
  • In one embodiment, the laser and associated optical pathway provide a focal spot at the work surface approximately in the range of 3 μm to 15 μm, though advantageously in the range of 5 μm to 10 μm. The spatial beam profile at the work surface may be a single mode (Gaussian) or have a beam shaped top-hat profile. In an embodiment, the laser source has a pulse repetition rate approximately in the range of 200 kHz to 10 MHz, although preferably approximately in the range of 500 kHz to 5 MHz In an embodiment, the laser source delivers pulse energy at the work surface approximately in the range of 0.5 μJ to 100 μJ, although preferably approximately in the range of 1 μJ to 5 μJ. In an embodiment, the laser scribing process runs along a work piece surface at a speed approximately in the range of 300 mm/sec to 5 m/sec, although preferably approximately in the range of 600 mm/sec to 2 m/sec.
  • The scribing process may be run in single pass only, or in multiple passes, but is advantageously no more than two passes. The laser may be applied either in a train of single pulses at a given pulse repetition rate or a train of pulse bursts. In an embodiment, the kerf width of the laser beam generated is approximately in the range of 2 μM to 15 μm, although in silicon substrate scribing/dicing preferably approximately in the range of 6 μm to 10 μm, as measured at a device/silicon interface.
  • Proceeding with FIGS. 1 and 4C, the substrate 406 is exposed to a plasma 416 to etch through the trenches 412 in the mask 402 to singulate the ICs 426 at operation 105. In the exemplary in-situ mask deposition embodiment, the substrate is etched in the same chamber that performed the plasma mask deposition operation 102. In accordance with an embodiment of the present invention, etching the substrate 406 at operation 105 includes etching the trenches 412 formed with the femtosecond-based laser scribing process to ultimately etch entirely through substrate 406, as depicted in FIG. 4C.
  • In one embodiment, the etch operation 105 entails a through via etch process. For example, in a specific embodiment, the etch rate of the material of substrate 406 is greater than 25 μms per minute. A high-density plasma source operating at high powers may be used for the plasma etching operation 105. Exemplary powers range between 3 kW and 6 kW, or more.
  • In an exemplary embodiment, a deep silicon etch (i.e., such as a through silicon via (TSV) etch) is used to etch a single crystalline silicon substrate or substrate 406 at an etch rate greater than approximately 40% of conventional silicon etch rates while maintaining essentially precise profile control and virtually scallop-free sidewalls. Effects of the high power on any water soluble material layer present in the mask 402 are controlled through application of cooling power via an electrostatic chuck (ESC) chilled to −10° C. to −15° C. to maintain the water soluble mask material layer at a temperature below 100° C. and preferably between 70° C. and 80° C. throughout the duration of the plasma etch process. At such temperatures, water solubility is advantageously maintained.
  • In a specific embodiment, the plasma etch operation 105 further entails a plurality of protective polymer deposition cycles interleaved over time with a plurality of etch cycles. The deposition time to etch time ratio is typically 1:1 to 1:1.4. For example, the etch process may have a deposition cycle with a duration of 250 ms-750 ms and an etch cycle of 250 ms-750 ms. As illustrated in FIG. 4C, with such a deposition to etch time ratio, polymer will accumulate only on vertical surfaces, such as the trench sidewalls while the polymer mask material deposited on horizontal surfaces at operation 102 is consumed or eroded during the substrate etch operation 105. Between the deposition and etch cycles, an etching process chemistry, employing for example SF6 for the exemplary silicon etch embodiment, is alternated with a deposition process chemistry, employing a polymerizing fluorocarbon (CxFy) gas such as, but not limited to, C4F6 or C4F8 or fluorinated hydrocarbon (CHxFy with x>1). In one such embodiment, the same polymerizing gas chemistry is the same as that for the masking operation 102. For example, as illustrated in FIG. 4C, where a polymer mask layer 402B is formed on the top horizontal surfaces over an IC at operation 102, the etch operation 105 forms the same polymer mask layer 402B on the sidewalls of the etched trench and also etches away the polymer mask layer 402B (illustrated as being thinner than in FIG. 4A). Process pressures may further be alternated between etch and deposition cycles to favor each in the particular cycle, as known in the art.
  • At operation 107, method 300 is completed with removal of the mask 402, including the in-situ deposited layer. In an embodiment, a water soluble mask layer is washed off with water, for example with a pressurized jet of de-ionized water or through submergence in an ambient or heated water bath. In alternative embodiments, the mask 402 may be washed off with aqueous solvent solutions known in the art to be effective for etch polymer removal. As further illustrated in FIG. 4D, either of the plasma singulation operation 105 or mask removal process at operation 107 may further pattern the die attach film 908, exposing the top portion of the backing tape 910.
  • FIG. 1B is a flow diagram illustrating a hybrid laser ablation-plasma etch singulation method 150 with a plasma deposited mask material formed at operation 102 subsequent to the laser scribing operation 103, in accordance with an embodiment of the present invention. FIG. 5B illustrates a cross-sectional view 550 of a plasma deposited polymer mask applied over a water soluble mask subsequent to laser scribing, in accordance with embodiments of the present invention. For embodiments implementing the method 150, the ex-situ mask material layer (e.g., layer 402A in FIG. 5B) is to serve as a contamination protection layer and also a basis for an ablated trench of sufficiently high aspect (AR) that there is a significant differential in the thickness of plasma deposited polymer between a top surface of the IC and the bottom surface of the laser scribed trench exposing the substrate. This differential in plasma deposited polymer then enables a break through etch to clear the deposited polymer from the trench bottom while sufficient deposited polymer remains to mask the ICs.
  • In the exemplary embodiment illustrated by FIG. 1B, at operation 101, an ex-situ mask (e.g., a water soluble material or any of the materials describe elsewhere herein) is formed by any of the techniques previously described. In a particular embodiment, PVA is spin-coated over the substrate to a thickness of between 2 μm and 12 μm. At operation 103, the ex-situ mask layer is ablated by the laser along with the thin film device layers 504, 505, 506, 507, 508 and 511 in the street 427, as previously described in the context of FIG. 1A.
  • The aspect ratio (AR) of the scribed trench is laser scribed depth DL divided by the width of trench 412. For the exemplary embodiments with trench widths between 6 μm to 10 μm, the AR may be anywhere between 1.5:1 and 5:1. Proceeding with FIG. 1B, at operation 102, the substrate is loaded into an etch chamber and an in-situ plasma deposition of polymer is performed substantially as described elsewhere herein. Referring to FIG. 5B, the AR of the scribed trench drives a significant differential in the thickness of plasma deposited polymer at a top surface of the bump 512 (Tmin) and thickness of plasma deposited polymer at the bottom surface of the laser scribed trench 412 (Tbarrier). This differential in plasma deposited polymer (Tmin−Tbarrier) then enables a break through etch to clear the deposited polymer from the trench bottom while sufficient deposited polymer is retained outside of the trench to mask the ICs. In an exemplary embodiment where the plasma deposition operation 102 deposits a Tmin of 3 μm, Tbarrier is less than 0.5 μm.
  • Proceeding with method 150, at operation 105 the substrate is plasma etched (e.g., in the same chamber which performed the mask deposition operation 102) first with a polymer breakthrough to clear the polymer deposited in the scribed trench (without clearing the thicker polymer layer deposited outside of the trench) and second with a substrate etch employing any of the techniques and conditions described elsewhere herein for the method 100. In an embodiment, the polymer breakthrough step entails a higher bias power than utilized during the main etch/dep sequenced anisotropic etch process.
  • FIG. 1C is a flow diagram illustrating a hybrid laser ablation-plasma etch singulation method 190 having a plasma deposited mask material formed dynamically with etch of the substrate, in accordance with an embodiment of the present invention. At operation 101, an ex-situ mask (e.g., a water soluble material or any of the materials describe elsewhere herein) is formed by any of the techniques previously described. In a particular embodiment, PVA is spin-coated over the substrate to a thickness of between 2 μm and 12 μm. At operation 103, the ex-situ mask is ablated by the laser along with the thin film device layers 504, 505, 506, 507, 508 and 511 in the street 427, as previously described in the context of FIG. 1A.
  • Proceeding with FIG. 1C, at operation 105, the substrate is loaded into a etch chamber and the substrate is plasma etched. As an alternative to the pre-etch polymer deposition techniques illustrated in FIGS. 1A-1B (e.g., both pre and post laser scribe mask depositions), the polymer mask (e.g., layer 402B in FIG. 4C) is deposited dynamically as the substrate etch proceeds during operation 105. This instaneously in-situ deposited mask may achieve a high aspect trench etch with very high selectivity to the underlying mask layer 402A (e.g., water soluble mask material) at the cost of a slight reduction in substrate etch rate. However, because the substrate need not be taken in and out of the etch chamber multiple times, throughput may still improve relative to the methods 100 and 150.
  • The substrate etch operation 105 generally employs an iterative or cyclic dep/etch process (e.g., same source gases) similar to those described in the context of the methods 100 and 150. However in one embodiment of method 190, the operation 105 leads off with a deposition cycle (rather than an etch cycle). In a further embodiment, the ratio of deposition time to etch time is relatively higher (e.g., dep time:etch time ratio is greater than 1:1 and more particularly between 1.2:1 and 2:1). For example, in one exemplary embodiment where deposition time is 400-500 ms and etch time is 300 ms, a water soluble mask with only a 2 μm Tmin over the copper bump 512 may survive an etch with a depth DE of 100 μm (e.g., 50:1 selectivity). Also, in the cyclic dep/etch process, each etch step is typically partitioned into two sub-steps, with the first sub etch step being directional etch to etch the deposited polymer and silicon on the trench bottom by applying 100-200 W bias power, and the second sub etch step being isotropic etch to etch polymer and silicon isotropically with no bias power being applied. At the fixed time ratio of deposition to etch, the ratio of the first sub etch step time to the second sub etch step time can be also adjusted to better control the consumption of mask layer on top of wafer surface.
  • It should also be noted that for mask embodiments including a water soluble layer, the spin coating method 200 may be performed prior to, or subsequent to, a backside grind (BSG). As spin coating is generally an accomplished technique for substrates having a conventional thickness of 750 μm the spin coating method 200 may be advantageously performed prior to backside grind. However, in the alternative, the spin coating method 200 is performed subsequent to the backside grind, for example by supporting both the thin substrate and taped frame upon a rotatable chuck.
  • FIG. 3 is a flow diagram illustrating a method 300 for applying a water soluble layer to a substrate to be diced prior to wafer thinning. Method 300 begins with receiving a bumped and passivated substrate. At operation 304, the water soluble mask layer (e.g., mask layer 402A) is formed. Operation 304 may therefore entail the spin coating method 200, as described elsewhere herein. At operation 360, frontside tape is formed over the water soluble mask layer. Any conventional frontside tape, such as, but not limited to UV-tape, may be applied over the water soluble mask layer. At operation 370, the substrate is thinned from the backside, for example by grinding the bottom surface 501 of the substrate 406 illustrated in FIG. 5. At operation 375, a backside support 411 is added to the thinned substrate. For example, the backside tape 410 may be applied and the frontside tape then removed to expose the water soluble mask layer. Method 300 then returns to operation 103 (FIG. 1) to complete method 100, in accordance with an embodiment of the present invention.
  • A single process tool 600 may be configured to perform many or all of the operations in the hybrid laser ablation-plasma etch singulation process 100. For example, FIG. 6 illustrates a block diagram of a cluster tool 606 coupled with laser scribe apparatus 610 for laser and plasma dicing of substrates, in accordance with an embodiment of the present invention. Referring to FIG. 6, the cluster tool 606 is coupled to a factory interface 602 (FI) having a plurality of load locks 604. The factory interface 602 may be a suitable atmospheric port to interface between an outside manufacturing facility with laser scribe apparatus 610 and cluster tool 606. The factory interface 602 may include robots with arms or blades for transferring substrates (or carriers thereof) from storage units (such as front opening unified pods) into either cluster tool 606 or laser scribe apparatus 610, or both.
  • A laser scribe apparatus 610 is also coupled to the FI 602. In an embodiment, the laser scribe apparatus 610 includes a femtosecond laser. The femtosecond laser to performing the laser ablation portion of the hybrid laser and etch singulation process 100. In one embodiment, a moveable stage is also included in laser scribe apparatus 610, the moveable stage configured for moving a substrate or substrate (or a carrier thereof) relative to the femtosecond-based laser. In a specific embodiment, the femtosecond laser is also moveable.
  • The cluster tool 606 includes one or more plasma etch chambers 608 coupled to the FI by a robotic transfer chamber 650 housing a robotic arm for in-vaccuo transfer of substrates. The plasma etch chambers 608 is suitable for both the plasma etch portion of the hybrid laser and etch singulation process 100 and to deposit a polymer mask over the substrate. In one exemplary embodiment, the plasma etch chamber 608 is further coupled to an SF6 gas source and at least one of a C4F8, C4F6, or CH2F2 source. In a specific embodiment, the one or more plasma etch chambers 608 is an Applied Centura® Silvia™ Etch system, available from Applied Materials of Sunnyvale, Calif., USA, although other suitable etch systems are also available commercially. In an embodiment, more than one plasma etch chamber 608 is included in the cluster tool 606 portion of integrated platform 600 to enable high manufacturing throughput of the singulation or dicing process.
  • The cluster tool 606 may include other chambers suitable for performing functions in the hybrid laser ablation-plasma etch singulation process 100. In the exemplary embodiment illustrated in FIG. 6, a wet process module 614 is coupled to the robotic transfer module 650 to wash off a remainder of a water soluble mask and/or plasma deposited polymer after plasma etching the substrate. The wet process module 614 may include for example a pressurized water spray jet or other solvent dispenser.
  • In still other embodiments, the deposition module 612 is a spin coating module for application of the water soluble mask layer described herein. As a spin coating module, the deposition module 612 may include a rotatable chuck adapted to clamp by vacuum, or otherwise, a thinned substrate mounted on a carrier such as backing tape mounted on a frame.
  • FIG. 7 illustrates a computer system 700 within which a set of instructions, for causing the machine to execute one or more of the scribing methods discussed herein may be executed, for example to analyze a reflected light from a tag to identify at least one micromachine artifact. The exemplary computer system 700 includes a processor 702, a main memory 704 (e.g., read-only memory (ROM), flash memory, dynamic random access memory (DRAM) such as synchronous DRAM (SDRAM) or Rambus DRAM (RDRAM), etc.), a static memory 706 (e.g., flash memory, static random access memory (SRAM), etc.), and a secondary memory 718 (e.g., a data storage device), which communicate with each other via a bus 730.
  • Processor 702 represents one or more general-purpose processing devices such as a microprocessor, central processing unit, or the like. More particularly, the processor 702 may be a complex instruction set computing (CISC) microprocessor, reduced instruction set computing (RISC) microprocessor, very long instruction word (VLIW) microprocessor, etc. Processor 702 may also be one or more special-purpose processing devices such as an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), a digital signal processor (DSP), network processor, or the like. Processor 702 is configured to execute the processing logic 726 for performing the operations and steps discussed herein.
  • The computer system 700 may further include a network interface device 708. The computer system 700 also may include a video display unit 710 (e.g., a liquid crystal display (LCD) or a cathode ray tube (CRT), an alphanumeric input device 712 (e.g., a keyboard), a cursor control device 714 (e.g., a mouse), and a signal generation device 716 (e.g., a speaker).
  • The secondary memory 718 may include a machine-accessible storage medium (or more specifically a computer-readable storage medium) 731 on which is stored one or more sets of instructions (e.g., software 722) embodying any one or more of the methodologies or functions described herein. The software 722 may also reside, completely or at least partially, within the main memory 704 and/or within the processor 702 during execution thereof by the computer system 700, the main memory 704 and the processor 702 also constituting machine-readable storage media. The software 722 may further be transmitted or received over a network 720 via the network interface device 708.
  • The machine-accessible storage medium 731 may also be used to store pattern recognition algorithms, artifact shape data, artifact positional data, or particle sparkle data. While the machine-accessible storage medium 731 is shown in an exemplary embodiment to be a single medium, the term “machine-readable storage medium” should be taken to include a single medium or multiple media (e.g., a centralized or distributed database, and/or associated caches and servers) that store the one or more sets of instructions. The term “machine-readable storage medium” shall also be taken to include any medium that is capable of storing or encoding a set of instructions for execution by the machine and that cause the machine to perform any one or more of the methodologies of the present invention. The term “machine-readable storage medium” shall accordingly be taken to include, but not be limited to, solid-state memories, and optical and magnetic media.
  • Thus, methods of dicing semiconductor substrates, each substrate having a plurality of ICs, have been disclosed. The above description of illustrative embodiments of the invention, including what is described in the Abstract, is not intended to be exhaustive or to limit the invention to the precise forms disclosed. While specific implementations of, and examples for, the invention are described herein for illustrative purposes, various equivalent modifications are possible within the scope of the invention, as those skilled in the relevant art will recognize. The scope of the invention is therefore to be determined entirely by the following claims, which are to be construed in accordance with established doctrines of claim interpretation.

Claims (2)

What is claimed is:
1. A system for dicing a semiconductor substrate comprising a plurality of ICs, the system comprising:
a laser scribe module to pattern a mask and expose regions of a substrate between the ICs, the mask comprising a layer of water soluble material;
a plasma etch module physically coupled to the laser scribe module, the plasma etch module to plasma deposit a polymer mask over the substrate and to singulate the ICs by plasma etching of the substrate; and
a robotic transfer chamber to transfer a laser scribed substrate between the laser scribe module and the plasma etch module.
2. The system of claim 1, wherein the laser scribe comprises a femtosecond laser having a wavelength less than or equal to 540 nanometers and a pulse width of less than or equal to 400 femtoseconds.
US14/072,653 2011-06-15 2013-11-05 In-situ deposited mask layer for device singulation by laser scribing and plasma etch Abandoned US20140065797A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/072,653 US20140065797A1 (en) 2011-06-15 2013-11-05 In-situ deposited mask layer for device singulation by laser scribing and plasma etch

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/160,973 US8598016B2 (en) 2011-06-15 2011-06-15 In-situ deposited mask layer for device singulation by laser scribing and plasma etch
US14/072,653 US20140065797A1 (en) 2011-06-15 2013-11-05 In-situ deposited mask layer for device singulation by laser scribing and plasma etch

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/160,973 Division US8598016B2 (en) 2011-06-15 2011-06-15 In-situ deposited mask layer for device singulation by laser scribing and plasma etch

Publications (1)

Publication Number Publication Date
US20140065797A1 true US20140065797A1 (en) 2014-03-06

Family

ID=47353991

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/160,973 Active 2031-09-22 US8598016B2 (en) 2011-06-15 2011-06-15 In-situ deposited mask layer for device singulation by laser scribing and plasma etch
US14/072,653 Abandoned US20140065797A1 (en) 2011-06-15 2013-11-05 In-situ deposited mask layer for device singulation by laser scribing and plasma etch

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/160,973 Active 2031-09-22 US8598016B2 (en) 2011-06-15 2011-06-15 In-situ deposited mask layer for device singulation by laser scribing and plasma etch

Country Status (6)

Country Link
US (2) US8598016B2 (en)
JP (2) JP2014523110A (en)
KR (2) KR101463152B1 (en)
CN (1) CN103608900A (en)
TW (2) TWI520205B (en)
WO (1) WO2012173759A2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140017880A1 (en) * 2012-07-13 2014-01-16 Wei-Sheng Lei Laser, plasma etch, and backside grind process for wafer dicing
US9076860B1 (en) * 2014-04-04 2015-07-07 Applied Materials, Inc. Residue removal from singulated die sidewall
US20150287638A1 (en) * 2014-04-04 2015-10-08 Jungrae Park Hybrid wafer dicing approach using collimated laser scribing process and plasma etch
WO2015160555A1 (en) * 2014-04-14 2015-10-22 Applied Materials, Inc. Water soluble mask formation by dry film lamination
WO2017196549A1 (en) * 2016-05-13 2017-11-16 Applied Materials, Inc. Etch mask for hybrid laser scribing and plasma etch wafer singulation process

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9343365B2 (en) * 2011-03-14 2016-05-17 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8598016B2 (en) 2011-06-15 2013-12-03 Applied Materials, Inc. In-situ deposited mask layer for device singulation by laser scribing and plasma etch
US8557682B2 (en) 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-layer mask for substrate dicing by laser and plasma etch
US8557683B2 (en) 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-step and asymmetrically shaped laser beam scribing
US9029242B2 (en) 2011-06-15 2015-05-12 Applied Materials, Inc. Damage isolation by shaped beam delivery in laser scribing process
US8703581B2 (en) 2011-06-15 2014-04-22 Applied Materials, Inc. Water soluble mask for substrate dicing by laser and plasma etch
US8993414B2 (en) 2012-07-13 2015-03-31 Applied Materials, Inc. Laser scribing and plasma etch for high die break strength and clean sidewall
US8859397B2 (en) 2012-07-13 2014-10-14 Applied Materials, Inc. Method of coating water soluble mask for laser scribing and plasma etch
US9553021B2 (en) * 2012-09-03 2017-01-24 Infineon Technologies Ag Method for processing a wafer and method for dicing a wafer
US8980726B2 (en) * 2013-01-25 2015-03-17 Applied Materials, Inc. Substrate dicing by laser ablation and plasma etch damage removal for ultra-thin wafers
WO2014159464A1 (en) * 2013-03-14 2014-10-02 Applied Materials, Inc. Multi-layer mask including non-photodefinable laser energy absorbing layer for substrate dicing by laser and plasma etch
US20150011073A1 (en) * 2013-07-02 2015-01-08 Wei-Sheng Lei Laser scribing and plasma etch for high die break strength and smooth sidewall
US20150037915A1 (en) * 2013-07-31 2015-02-05 Wei-Sheng Lei Method and system for laser focus plane determination in a laser scribing process
WO2015023287A1 (en) * 2013-08-15 2015-02-19 Applied Materials, Inc. Method of coating water soluble mask for laser scribing and plasma etch
US9646951B2 (en) * 2013-12-10 2017-05-09 Semiconductor Components Industries, Llc Method of forming a semiconductor device and structure therefor
US8927393B1 (en) * 2014-01-29 2015-01-06 Applied Materials, Inc. Water soluble mask formation by dry film vacuum lamination for laser and plasma dicing
US9130030B1 (en) * 2014-03-07 2015-09-08 Applied Materials, Inc. Baking tool for improved wafer coating process
JP6101227B2 (en) * 2014-03-17 2017-03-22 株式会社東芝 Plasma dicing method and plasma dicing apparatus
US9472458B2 (en) * 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
KR101650076B1 (en) * 2014-06-10 2016-08-22 한국미쯔보시다이아몬드공업(주) Machining method of substrate of fragile material
US9142459B1 (en) * 2014-06-30 2015-09-22 Applied Materials, Inc. Wafer dicing using hybrid laser scribing and plasma etch approach with mask application by vacuum lamination
CN104384722A (en) * 2014-10-28 2015-03-04 北京理工大学 N-type doping-based femtosecond laser method for processing semiconductor
DE102015100686A1 (en) * 2015-01-19 2016-07-21 Osram Opto Semiconductors Gmbh Method for producing a plurality of semiconductor chips and semiconductor chip
JP6738591B2 (en) 2015-03-13 2020-08-12 古河電気工業株式会社 Semiconductor wafer processing method, semiconductor chip, and surface protection tape
US9793129B2 (en) * 2015-05-20 2017-10-17 Infineon Technologies Ag Segmented edge protection shield
WO2017082210A1 (en) * 2015-11-09 2017-05-18 古河電気工業株式会社 Method for manufacturing semiconductor chip, and mask-integrated surface protection tape used therein
JP6587911B2 (en) * 2015-11-16 2019-10-09 株式会社ディスコ Wafer division method
JP6604476B2 (en) * 2016-03-11 2019-11-13 パナソニックIpマネジメント株式会社 Device chip manufacturing method
US9725302B1 (en) * 2016-08-25 2017-08-08 Applied Materials, Inc. Wafer processing equipment having exposable sensing layers
JP6899252B2 (en) * 2017-05-10 2021-07-07 株式会社ディスコ Processing method
JP7042437B2 (en) * 2017-09-07 2022-03-28 パナソニックIpマネジメント株式会社 Method of manufacturing element chips
CN109904110B (en) * 2017-12-08 2021-06-04 中芯长电半导体(江阴)有限公司 Etching method for forming vertical hole and structure thereof
US11127599B2 (en) * 2018-01-12 2021-09-21 Applied Materials, Inc. Methods for etching a hardmask layer
JP6994646B2 (en) * 2018-01-17 2022-01-14 パナソニックIpマネジメント株式会社 Method of manufacturing element chips
TWI825080B (en) * 2018-03-30 2023-12-11 日商琳得科股份有限公司 Method for manufacturing semiconductor chip
TWI776026B (en) * 2018-06-04 2022-09-01 美商帕斯馬舍門有限責任公司 Method for dicing die attach film
US10916474B2 (en) 2018-06-25 2021-02-09 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
JP7109862B2 (en) * 2018-07-10 2022-08-01 株式会社ディスコ Semiconductor wafer processing method
KR20200054389A (en) 2018-11-09 2020-05-20 삼성전자주식회사 Magnetic memory devices
US10886136B2 (en) * 2019-01-31 2021-01-05 Tokyo Electron Limited Method for processing substrates
CN109848565A (en) * 2019-04-02 2019-06-07 西安交通大学 Femtosecond laser nanoprocessing method and system based on plasmon nanostructure auxiliary
US11476123B2 (en) * 2019-09-13 2022-10-18 Tokyo Electron Limited Etching method, plasma processing apparatus, and substrate processing system
KR20210055164A (en) 2019-11-07 2021-05-17 삼성전자주식회사 Semiconductor device and semiconductor package having the same
CN113178382A (en) * 2020-12-30 2021-07-27 集美大学 Polishing method of wafer-level diamond substrate and wafer-level diamond substrate
US11705365B2 (en) * 2021-05-18 2023-07-18 Applied Materials, Inc. Methods of micro-via formation for advanced packaging
CN113649709A (en) * 2021-08-16 2021-11-16 湖北三维半导体集成创新中心有限责任公司 Wafer cutting method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050023260A1 (en) * 2003-01-10 2005-02-03 Shinya Takyu Semiconductor wafer dividing apparatus and semiconductor device manufacturing method
US6897100B2 (en) * 1993-11-05 2005-05-24 Semiconductor Energy Laboratory Co., Ltd. Method for processing semiconductor device apparatus for processing a semiconductor and apparatus for processing semiconductor device
WO2007019493A2 (en) * 2005-08-05 2007-02-15 Reveo, Inc. Process for making single crystalline flakes using deep etching
US20090014052A1 (en) * 2005-10-07 2009-01-15 Borden Peter G Module having an improved thin film solar cell interconnect
US8845854B2 (en) * 2012-07-13 2014-09-30 Applied Materials, Inc. Laser, plasma etch, and backside grind process for wafer dicing

Family Cites Families (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2691794A (en) * 1954-01-28 1954-10-19 Alexander H Gonyea Clam opener
US4049944A (en) 1973-02-28 1977-09-20 Hughes Aircraft Company Process for fabricating small geometry semiconductive devices including integrated components
JPS5868930A (en) * 1981-10-20 1983-04-25 Fujitsu Ltd Manufacture of semiconductor device
US4684437A (en) * 1985-10-31 1987-08-04 International Business Machines Corporation Selective metal etching in metal/polymer structures
JPH0364758A (en) * 1989-08-02 1991-03-20 Hitachi Ltd Method for peeling photoresist
JP3165304B2 (en) * 1992-12-04 2001-05-14 株式会社半導体エネルギー研究所 Semiconductor device manufacturing method and semiconductor processing apparatus
EP0609809B8 (en) * 1993-02-01 2001-11-21 Canon Kabushiki Kaisha Liquid crystal display device
US5656186A (en) * 1994-04-08 1997-08-12 The Regents Of The University Of Michigan Method for controlling configuration of laser induced breakdown and ablation
US5593606A (en) 1994-07-18 1997-01-14 Electro Scientific Industries, Inc. Ultraviolet laser system and method for forming vias in multi-layered targets
JPH09216085A (en) 1996-02-07 1997-08-19 Canon Inc Method and equipment for cutting substrate
JPH1027971A (en) 1996-07-10 1998-01-27 Nec Corp Dicing method for organic thin film multilayer wiring board
EP1357584A3 (en) * 1996-08-01 2005-01-12 Surface Technology Systems Plc Method of surface treatment of semiconductor substrates
US6426484B1 (en) 1996-09-10 2002-07-30 Micron Technology, Inc. Circuit and method for heating an adhesive to package or rework a semiconductor die
US5920973A (en) 1997-03-09 1999-07-13 Electro Scientific Industries, Inc. Hole forming system with multiple spindles per station
JP3230572B2 (en) 1997-05-19 2001-11-19 日亜化学工業株式会社 Method for manufacturing nitride compound semiconductor device and semiconductor light emitting device
US6057180A (en) 1998-06-05 2000-05-02 Electro Scientific Industries, Inc. Method of severing electrically conductive links with ultraviolet laser output
JP2000294523A (en) * 1999-04-01 2000-10-20 Sony Corp Semiconductor manufacturing apparatus and manufacture for semiconductor device
US6562698B2 (en) 1999-06-08 2003-05-13 Kulicke & Soffa Investments, Inc. Dual laser cutting of wafers
JP2001110811A (en) 1999-10-08 2001-04-20 Oki Electric Ind Co Ltd Manufacturing method of semiconductor device
JP4387007B2 (en) 1999-10-26 2009-12-16 株式会社ディスコ Method for dividing semiconductor wafer
JP2001144126A (en) 1999-11-12 2001-05-25 Matsushita Electric Ind Co Ltd Semiconductor device and manufacturing method
JP2001148358A (en) 1999-11-19 2001-05-29 Disco Abrasive Syst Ltd Semiconductor wafer and deviding method thereof
JP5123456B2 (en) 2000-01-10 2013-01-23 エレクトロ サイエンティフィック インダストリーズ インコーポレーテッド Laser cutting method and laser system for conductive link
US6887804B2 (en) 2000-01-10 2005-05-03 Electro Scientific Industries, Inc. Passivation processing over a memory link
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
TW504425B (en) 2000-03-30 2002-10-01 Electro Scient Ind Inc Laser system and method for single pass micromachining of multilayer workpieces
DE60143600D1 (en) 2000-06-09 2011-01-20 Broadcom Corp Flexible protocol header for network switch
JP2002016123A (en) * 2000-06-29 2002-01-18 Hitachi Ltd Sample processor and processing method
KR100773070B1 (en) 2000-07-12 2007-11-02 일렉트로 싸이언티픽 인더스트리이즈 인코포레이티드 Laser system and method for single pulse severing of ?? fuses
US6676878B2 (en) 2001-01-31 2004-01-13 Electro Scientific Industries, Inc. Laser segmented cutting
JP4109823B2 (en) 2000-10-10 2008-07-02 株式会社東芝 Manufacturing method of semiconductor device
JP2002141259A (en) * 2000-10-30 2002-05-17 Sharp Corp Manufacturing method for semiconductor device
US6759275B1 (en) 2001-09-04 2004-07-06 Megic Corporation Method for making high-performance RF integrated circuits
ATE327572T1 (en) 2001-10-01 2006-06-15 Xsil Technology Ltd METHOD AND DEVICE FOR PROCESSING SUBSTRATES
US6642127B2 (en) 2001-10-19 2003-11-04 Applied Materials, Inc. Method for dicing a semiconductor wafer
JP3910843B2 (en) 2001-12-13 2007-04-25 東京エレクトロン株式会社 Semiconductor element separation method and semiconductor element separation apparatus
JP4006994B2 (en) 2001-12-18 2007-11-14 株式会社リコー Three-dimensional structure processing method, three-dimensional product manufacturing method, and three-dimensional structure
US6706998B2 (en) 2002-01-11 2004-03-16 Electro Scientific Industries, Inc. Simulated laser spot enlargement
JP4447325B2 (en) 2002-02-25 2010-04-07 株式会社ディスコ Method for dividing semiconductor wafer
KR100451950B1 (en) 2002-02-25 2004-10-08 삼성전자주식회사 Sawing method for image sensor device wafer
JP2003257896A (en) 2002-02-28 2003-09-12 Disco Abrasive Syst Ltd Method for dicing semiconductor wafer
AU2003224098A1 (en) 2002-04-19 2003-11-03 Xsil Technology Limited Laser machining
JP4544811B2 (en) * 2002-05-09 2010-09-15 大日本印刷株式会社 Method for manufacturing electroluminescent device
JP2004031526A (en) 2002-06-24 2004-01-29 Toyoda Gosei Co Ltd Manufacturing method of group iii nitride compound semiconductor element
US6582983B1 (en) 2002-07-12 2003-06-24 Keteca Singapore Singapore Method and wafer for maintaining ultra clean bonding pads on a wafer
JP4286497B2 (en) 2002-07-17 2009-07-01 新光電気工業株式会社 Manufacturing method of semiconductor device
JP2004090534A (en) * 2002-09-02 2004-03-25 Tokyo Electron Ltd Processing apparatus and processing method for substrate
JP3908148B2 (en) 2002-10-28 2007-04-25 シャープ株式会社 Multilayer semiconductor device
US20040157457A1 (en) * 2003-02-12 2004-08-12 Songlin Xu Methods of using polymer films to form micro-structures
JP2004273895A (en) 2003-03-11 2004-09-30 Disco Abrasive Syst Ltd Dividing method of semiconductor wafer
US7087452B2 (en) * 2003-04-22 2006-08-08 Intel Corporation Edge arrangements for integrated circuit chips
JP2004322168A (en) 2003-04-25 2004-11-18 Disco Abrasive Syst Ltd Laser machining apparatus
JP4231349B2 (en) 2003-07-02 2009-02-25 株式会社ディスコ Laser processing method and laser processing apparatus
JP4408361B2 (en) 2003-09-26 2010-02-03 株式会社ディスコ Wafer division method
US7128806B2 (en) 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
JP4471632B2 (en) 2003-11-18 2010-06-02 株式会社ディスコ Wafer processing method
JP2005203541A (en) 2004-01-15 2005-07-28 Disco Abrasive Syst Ltd Laser-processing method for wafer
US7459377B2 (en) 2004-06-08 2008-12-02 Panasonic Corporation Method for dividing substrate
US7687740B2 (en) 2004-06-18 2010-03-30 Electro Scientific Industries, Inc. Semiconductor structure processing using multiple laterally spaced laser beam spots delivering multiple blows
JP4018088B2 (en) * 2004-08-02 2007-12-05 松下電器産業株式会社 Semiconductor wafer dividing method and semiconductor element manufacturing method
US7199050B2 (en) 2004-08-24 2007-04-03 Micron Technology, Inc. Pass through via technology for use during the manufacture of a semiconductor device
JP4018096B2 (en) 2004-10-05 2007-12-05 松下電器産業株式会社 Semiconductor wafer dividing method and semiconductor element manufacturing method
JP4288229B2 (en) 2004-12-24 2009-07-01 パナソニック株式会社 Manufacturing method of semiconductor chip
US7875898B2 (en) 2005-01-24 2011-01-25 Panasonic Corporation Semiconductor device
JP2006253402A (en) * 2005-03-10 2006-09-21 Nec Electronics Corp Manufacturing method of semiconductor device
JP2006248191A (en) * 2005-03-14 2006-09-21 Asahi Kasei Chemicals Corp Method for producing sheet-like or cylindrical printing base material of resin
JP4478053B2 (en) 2005-03-29 2010-06-09 株式会社ディスコ Semiconductor wafer processing method
JP4285455B2 (en) 2005-07-11 2009-06-24 パナソニック株式会社 Manufacturing method of semiconductor chip
JP4599243B2 (en) 2005-07-12 2010-12-15 株式会社ディスコ Laser processing equipment
TWI295816B (en) * 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
US9138913B2 (en) 2005-09-08 2015-09-22 Imra America, Inc. Transparent material processing with an ultrashort pulse laser
JP4769560B2 (en) 2005-12-06 2011-09-07 株式会社ディスコ Wafer division method
JP4372115B2 (en) 2006-05-12 2009-11-25 パナソニック株式会社 Semiconductor device manufacturing method and semiconductor module manufacturing method
US8198566B2 (en) 2006-05-24 2012-06-12 Electro Scientific Industries, Inc. Laser processing of workpieces containing low-k dielectric material
US20070272666A1 (en) 2006-05-25 2007-11-29 O'brien James N Infrared laser wafer scribing using short pulses
JP4480728B2 (en) 2006-06-09 2010-06-16 パナソニック株式会社 Method for manufacturing MEMS microphone
KR101262386B1 (en) 2006-09-25 2013-05-08 엘지이노텍 주식회사 Method for manufacturing nitride semiconductor light emitting device
JP4544231B2 (en) 2006-10-06 2010-09-15 パナソニック株式会社 Manufacturing method of semiconductor chip
JP4840174B2 (en) 2007-02-08 2011-12-21 パナソニック株式会社 Manufacturing method of semiconductor chip
JP4840200B2 (en) 2007-03-09 2011-12-21 パナソニック株式会社 Manufacturing method of semiconductor chip
US7926410B2 (en) 2007-05-01 2011-04-19 J.R. Automation Technologies, L.L.C. Hydraulic circuit for synchronized horizontal extension of cylinders
KR101634970B1 (en) 2007-05-18 2016-06-30 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device and method for manufacturing the same
JP4488037B2 (en) 2007-07-24 2010-06-23 パナソニック株式会社 Semiconductor wafer processing method
JP2009034694A (en) 2007-07-31 2009-02-19 Disco Abrasive Syst Ltd Laser beam machining method
US7989319B2 (en) 2007-08-07 2011-08-02 Semiconductor Components Industries, Llc Semiconductor die singulation method
US8012857B2 (en) 2007-08-07 2011-09-06 Semiconductor Components Industries, Llc Semiconductor die singulation method
TW200935506A (en) 2007-11-16 2009-08-16 Panasonic Corp Plasma dicing apparatus and semiconductor chip manufacturing method
JP2009141276A (en) * 2007-12-10 2009-06-25 Fuji Electric Device Technology Co Ltd Semiconductor device and its manufacturing method
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
US7859084B2 (en) 2008-02-28 2010-12-28 Panasonic Corporation Semiconductor substrate
JP2009260272A (en) * 2008-03-25 2009-11-05 Panasonic Corp Method of processing substrate, method of manufacturing semiconductor chip and method of manufacturing semiconductor chip with resin adhesion layer
WO2009126907A2 (en) 2008-04-10 2009-10-15 Applied Materials, Inc. Laser-scribing platform and hybrid writing strategy
TWI368271B (en) * 2008-07-02 2012-07-11 Powertech Technology Inc Equipment and method for cutting big size wafer
KR101026010B1 (en) 2008-08-13 2011-03-30 삼성전기주식회사 Laser processing apparatus and laser processing method
US8426250B2 (en) * 2008-10-22 2013-04-23 Intel Corporation Laser-assisted chemical singulation of a wafer
JP2010165963A (en) 2009-01-19 2010-07-29 Furukawa Electric Co Ltd:The Method of treating semiconductor wafer
US10307862B2 (en) 2009-03-27 2019-06-04 Electro Scientific Industries, Inc Laser micromachining with tailored bursts of short laser pulses
US8642448B2 (en) 2010-06-22 2014-02-04 Applied Materials, Inc. Wafer dicing using femtosecond-based laser and plasma etch
US8557683B2 (en) 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-step and asymmetrically shaped laser beam scribing
US8557682B2 (en) 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-layer mask for substrate dicing by laser and plasma etch
US8598016B2 (en) 2011-06-15 2013-12-03 Applied Materials, Inc. In-situ deposited mask layer for device singulation by laser scribing and plasma etch
US8703581B2 (en) 2011-06-15 2014-04-22 Applied Materials, Inc. Water soluble mask for substrate dicing by laser and plasma etch

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6897100B2 (en) * 1993-11-05 2005-05-24 Semiconductor Energy Laboratory Co., Ltd. Method for processing semiconductor device apparatus for processing a semiconductor and apparatus for processing semiconductor device
US20050023260A1 (en) * 2003-01-10 2005-02-03 Shinya Takyu Semiconductor wafer dividing apparatus and semiconductor device manufacturing method
WO2007019493A2 (en) * 2005-08-05 2007-02-15 Reveo, Inc. Process for making single crystalline flakes using deep etching
US20090014052A1 (en) * 2005-10-07 2009-01-15 Borden Peter G Module having an improved thin film solar cell interconnect
US8845854B2 (en) * 2012-07-13 2014-09-30 Applied Materials, Inc. Laser, plasma etch, and backside grind process for wafer dicing

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140017880A1 (en) * 2012-07-13 2014-01-16 Wei-Sheng Lei Laser, plasma etch, and backside grind process for wafer dicing
US8845854B2 (en) * 2012-07-13 2014-09-30 Applied Materials, Inc. Laser, plasma etch, and backside grind process for wafer dicing
US9076860B1 (en) * 2014-04-04 2015-07-07 Applied Materials, Inc. Residue removal from singulated die sidewall
US20150287638A1 (en) * 2014-04-04 2015-10-08 Jungrae Park Hybrid wafer dicing approach using collimated laser scribing process and plasma etch
WO2015160555A1 (en) * 2014-04-14 2015-10-22 Applied Materials, Inc. Water soluble mask formation by dry film lamination
US9583375B2 (en) 2014-04-14 2017-02-28 Applied Materials, Inc. Water soluble mask formation by dry film lamination
WO2017196549A1 (en) * 2016-05-13 2017-11-16 Applied Materials, Inc. Etch mask for hybrid laser scribing and plasma etch wafer singulation process

Also Published As

Publication number Publication date
CN103608900A (en) 2014-02-26
WO2012173759A3 (en) 2013-02-28
KR20140041751A (en) 2014-04-04
JP2015092605A (en) 2015-05-14
WO2012173759A2 (en) 2012-12-20
TW201302362A (en) 2013-01-16
KR102060024B1 (en) 2019-12-27
KR101463152B1 (en) 2014-11-20
TW201432805A (en) 2014-08-16
US8598016B2 (en) 2013-12-03
JP2014523110A (en) 2014-09-08
TWI520205B (en) 2016-02-01
JP6223325B2 (en) 2017-11-01
KR20140067175A (en) 2014-06-03
TWI469843B (en) 2015-01-21
US20120322234A1 (en) 2012-12-20

Similar Documents

Publication Publication Date Title
US8598016B2 (en) In-situ deposited mask layer for device singulation by laser scribing and plasma etch
US9263308B2 (en) Water soluble mask for substrate dicing by laser and plasma etch
US8557682B2 (en) Multi-layer mask for substrate dicing by laser and plasma etch
US8980726B2 (en) Substrate dicing by laser ablation and plasma etch damage removal for ultra-thin wafers
KR102303143B1 (en) Mask residue removal for substrate dicing by laser and plasma etch
US20150217401A1 (en) Damage isolation by shaped beam delivery in laser scribing process
US20140273401A1 (en) Substrate laser dicing mask including laser energy absorbing water-soluble film
US20140246153A1 (en) Multi-step and asymmetrically shaped laser beam scribing
US9620379B2 (en) Multi-layer mask including non-photodefinable laser energy absorbing layer for substrate dicing by laser and plasma etch

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION