US20140332037A1 - Controls of Ambient Environment During Wafer Drying Using Proximity Head - Google Patents

Controls of Ambient Environment During Wafer Drying Using Proximity Head Download PDF

Info

Publication number
US20140332037A1
US20140332037A1 US14/289,624 US201414289624A US2014332037A1 US 20140332037 A1 US20140332037 A1 US 20140332037A1 US 201414289624 A US201414289624 A US 201414289624A US 2014332037 A1 US2014332037 A1 US 2014332037A1
Authority
US
United States
Prior art keywords
substrate
processing
wafer
fluid
recited
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/289,624
Inventor
Mikhail Korolik
John M. de Larios
Mike Ravkin
Jeffrey Farber
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/261,839 external-priority patent/US7234477B2/en
Priority claimed from US10/817,398 external-priority patent/US7614411B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US14/289,624 priority Critical patent/US20140332037A1/en
Publication of US20140332037A1 publication Critical patent/US20140332037A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67046Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly scrubbing means, e.g. brushes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Definitions

  • the present invention relates to semiconductor wafer processing and, more particularly, to apparatuses and techniques for more efficiently applying and removing fluids from wafer surfaces while reducing contamination and decreasing wafer cleaning cost.
  • wafer cleaning may have to be conducted where a fabrication operation has been performed that leaves unwanted residues on the surfaces of wafers.
  • a fabrication operation examples include plasma etching (e.g., tungsten etch back (WEB)) and chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • a wafer is placed in a holder which pushes a wafer surface against a rolling conveyor belt.
  • This conveyor belt uses a slurry which consists of chemicals and abrasive materials to cause the polishing.
  • This process tends to leave an accumulation of slurry particles and residues at the wafer surface.
  • the unwanted residual material and particles may cause, among other things, defects such as scratches on the wafer surface and inappropriate interactions between metallization features. In some cases, such defects may cause devices on the wafer to become inoperable.
  • the wafer After a wafer has been wet cleaned, the wafer must be dried effectively to prevent water or cleaning fluid remnants from leaving residues on the wafer. If the cleaning fluid on the wafer surface is allowed to evaporate, as usually happens when droplets form, residues or contaminants previously dissolved in the cleaning fluid will remain on the wafer surface after evaporation (e.g., and form water spots). To prevent evaporation from taking place, the cleaning fluid must be removed as quickly as possible without the formation of droplets on the wafer surface. In an attempt to accomplish this, one of several different drying techniques are employed such as spin drying, IPA, or Marangoni drying.
  • FIG. 1A illustrates movement of cleaning fluids on a wafer 10 during an SRD drying process.
  • a wet wafer is rotated at a high rate by rotation 14 .
  • the water or cleaning fluid used to clean the wafer is pulled from the center of the wafer to the outside of the wafer and finally off of the wafer as shown by fluid directional arrows 16 .
  • a moving liquid/gas interface 12 is created at the center of the wafer and moves to the outside of the wafer (i.e., the circle produced by the moving liquid/gas interface 12 gets larger) as the drying process progresses.
  • the circle produced by the moving liquid/gas interface 12 gets larger
  • the inside area of the circle formed by the moving liquid/gas interface 12 is free from the fluid and the outside area of the circle formed by the moving liquid/gas interface 12 is the cleaning fluid. Therefore, as the drying process continues, the section inside (the dry area) of the moving liquid/gas interface 12 increases while the area (the wet area) outside of the moving liquid/gas interface 12 decreases. As stated previously, if the moving liquid/gas interface 12 breaks down, droplets of the cleaning fluid form on the wafer and contamination may occur due to evaporation of the droplets. As such, it is imperative that droplet formation and the subsequent evaporation be limited to keep contaminants off of the wafer surface. Unfortunately, the present drying methods are only partially successful at the prevention of moving liquid interface breakdown.
  • the SRD process has difficulties with drying wafer surfaces that are hydrophobic. Hydrophobic wafer surfaces can be difficult to dry because such surfaces repel water and water based (aqueous) cleaning solutions. Therefore, as the drying process continues and the cleaning fluid is pulled away from the wafer surface, the remaining cleaning fluid (if aqueous based) will be repelled by the wafer surface. As a result, the aqueous cleaning fluid will want the least amount of area to be in contact with the hydrophobic wafer surface. Additionally, the aqueous cleaning solution tends cling to itself as a result of surface tension (i.e., as a result of molecular hydrogen bonding).
  • FIG. 1B illustrates an exemplary wafer drying process 18 .
  • a portion 20 of the wafer 10 has a hydrophilic area and a portion 22 has a hydrophobic area.
  • the portion 20 attracts water so a fluid 26 pools in that area.
  • the portion 22 is hydrophobic so that area repels water and therefore there can be a thinner film of water on that portion of the wafer 10 . Therefore, the hydrophobic portions of the wafer 10 often dries more quickly than the hydrophilic portions. This may lead to inconsistent wafer drying that can increase contamination levels and therefore decrease wafer production yields.
  • the present invention fills these needs by providing a substrate processing apparatus that is capable of removing fluids in an effective manner on wafer surfaces while at the same time reducing wafer contamination. It should be appreciated that the present invention can be implemented in numerous ways, including as a process, an apparatus, a system, a device or a method. Several inventive embodiments of the present invention are described below.
  • a method for processing a substrate includes generating a fluid meniscus to process the substrate and applying the fluid meniscus to a surface of the substrate. The method further includes reducing evaporation of fluids from a surface in the substrate processing environment.
  • a method for processing a substrate which includes generating a fluid meniscus to process the substrate and applying the fluid meniscus to a surface of the substrate. The method also includes managing a substrate processing environment so evaporation of fluids from a surface in the substrate processing environment is reduced.
  • an apparatus for processing a substrate which includes a proximity head capable of generating a fluid meniscus to process a substrate surface and a chamber configured to house the proximity head where the chamber also configured to be supplied with an environmental control gas.
  • an apparatus for processing a substrate which includes a proximity head capable of generating a fluid meniscus to process a substrate surface, and a conduit located on a surface of the proximity head configured to apply an environmental control gas to a region on a leading edge side of the proximity head.
  • the advantages of the present invention are numerous. Most notably, the apparatuses and methods described herein efficiently process (e.g., clean, dry, etc.) that involves optimal management of fluid application and removal from the wafer) semiconductor wafer while reducing unwanted fluids and contaminants remaining on a wafer surface. Consequently, wafer processing and production may be increased and higher wafer yields may be achieved due to efficient wafer processing.
  • process e.g., clean, dry, etc.
  • the present invention enables optimal wafer processing through the generation and use of a fluid meniscus along with intelligent management of fluid evaporation from the wafer.
  • the relative humidity level of the atmosphere surrounding the wafer processing region may be managed so, for example, the relative humidity level of the atmosphere is at a high level. Therefore, when the relative humidity level of the wafer processing region is high, the fluid evaporation of the wafer is highly manageable.
  • the entire wafer processing chamber may have a controlled atmosphere with a high relative humidity level. Additionally, a limited region around the wafer may have a controlled relative humidity level thereby keeping the fluid evaporation from the wafer at a manageable level.
  • FIG. 1A illustrates movement of cleaning fluids on a wafer during an SRD drying process.
  • FIG. 1B illustrates an exemplary wafer drying process.
  • FIG. 2A shows a wafer processing system in accordance with one embodiment of the present invention.
  • FIG. 2B shows the region which has different portions with different evaporation rates in accordance with one embodiment of the present invention.
  • FIG. 3 illustrates a proximity head during a wafer processing operation in accordance with one embodiment of the present invention.
  • FIG. 4 shows a wafer processing chamber in accordance with one embodiment of the present invention.
  • FIG. 5 shows a wafer processing environment in accordance with one embodiment of the present invention.
  • FIG. 6 illustrates a wafer processing environment in accordance with one embodiment of the present invention.
  • the figures below illustrate embodiments of an exemplary wafer processing system. Specifically, the figures below illustrate embodiments of an exemplary wafer processing system using proximity heads to generate a fluid meniscus in a controlled environment that reduces humidity levels in the wafer processing environment (also known as a substrate processing environment). It should be appreciated that the systems described here are exemplary, and that any other suitable type of configuration that would enable movement of the proximity head(s) into close proximity to the wafer may be utilized with a controlled environment may be utilized. In the embodiments shown, the proximity head(s) may move in a linear fashion from a center portion of the wafer to the edge of the wafer.
  • the proximity head(s) move in a linear fashion from one edge of the wafer to another diametrically opposite edge of the wafer, or other non-linear movements may be utilized such as, for example, in a radial motion, in a circular motion, in a spiral motion, in a zig-zag motion, etc.
  • the motion may also be any suitable specified motion profile as desired by a user.
  • the wafer may be rotated and the proximity head moved in a linear fashion so the proximity head may process all portions of the wafer.
  • the proximity head is configured to move over the wafer in a fashion that enables processing of all portions of the wafer.
  • the proximity head and the wafer processing system described herein may be utilized to clean and dry any shape and size of substrates such as for example, 200 mm wafers, 300 mm wafers, flat panels, etc.
  • a fluid meniscus can be supported and moved (e.g., onto, off of and across a wafer) with a proximity head.
  • Various proximity heads and methods of using the proximity heads are described in co-owned U.S. patent application Ser. No. 10/330,843 filed on Dec. 24, 2002 and entitled “Meniscus, Vacuum, IPA Vapor, Drying Manifold,” which is a continuation-in-part of U.S. patent application Ser. No. 10/261,839 filed on Sep. 30, 2002 and entitled “Method and Apparatus for Drying Semiconductor Wafer Surfaces Using a Plurality of Inlets and Outlets Held in Close Proximity to the Wafer Surfaces,” both of which are incorporated herein by reference in its entirety.
  • FIG. 2A shows a wafer processing system 100 in accordance with one embodiment of the present invention.
  • the system 100 includes proximity heads 106 a and 106 b which may generate a fluid meniscus as discussed above in the U.S. patent applications incorporated by reference.
  • the proximity head 106 a is in close proximity above the wafer and the proximity head 106 b is in close proximity below the wafer in close proximity to the wafer.
  • the system 100 may be configured in any suitable manner as long as the proximity head(s) may be moved in close proximity to the wafer to generate and control a meniscus.
  • close proximity may be any suitable distance from the wafer as long as a meniscus may be maintained.
  • the proximity heads 106 a and 106 b may each be located between about 0.1 mm to about 10 mm from the wafer to generate the fluid meniscus on the wafer surface.
  • the proximity heads 106 a and 106 b may each be located bout 0.5 mm to about 4.5 mm from the wafer to generate the fluid meniscus on the wafer surface, and in more preferable embodiment, the proximity heads 106 a and 106 b (as well as any other proximity head described herein) may be located about 2 mm from the wafer to generate the fluid meniscus on the wafer surface.
  • the system 100 , the proximity heads 106 a and 106 b may be moved from processed to unprocessed portions of the wafer. It should be appreciated that the proximity heads 106 a and 106 b may be movable in any suitable manner that would enable movement of the proximity heads 106 a and 106 b to process the wafer as desired. It should be understood that although the wafer processing system 100 is shown with the proximity heads 106 a and 106 b , that any suitable number of proximity heads may be utilized such as, for example, 1, 2, 3, 4, 5, 6, etc. The proximity heads 106 a and/or 106 b of the wafer processing system 100 may also be any suitable size or shape as shown by, for example, any of the proximity heads as described herein.
  • the different configurations described herein generate a fluid meniscus between the proximity head and the wafer.
  • the fluid meniscus may be moved across the wafer to process the wafer by applying fluid to the wafer surface and removing fluids from the surface.
  • cleaning, drying, etching, and/or plating may be accomplished. Therefore, the proximity heads 106 a and 106 b can have any numerous types of configurations as shown herein or other configurations that enable the processes described herein. It should also be appreciated that the system 100 may process one surface of the wafer or both the top surface and the bottom surface of the wafer.
  • the system 100 may also be configured to process one side of the wafer with one type of process (e.g., etching, cleaning, drying, plating, etc.) and process the other side of the wafer using the same process or a different type of process by inputting and outputting different types of fluids or by using a different configuration meniscus.
  • the proximity heads can also be configured to process the bevel edge of the wafer in addition to processing the top and/or bottom of the wafer. This can be accomplished by moving the meniscus off (or onto) the edge the wafer which processes the bevel edge. It should also be understood that the proximity heads 106 a and 106 b may be the same type of apparatus or different types of proximity heads.
  • the unprocessed areas of the wafer may be presented to the proximity heads 106 a and 106 b through both the linear motion of the proximity heads 106 a and 106 b and through the rotation of the wafer 108 .
  • the wafer processing operation itself may be conducted by at least one of the proximity heads. Consequently, in one embodiment, processed portions of the wafer 108 would expand from a center region to the edge region of the wafer 108 in a spiral movement as the processing operation progresses.
  • the processed portions of the wafer 108 would expand from the edge region of the wafer 108 to the center region of the wafer 108 in a spiral movement.
  • the proximity heads 106 a and 106 b may be configured to dry, clean, etch, and/or plate the wafer 108 .
  • the at least one of first inlet may be configured to input deionized water (DIW) (also known as a DIW inlet)
  • the at least one of a second inlet may be configured to input N 2 carrier gas containing isopropyl alcohol (IPA) in vapor form (also known as IPA inlet)
  • the at least one outlet may be configured to remove fluids from a region between the wafer and a particular proximity head by applying vacuum (also known as vacuum outlet).
  • DIW deionized water
  • IPA isopropyl alcohol
  • IPA vapor is used in some of the exemplary embodiments, any other type of vapor may be utilized such as for example, nitrogen, any suitable alcohol vapor, organic compounds, volatile chemicals, etc. that may be miscible with water. It should be appreciated that the any suitable alcohol can be any suitable carbon-based chemical with a hydroxy group attached to a saturated carbon atom.
  • a cleaning solution may be substituted for the DIW such as, for example, SC-1, SC-2, etc.
  • other types of solutions may be inputted into the first inlet and the second inlet depending on the processing operation desired.
  • the inlets and outlets located on a face of the proximity head may be in any suitable configuration as long as a stable meniscus as described herein may be utilized.
  • the at least one N 2 /IPA vapor inlet may be adjacent to the at least one vacuum outlet which is in turn adjacent to the at least one processing fluid inlet to form an WA-vacuum-processing fluid orientation.
  • orientations such as IPA-processing fluid-vacuum, processing fluid-vacuum-WA, vacuum-WA-processing fluid, etc. may be utilized depending on the wafer processes desired and what type of wafer processing mechanism is sought to be enhanced.
  • the IPA-vacuum-processing fluid orientation may be utilized to intelligently and powerfully generate, control, and move the meniscus located between a proximity head and a wafer to process wafers.
  • the processing fluid inlets, the N 2 /IPA vapor inlets, and the vacuum outlets may be arranged in any suitable manner if the above orientation is maintained.
  • the distance between the IPA input, vacuum, and processing fluid input locations may be varied so the distances are consistent or so the distances are inconsistent.
  • the distances between the IPA input, vacuum, and processing fluid output may differ in magnitude depending on the size, shape, and configuration of the proximity head 106 a and the desired size of a process meniscus (i.e., meniscus shape and size).
  • exemplary WA-vacuum-processing fluid orientation may be found as described in the U.S. patent applications referenced above.
  • the proximity heads 106 a and 106 b may be positioned in close proximity to a top surface and a bottom surface respectively of the wafer 108 and may utilize the IPA and DIW inlets and a vacuum outlet(s) to generate wafer processing meniscuses in contact with the wafer 108 which are capable of processing the top surface and the bottom surface of the wafer 108 .
  • the wafer processing meniscus may be generated in accordance with the descriptions in reference to applications referenced and incorporated by reference above.
  • a vacuum may be applied in close proximity to the wafer surface to remove the IPA vapor, the processing fluid, and/or the fluids that may be on the wafer surface.
  • any other suitable type of vapor may be utilized such as for example, any suitable vapor of alcohol, ketone, ether, hexanol, ethyl glycol, organic compounds, etc. that may be miscible with water. These fluids may also be known as surface tension reducing fluids.
  • the portion of the processing fluid that is in the region between the proximity head and the wafer is the meniscus.
  • the term “output” can refer to the removal of fluid from a region between the wafer 108 and a particular proximity head, and the term “input” can be the introduction of fluid to the region between the wafer 108 and the particular proximity head.
  • the system 100 further includes a fluid supply distributor 120 that can supply to and remove fluids from the proximity heads 106 a and 106 b .
  • the fluid supply distributor 120 may be any suitable apparatus that can supply and receive fluids in an organized manner such as, for example, a manifold.
  • the fluid supply distributor 120 receives fluid from a fluid supply 122 .
  • the fluid supply 122 may be managed and controlled by a fluid supply control 124 which may be any suitable hardware/software that can manage fluid input to the proximity heads 106 a and 106 b .
  • the proximity heads 106 a and 106 b may produce meniscus 104 that may process the wafer 108 .
  • the wafer 108 may have different portions therein that can have different evaporation rates.
  • fluid 150 may accumulate on portions of the wafer 108 with slower evaporation rates as compared with other portions of the wafer 108 with a higher evaporation rates.
  • Higher evaporation rates may occur when portions of the wafer 108 are hydrophobic (repels water molecules) and therefore results in a thinner film of fluid than portions of the wafer 108 that are hydrophilic (attracts water). Consequently, when the film of fluid such as, for example, water is thinner, there may be less layers of water molecules to evaporate from the surface resulting in exposed contaminated dried areas due to uncontrolled evaporation.
  • fluid sensors 112 may detect portions of the wafer that have thinner layers of fluid and therefore, through in situ metrology different wafer locations with different fluid evaporation rates may be detected. It should be appreciated that any suitable manner of in situ metrology may be utilized which can detect the thickness of the fluid film on the wafer 108 .
  • a region 180 as discussed further in detail in reference to FIG. 2B has different portions with different evaporation rates as can be detected by the fluid sensors 112 through the determination of fluid thickness.
  • Environmental management may be conducted to reduce the problems of variable fluid evaporation rates from the wafer surface.
  • the environment of a wafer processing region e.g., atmosphere immediately around a region of the wafer which the meniscus 104 is processing or is about to process
  • a substrate processing environment also know as a substrate processing environment
  • the chamber where the wafer is being processed can be controlled and managed to keep the humidity in the chamber at a high level. Therefore, by intelligently managing the environment in the wafer processing region to keep humidity at an optimal level, the fluid evaporation rate from the wafer 108 may be decreased thereby reducing uncontrolled drying of wafer regions.
  • FIG. 2B shows the region 180 which has different portions with different evaporation rates in accordance with one embodiment of the present invention.
  • the region 180 includes the fluid 150 near the proximity head 106 as the proximity head 106 (as shown in FIG. 2A ) moves toward the region 180 .
  • the fluid 150 includes a portion 152 which shows evaporation and reassimilation of water molecules to and from the portion 152 .
  • the portion 152 therefore may includes evaporating liquid molecules 200 and reassimilating liquid molecules 202 .
  • the liquid molecules are water molecules.
  • the region 180 also shows areas of the wafer 108 without the fluid 150 that has a more hydrophobic region and therefore has already dried before the area with the fluid 150 . In the area without the fluid 150 , evaporating molecules 200 counteract the reassimilating molecules 200 and therefore that surface of the wafer 108 stays dry.
  • FIG. 3 illustrates a proximity head 106 during a wafer processing operation in accordance with one embodiment of the present invention.
  • the proximity head 106 may produce a fluid meniscus to process the wafer 108 .
  • the proximity head 106 includes inlets 302 and 306 which may input IPA/N 2 and deionized water (DIW) respectively.
  • An outlet 304 may generate vacuum which can remove the IPA/N 2 and the DIW (as well as any other fluid on the wafer 108 ) from a surface of the wafer 108 .
  • the proximity head 106 may process the wafer 108 so a wet region 270 can be dried to generate a dry region 250 .
  • a region 272 is a just recently dried region processed by the proximity head 106
  • a region 274 is a portion of the surface of the wafer 108 yet to be processed.
  • the region 274 may have fluid 278 which includes portions of the wafer surface that has different drying rates. The different drying rates may be generated due to wafer surface variations such as hydrophobic and hydrophilic regions.
  • different drying rates may be generated by IPA/N 2 application to the wafer 108 during the processing of the region 272 . During such processing, IPA/N 2 that is being applied to the region 272 of the wafer 108 may overflow into an adjacent region such as the region 274 .
  • the application of IPA/N 2 may create a condition where a higher evaporation rate may occur in the region 274 .
  • the evaporation rate of nearly all regions of the wafer 108 may be managed and therefore, the contamination of the wafer 108 may be reduced due to the controlled drying of the wafer 108 .
  • FIG. 4 shows a wafer processing chamber 300 in accordance with one embodiment of the present invention.
  • the chamber 300 includes proximity heads 106 attached to arms 105 which may move the proximity heads 106 into close proximity to the wafer 108 for wafer processing operations such as, for example, cleaning, drying, etc.
  • the proximity heads 106 may produce meniscus 104 that can process a surface of the wafer 108 .
  • Exemplary meniscus may be generated by apparatuses and methodology as described in the U.S. patent applications referenced above to process the wafer 108 .
  • the wafer processing chamber 300 includes a HEPA filter 302 that may supply substantially purified atmosphere to the chamber 300 .
  • the HEPA filter 302 may purify air supplied through input 310 .
  • the HEPA filter 302 may purify any suitable type of atmosphere such as, for example, IPA/N 2 , Ar, N 2 , O 2 , O 2 /N 2 , He, Ne, Xe, etc. It should be appreciated that the term atmosphere may be any suitable gas that can carry the desired amount of relative humidity.
  • the chamber 300 may also include inputs 306 which may provide a atmosphere with a controlled level of humidity. In one embodiment, the inputs 306 may supply gas with a high enough relative humidity level to decrease evaporation from the wafer 108 .
  • any suitable type of inert gas or vapor may be utilized as a carrier gas for carrying the high relative humidity level such as, for example, IPA/N 2 , N 2 , air (CDA), Ar, O 2 /N 2 , O 2 , etc.
  • the chamber 300 may be supplied with atmosphere, such as, for example air, that has between 10% to about 100% relative humidity. In a preferable embodiment, the atmosphere that is supplied to the chamber 300 may have greater than 80% relative humidity.
  • a controlled environment 304 of the processing chamber 300 may include an atmosphere with a high level of relative humidity in conjunction with meniscus 104 generated by the proximity heads 106 to intelligently and powerfully control wafer processing operations through the management of fluid evaporation from the wafer surface.
  • FIG. 5 shows a wafer processing environment 400 in accordance with one embodiment of the present invention.
  • the wafer processing environment 400 includes a control envelope 404 which encompasses the region where the relative humidity is controlled so that, in one embodiment, the atmosphere in that region has a high level of relative humidity.
  • the atmosphere may contain enough humidity so liquid molecules (e.g., water molecules) evaporating into the atmosphere is the same or less than the liquid molecules being assimilated back into liquid form on the wafer surface.
  • control envelope 404 may be generated through application of a suitable gas with a high relative humidity through inlet 402 of the proximity head 106 .
  • the inlet 402 may apply vapor to maintain some concentration of a particular liquid in water such as, for example, IPA/N 2 , acetone, any suitable type of alcohol, etc. including the azeotropic mixtures.
  • IPA is discussed in exemplary embodiments, that other types of chemicals may be utilized that enables the methodology discussed herein.
  • any suitable alcohol can be any suitable chemical compound that includes carbon and a hydroxy group.
  • An azeotropic ratio means that the ratio of the chemical composition of a liquid mixture is the same as the ratio of chemical composition of the vapor from the liquid mixture.
  • the gas with the high relative humidity and the vapor/gas that may maintain some concentration of a particular liquid (e.g. IPA) in water may be known as an environmental control gas.
  • IPA/N 2 vapor may be applied to water on the wafer surface through the inlet 401 to maintain some concentration of IPA in the water including the azeotropic mixture on the wafer surface. This may intelligently and powerfully reducing unwanted evaporation from the wafer surface.
  • the inlet 402 may be on a leading edge of the proximity head 106 and may apply the gas with a high relative humidity to the wafer 108 .
  • the evaporation rate is decreased thereby decreasing unwanted wafer drying.
  • the leading edge of the proximity head 106 is a region where the proximity head encounters unprocessed wafer surface such as wafer surface with fluid 278 within wafer region 406 .
  • the gas with a high level of relative humidity may be applied at or near the control envelope in any suitable fashion from any suitable location by any suitable apparatus. Therefore, the inlet 402 is just an exemplary embodiment that can apply controlled gas to reduce evaporation of fluids on the wafer surface. In this way the wafer region 406 may be kept in a controlled evaporative state before wafer processing of that particular region begins.
  • the level of relative humidity in the control envelope may be managed through varying the flow rate of a gas with high relative humidity or by applying a gas that may maintain some concentration of a particular liquid (e.g., IPA) in water including the azeotropic mixture.
  • the flow rate of the gas with high relative humidity may be increased thereby increasing the overall liquid level in the control enveloped 404 .
  • any suitable type of gas that may generate the desired level of evaporative rate of a fluid on surface of the wafer can be utilized.
  • a relative humidity higher than 50% can be utilized to manage the wafer processing environment to reduce unwanted drying and lower contamination levels on wafers.
  • a relative humidity of the gas may be between 90% and 100%, and in a preferable embodiment, a gas with a relative humidity of about 100% may be used.
  • FIG. 6 illustrates a wafer processing environment 400 ′ in accordance with one embodiment of the present invention.
  • the wafer processing environment 400 ′ in one embodiment, includes substantially all of the wafer processing chamber 300 .
  • the wafer processing environment 400 ′ may be generated by inputting gas(es) with a high relative humidity from a wafer processing environment generator 500 .
  • gas(es) that may maintain an some concentration of particular liquid in water may be inputted into the wafer processing environment 400 ′.
  • a specific concentration of IPA including the azeotropic concentration may be maintained in water.
  • the wafer processing environment generator 500 may be any suitable apparatus that can input gas(es) and that can manage and control the evaporative rate of fluids within the chamber 300 . It should also be understood that the any suitable gas may be utilized that can carry a high level of relative humidity such as, for example, clean dry air (CDA), N 2 , Ar, etc. In one embodiment, the wafer processing environment generator 500 may input a gas that may have from 50% relative humidity to about 100% relative humidity. In another embodiment, the relative humidity is between about 90% to about 100%, and preferably the gas may have about 100% relative humidity.
  • the wafer processing environment generator 500 is a bubbler 502 which is at least partially filled with liquid 504 .
  • the liquid 504 may be any suitable liquid or combination of liquids that can generate a gas/vapor with a high relative humidity when a gas is passed through the liquid 504 .
  • an input 506 such as, for example, a tube may be apply a gas 508 to the liquid 504 .
  • the liquid 504 may be any suitable type of liquid that may optionally include any suitable mixture, including azotropic mixtures such as, for example DIW and HCl, DIW and HF, DIW and IPA, etc.
  • the liquid may be DIW while in another embodiment, the liquid 504 may be DIW and liquid IPA. In yet another embodiment the liquid 504 may be IPA.
  • the gas 508 may pass through the liquid 504 and then bubble up as a vapor 510 which may be gas or the gas with a high relative humidity. In one embodiment, by exposing gas 508 to the liquid 504 during the bubble-up process, the gas 508 may be infused with high relative humidity content to generate the vapor 510 .
  • the vapor 510 may then be inputted into the chamber 300 to generate the wafer processing environment 400 ′. Once the gas 508 has been inputted into the chamber 300 , the humidity level of the atmosphere in the chamber 300 may be increased thereby decreasing the evaporation rate of fluids within the chamber 300 .
  • fluids on the wafer 108 being processed by the meniscus 104 may have a low evaporative rate.
  • fluids on the wafer 108 are managed to prevent or reduce the generation of a thin film of fluid in unprocessed portions of the wafer 108 .
  • the amount of fluid molecules evaporating into the atmosphere from the unprocessed wafer surface may be made substantially equal to the amount of fluid molecules hitting the wafer surface and staying on the wafer surface thereby reducing unwanted evaporation/drying.

Abstract

A method for processing a substrate is provided which includes generating a fluid meniscus to process the substrate and applying the fluid meniscus to a surface of the substrate. The method further includes reducing evaporation of fluids from a surface in the substrate processing environment.

Description

    CLAIM OF PRIORITY
  • This application is a continuation application of Ser. No. 12/555,217, entitled “Controls of Ambient Environment During Wafer Drying Using Proximity Head”, filed on Sep. 9, 2009, which was a divisional application of U.S. patent application Ser. No. 10/817,398 filed Apr. 1, 2004, which is a continuation-in-part of a co-pending U.S. patent application Ser. No. 10/261,839, entitled “Method and Apparatus for Drying Semiconductor Wafer Surfaces Using a Plurality of Inlets and Outlets Held in Close Proximity to the Wafer Surfaces” filed on Sep. 30, 2002. The aforementioned patent applications are hereby incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to semiconductor wafer processing and, more particularly, to apparatuses and techniques for more efficiently applying and removing fluids from wafer surfaces while reducing contamination and decreasing wafer cleaning cost.
  • 2. Description of the Related Art
  • In the semiconductor chip fabrication process, it is well-known that there is a need to process a wafer using operations such as cleaning and drying. In each of these types of operations, there is a need to effectively apply and remove fluids for the wafer operation process.
  • For example, wafer cleaning may have to be conducted where a fabrication operation has been performed that leaves unwanted residues on the surfaces of wafers. Examples of such a fabrication operation include plasma etching (e.g., tungsten etch back (WEB)) and chemical mechanical polishing (CMP). In CMP, a wafer is placed in a holder which pushes a wafer surface against a rolling conveyor belt. This conveyor belt uses a slurry which consists of chemicals and abrasive materials to cause the polishing. Unfortunately, this process tends to leave an accumulation of slurry particles and residues at the wafer surface. If left on the wafer, the unwanted residual material and particles may cause, among other things, defects such as scratches on the wafer surface and inappropriate interactions between metallization features. In some cases, such defects may cause devices on the wafer to become inoperable. In order to avoid the undue costs of discarding wafers having inoperable devices, it is therefore necessary to clean the wafer adequately yet efficiently after fabrication operations that leave unwanted residues.
  • After a wafer has been wet cleaned, the wafer must be dried effectively to prevent water or cleaning fluid remnants from leaving residues on the wafer. If the cleaning fluid on the wafer surface is allowed to evaporate, as usually happens when droplets form, residues or contaminants previously dissolved in the cleaning fluid will remain on the wafer surface after evaporation (e.g., and form water spots). To prevent evaporation from taking place, the cleaning fluid must be removed as quickly as possible without the formation of droplets on the wafer surface. In an attempt to accomplish this, one of several different drying techniques are employed such as spin drying, IPA, or Marangoni drying. All of these drying techniques utilize some form of a moving liquid/gas interface on a wafer surface which, if properly maintained, results in drying of a wafer surface without the formation of droplets. Unfortunately, if the moving liquid/gas interface breaks down, as often happens with all of the aforementioned drying methods, droplets form and evaporation occurs resulting in contaminants being left on the wafer surface. The most prevalent drying technique used today is spin rinse drying (SRD).
  • FIG. 1A illustrates movement of cleaning fluids on a wafer 10 during an SRD drying process. In this drying process, a wet wafer is rotated at a high rate by rotation 14. In SRD, by use of centrifugal force, the water or cleaning fluid used to clean the wafer is pulled from the center of the wafer to the outside of the wafer and finally off of the wafer as shown by fluid directional arrows 16. As the cleaning fluid is being pulled off of the wafer, a moving liquid/gas interface 12 is created at the center of the wafer and moves to the outside of the wafer (i.e., the circle produced by the moving liquid/gas interface 12 gets larger) as the drying process progresses. In the example of FIG. 1, the inside area of the circle formed by the moving liquid/gas interface 12 is free from the fluid and the outside area of the circle formed by the moving liquid/gas interface 12 is the cleaning fluid. Therefore, as the drying process continues, the section inside (the dry area) of the moving liquid/gas interface 12 increases while the area (the wet area) outside of the moving liquid/gas interface 12 decreases. As stated previously, if the moving liquid/gas interface 12 breaks down, droplets of the cleaning fluid form on the wafer and contamination may occur due to evaporation of the droplets. As such, it is imperative that droplet formation and the subsequent evaporation be limited to keep contaminants off of the wafer surface. Unfortunately, the present drying methods are only partially successful at the prevention of moving liquid interface breakdown.
  • In addition, the SRD process has difficulties with drying wafer surfaces that are hydrophobic. Hydrophobic wafer surfaces can be difficult to dry because such surfaces repel water and water based (aqueous) cleaning solutions. Therefore, as the drying process continues and the cleaning fluid is pulled away from the wafer surface, the remaining cleaning fluid (if aqueous based) will be repelled by the wafer surface. As a result, the aqueous cleaning fluid will want the least amount of area to be in contact with the hydrophobic wafer surface. Additionally, the aqueous cleaning solution tends cling to itself as a result of surface tension (i.e., as a result of molecular hydrogen bonding). Therefore, because of the hydrophobic interactions and the surface tension, balls (or droplets) of aqueous cleaning fluid forms in an uncontrolled manner on the hydrophobic wafer surface. This formation of droplets results in the harmful evaporation and the contamination discussed previously. The limitations of the SRD are particularly severe at the center of the wafer, where centrifugal force acting on the droplets is the smallest. Consequently, although the SRD process is presently the most common way of wafer drying, this method can have difficulties reducing formation of cleaning fluid droplets on the wafer surface especially when used on hydrophobic wafer surfaces. Certain portion of the wafer may have different hydrophobic properties.
  • FIG. 1B illustrates an exemplary wafer drying process 18. In this example a portion 20 of the wafer 10 has a hydrophilic area and a portion 22 has a hydrophobic area. The portion 20 attracts water so a fluid 26 pools in that area. The portion 22 is hydrophobic so that area repels water and therefore there can be a thinner film of water on that portion of the wafer 10. Therefore, the hydrophobic portions of the wafer 10 often dries more quickly than the hydrophilic portions. This may lead to inconsistent wafer drying that can increase contamination levels and therefore decrease wafer production yields.
  • Therefore, there is a need for a method and an apparatus that avoids the prior art by enabling optimized fluid management and application to a wafer that reduces contaminating deposits on the wafer surface. Such deposits as often occurs today reduce the yield of acceptable wafers and increase the cost of manufacturing semiconductor wafers.
  • SUMMARY OF THE INVENTION
  • Broadly speaking, the present invention fills these needs by providing a substrate processing apparatus that is capable of removing fluids in an effective manner on wafer surfaces while at the same time reducing wafer contamination. It should be appreciated that the present invention can be implemented in numerous ways, including as a process, an apparatus, a system, a device or a method. Several inventive embodiments of the present invention are described below.
  • In one embodiment, a method for processing a substrate is provided which includes generating a fluid meniscus to process the substrate and applying the fluid meniscus to a surface of the substrate. The method further includes reducing evaporation of fluids from a surface in the substrate processing environment.
  • In another embodiment, a method for processing a substrate is provided which includes generating a fluid meniscus to process the substrate and applying the fluid meniscus to a surface of the substrate. The method also includes managing a substrate processing environment so evaporation of fluids from a surface in the substrate processing environment is reduced.
  • In yet another embodiment, an apparatus for processing a substrate is provided which includes a proximity head capable of generating a fluid meniscus to process a substrate surface and a chamber configured to house the proximity head where the chamber also configured to be supplied with an environmental control gas.
  • In another embodiment, an apparatus for processing a substrate is provided which includes a proximity head capable of generating a fluid meniscus to process a substrate surface, and a conduit located on a surface of the proximity head configured to apply an environmental control gas to a region on a leading edge side of the proximity head.
  • The advantages of the present invention are numerous. Most notably, the apparatuses and methods described herein efficiently process (e.g., clean, dry, etc.) that involves optimal management of fluid application and removal from the wafer) semiconductor wafer while reducing unwanted fluids and contaminants remaining on a wafer surface. Consequently, wafer processing and production may be increased and higher wafer yields may be achieved due to efficient wafer processing.
  • The present invention enables optimal wafer processing through the generation and use of a fluid meniscus along with intelligent management of fluid evaporation from the wafer. Specifically, the relative humidity level of the atmosphere surrounding the wafer processing region may be managed so, for example, the relative humidity level of the atmosphere is at a high level. Therefore, when the relative humidity level of the wafer processing region is high, the fluid evaporation of the wafer is highly manageable. The entire wafer processing chamber may have a controlled atmosphere with a high relative humidity level. Additionally, a limited region around the wafer may have a controlled relative humidity level thereby keeping the fluid evaporation from the wafer at a manageable level.
  • Other aspects and advantages of the present invention will become apparent from the following detailed description, taken in conjunction with the accompanying drawings, illustrating by way of example the principles of the present invention.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention will be readily understood by the following detailed description in conjunction with the accompanying drawings. To facilitate this description, like reference numerals designate like structural elements.
  • FIG. 1A illustrates movement of cleaning fluids on a wafer during an SRD drying process.
  • FIG. 1B illustrates an exemplary wafer drying process.
  • FIG. 2A shows a wafer processing system in accordance with one embodiment of the present invention.
  • FIG. 2B shows the region which has different portions with different evaporation rates in accordance with one embodiment of the present invention.
  • FIG. 3 illustrates a proximity head during a wafer processing operation in accordance with one embodiment of the present invention.
  • FIG. 4 shows a wafer processing chamber in accordance with one embodiment of the present invention.
  • FIG. 5 shows a wafer processing environment in accordance with one embodiment of the present invention.
  • FIG. 6 illustrates a wafer processing environment in accordance with one embodiment of the present invention.
  • DETAILED DESCRIPTION
  • An invention for methods and apparatuses for processing a substrate is disclosed. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be understood, however, by one of ordinary skill in the art, that the present invention may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the present invention.
  • While this invention has been described in terms of several preferable embodiments, it will be appreciated that those skilled in the art upon reading the preceding specifications and studying the drawings will realize various alterations, additions, permutations and equivalents thereof. It is therefore intended that the present invention includes all such alterations, additions, permutations, and equivalents as fall within the true spirit and scope of the invention.
  • The figures below illustrate embodiments of an exemplary wafer processing system. Specifically, the figures below illustrate embodiments of an exemplary wafer processing system using proximity heads to generate a fluid meniscus in a controlled environment that reduces humidity levels in the wafer processing environment (also known as a substrate processing environment). It should be appreciated that the systems described here are exemplary, and that any other suitable type of configuration that would enable movement of the proximity head(s) into close proximity to the wafer may be utilized with a controlled environment may be utilized. In the embodiments shown, the proximity head(s) may move in a linear fashion from a center portion of the wafer to the edge of the wafer. It should be appreciated that other embodiments may be utilized where the proximity head(s) move in a linear fashion from one edge of the wafer to another diametrically opposite edge of the wafer, or other non-linear movements may be utilized such as, for example, in a radial motion, in a circular motion, in a spiral motion, in a zig-zag motion, etc. The motion may also be any suitable specified motion profile as desired by a user. In addition, in one embodiment, the wafer may be rotated and the proximity head moved in a linear fashion so the proximity head may process all portions of the wafer. It should also be understood that other embodiments may be utilized where the wafer is not rotated but the proximity head is configured to move over the wafer in a fashion that enables processing of all portions of the wafer. In addition, the proximity head and the wafer processing system described herein may be utilized to clean and dry any shape and size of substrates such as for example, 200 mm wafers, 300 mm wafers, flat panels, etc.
  • A fluid meniscus can be supported and moved (e.g., onto, off of and across a wafer) with a proximity head. Various proximity heads and methods of using the proximity heads are described in co-owned U.S. patent application Ser. No. 10/330,843 filed on Dec. 24, 2002 and entitled “Meniscus, Vacuum, IPA Vapor, Drying Manifold,” which is a continuation-in-part of U.S. patent application Ser. No. 10/261,839 filed on Sep. 30, 2002 and entitled “Method and Apparatus for Drying Semiconductor Wafer Surfaces Using a Plurality of Inlets and Outlets Held in Close Proximity to the Wafer Surfaces,” both of which are incorporated herein by reference in its entirety. Additional embodiments and uses of the proximity head are also disclosed in U.S. patent application Ser. No. 10/330,897, filed on Dec. 24, 2002, entitled “System for Substrate Processing with Meniscus, Vacuum, IPA vapor, Drying Manifold” and U.S. patent application Ser. No. 10/404,692, filed on Mar. 31, 2003, entitled “Methods and Systems for Processing a Substrate Using a Dynamic Liquid Meniscus.” Still additional embodiments of the proximity head are described in U.S. patent application Ser. No. 10/404,270, filed on Mar. 31, 2003, entitled “Vertical Proximity Processor,” U.S. patent application Ser. No. 10/603,427, filed on Jun. 24, 2003, and entitled “Methods and Systems for Processing a Bevel Edge of a Substrate Using a Dynamic Liquid Meniscus,” U.S. patent application Ser. No. 10/606,022, filed on Jun. 24, 2003, and entitled “System and Method for Integrating In-Situ Metrology within a Wafer Process,” U.S. patent application Ser. No. 10/607,611 filed on Jun. 27, 2003 entitled “Apparatus and Method for Depositing and Planarizing Thin Films of Semiconductor Wafers,” U.S. patent application Ser. No. 10/611,140 filed on Jun. 30, 2003 entitled “Method and Apparatus for Cleaning a Substrate Using Megasonic Power,” and U.S. patent application Ser. No. 10/742,303 entitled “Proximity Brush Unit Apparatus and Method.” The aforementioned patent applications are hereby incorporated by reference in their entirety.
  • FIG. 2A shows a wafer processing system 100 in accordance with one embodiment of the present invention. The system 100 includes proximity heads 106 a and 106 b which may generate a fluid meniscus as discussed above in the U.S. patent applications incorporated by reference. In one embodiment the proximity head 106 a is in close proximity above the wafer and the proximity head 106 b is in close proximity below the wafer in close proximity to the wafer. It should also be appreciated that the system 100 may be configured in any suitable manner as long as the proximity head(s) may be moved in close proximity to the wafer to generate and control a meniscus. It should also be understood that close proximity may be any suitable distance from the wafer as long as a meniscus may be maintained. In one embodiment, the proximity heads 106 a and 106 b (as well as any other proximity head described herein) may each be located between about 0.1 mm to about 10 mm from the wafer to generate the fluid meniscus on the wafer surface. In a preferable embodiment, the proximity heads 106 a and 106 b (as well as any other proximity head described herein) may each be located bout 0.5 mm to about 4.5 mm from the wafer to generate the fluid meniscus on the wafer surface, and in more preferable embodiment, the proximity heads 106 a and 106 b (as well as any other proximity head described herein) may be located about 2 mm from the wafer to generate the fluid meniscus on the wafer surface.
  • In one embodiment, the system 100, the proximity heads 106 a and 106 b may be moved from processed to unprocessed portions of the wafer. It should be appreciated that the proximity heads 106 a and 106 b may be movable in any suitable manner that would enable movement of the proximity heads 106 a and 106 b to process the wafer as desired. It should be understood that although the wafer processing system 100 is shown with the proximity heads 106 a and 106 b, that any suitable number of proximity heads may be utilized such as, for example, 1, 2, 3, 4, 5, 6, etc. The proximity heads 106 a and/or 106 b of the wafer processing system 100 may also be any suitable size or shape as shown by, for example, any of the proximity heads as described herein. The different configurations described herein generate a fluid meniscus between the proximity head and the wafer. The fluid meniscus may be moved across the wafer to process the wafer by applying fluid to the wafer surface and removing fluids from the surface. In such a way, depending on the fluids applied to the wafer, cleaning, drying, etching, and/or plating may be accomplished. Therefore, the proximity heads 106 a and 106 b can have any numerous types of configurations as shown herein or other configurations that enable the processes described herein. It should also be appreciated that the system 100 may process one surface of the wafer or both the top surface and the bottom surface of the wafer.
  • In addition, besides processing the top and/or bottom surfaces of the wafer, the system 100 may also be configured to process one side of the wafer with one type of process (e.g., etching, cleaning, drying, plating, etc.) and process the other side of the wafer using the same process or a different type of process by inputting and outputting different types of fluids or by using a different configuration meniscus. The proximity heads can also be configured to process the bevel edge of the wafer in addition to processing the top and/or bottom of the wafer. This can be accomplished by moving the meniscus off (or onto) the edge the wafer which processes the bevel edge. It should also be understood that the proximity heads 106 a and 106 b may be the same type of apparatus or different types of proximity heads.
  • In an exemplary wafer processing operation, the unprocessed areas of the wafer may be presented to the proximity heads 106 a and 106 b through both the linear motion of the proximity heads 106 a and 106 b and through the rotation of the wafer 108. The wafer processing operation itself may be conducted by at least one of the proximity heads. Consequently, in one embodiment, processed portions of the wafer 108 would expand from a center region to the edge region of the wafer 108 in a spiral movement as the processing operation progresses. In another embodiment, when the proximity heads 106 a and 106 b are moved from the periphery of the wafer 108 to the center of the wafer 108, the processed portions of the wafer 108 would expand from the edge region of the wafer 108 to the center region of the wafer 108 in a spiral movement.
  • In an exemplary processing operation, it should be understood that the proximity heads 106 a and 106 b may be configured to dry, clean, etch, and/or plate the wafer 108. In an exemplary drying embodiment, the at least one of first inlet may be configured to input deionized water (DIW) (also known as a DIW inlet), the at least one of a second inlet may be configured to input N2 carrier gas containing isopropyl alcohol (IPA) in vapor form (also known as IPA inlet), and the at least one outlet may be configured to remove fluids from a region between the wafer and a particular proximity head by applying vacuum (also known as vacuum outlet). It should be appreciated that although IPA vapor is used in some of the exemplary embodiments, any other type of vapor may be utilized such as for example, nitrogen, any suitable alcohol vapor, organic compounds, volatile chemicals, etc. that may be miscible with water. It should be appreciated that the any suitable alcohol can be any suitable carbon-based chemical with a hydroxy group attached to a saturated carbon atom.
  • In an exemplary cleaning embodiment, a cleaning solution may be substituted for the DIW such as, for example, SC-1, SC-2, etc. In addition, other types of solutions may be inputted into the first inlet and the second inlet depending on the processing operation desired.
  • It should be appreciated that the inlets and outlets located on a face of the proximity head may be in any suitable configuration as long as a stable meniscus as described herein may be utilized. In one embodiment, the at least one N2/IPA vapor inlet may be adjacent to the at least one vacuum outlet which is in turn adjacent to the at least one processing fluid inlet to form an WA-vacuum-processing fluid orientation. It should be appreciated that other types of orientations such as IPA-processing fluid-vacuum, processing fluid-vacuum-WA, vacuum-WA-processing fluid, etc. may be utilized depending on the wafer processes desired and what type of wafer processing mechanism is sought to be enhanced. In another embodiment, the IPA-vacuum-processing fluid orientation may be utilized to intelligently and powerfully generate, control, and move the meniscus located between a proximity head and a wafer to process wafers. The processing fluid inlets, the N2/IPA vapor inlets, and the vacuum outlets may be arranged in any suitable manner if the above orientation is maintained. For example, in addition to the N2/IPA vapor inlet, the vacuum outlet, and the processing fluid inlet, in an additional embodiment, there may be additional sets of IPA vapor outlets, processing fluid inlets and/or vacuum outlets depending on the configuration of the proximity head desired. It should be appreciated that the exact configuration of the WA-vacuum-processing fluid orientation may be varied depending on the application. For example, the distance between the IPA input, vacuum, and processing fluid input locations may be varied so the distances are consistent or so the distances are inconsistent. In addition, the distances between the IPA input, vacuum, and processing fluid output may differ in magnitude depending on the size, shape, and configuration of the proximity head 106 a and the desired size of a process meniscus (i.e., meniscus shape and size). In addition, exemplary WA-vacuum-processing fluid orientation may be found as described in the U.S. patent applications referenced above.
  • In one embodiment, the proximity heads 106 a and 106 b may be positioned in close proximity to a top surface and a bottom surface respectively of the wafer 108 and may utilize the IPA and DIW inlets and a vacuum outlet(s) to generate wafer processing meniscuses in contact with the wafer 108 which are capable of processing the top surface and the bottom surface of the wafer 108. The wafer processing meniscus may be generated in accordance with the descriptions in reference to applications referenced and incorporated by reference above. At substantially the same time the IPA and the processing fluid is inputted, a vacuum may be applied in close proximity to the wafer surface to remove the IPA vapor, the processing fluid, and/or the fluids that may be on the wafer surface. It should be appreciated that although IPA is utilized in the exemplary embodiment, any other suitable type of vapor may be utilized such as for example, any suitable vapor of alcohol, ketone, ether, hexanol, ethyl glycol, organic compounds, etc. that may be miscible with water. These fluids may also be known as surface tension reducing fluids. The portion of the processing fluid that is in the region between the proximity head and the wafer is the meniscus. It should be appreciated that as used herein, the term “output” can refer to the removal of fluid from a region between the wafer 108 and a particular proximity head, and the term “input” can be the introduction of fluid to the region between the wafer 108 and the particular proximity head.
  • In one embodiment, the system 100 further includes a fluid supply distributor 120 that can supply to and remove fluids from the proximity heads 106 a and 106 b. It should be appreciated that the fluid supply distributor 120 may be any suitable apparatus that can supply and receive fluids in an organized manner such as, for example, a manifold. In one embodiment, the fluid supply distributor 120 receives fluid from a fluid supply 122. The fluid supply 122 may be managed and controlled by a fluid supply control 124 which may be any suitable hardware/software that can manage fluid input to the proximity heads 106 a and 106 b. The proximity heads 106 a and 106 b may produce meniscus 104 that may process the wafer 108.
  • In one exemplary wafer processing operation, the wafer 108 may have different portions therein that can have different evaporation rates. For example, fluid 150 may accumulate on portions of the wafer 108 with slower evaporation rates as compared with other portions of the wafer 108 with a higher evaporation rates. Higher evaporation rates may occur when portions of the wafer 108 are hydrophobic (repels water molecules) and therefore results in a thinner film of fluid than portions of the wafer 108 that are hydrophilic (attracts water). Consequently, when the film of fluid such as, for example, water is thinner, there may be less layers of water molecules to evaporate from the surface resulting in exposed contaminated dried areas due to uncontrolled evaporation.
  • In one embodiment of the system 100, fluid sensors 112 may detect portions of the wafer that have thinner layers of fluid and therefore, through in situ metrology different wafer locations with different fluid evaporation rates may be detected. It should be appreciated that any suitable manner of in situ metrology may be utilized which can detect the thickness of the fluid film on the wafer 108. A region 180 as discussed further in detail in reference to FIG. 2B has different portions with different evaporation rates as can be detected by the fluid sensors 112 through the determination of fluid thickness. Environmental management may be conducted to reduce the problems of variable fluid evaporation rates from the wafer surface.
  • As discussed below, the environment of a wafer processing region (e.g., atmosphere immediately around a region of the wafer which the meniscus 104 is processing or is about to process) (also know as a substrate processing environment) may be controlled and managed in an intelligent manner so humidity is kept at a high level. In addition, the chamber where the wafer is being processed can be controlled and managed to keep the humidity in the chamber at a high level. Therefore, by intelligently managing the environment in the wafer processing region to keep humidity at an optimal level, the fluid evaporation rate from the wafer 108 may be decreased thereby reducing uncontrolled drying of wafer regions.
  • FIG. 2B shows the region 180 which has different portions with different evaporation rates in accordance with one embodiment of the present invention. In one embodiment, the region 180 includes the fluid 150 near the proximity head 106 as the proximity head 106 (as shown in FIG. 2A) moves toward the region 180. The fluid 150 includes a portion 152 which shows evaporation and reassimilation of water molecules to and from the portion 152. The portion 152 therefore may includes evaporating liquid molecules 200 and reassimilating liquid molecules 202. In one embodiment, the liquid molecules are water molecules. The region 180 also shows areas of the wafer 108 without the fluid 150 that has a more hydrophobic region and therefore has already dried before the area with the fluid 150. In the area without the fluid 150, evaporating molecules 200 counteract the reassimilating molecules 200 and therefore that surface of the wafer 108 stays dry.
  • FIG. 3 illustrates a proximity head 106 during a wafer processing operation in accordance with one embodiment of the present invention. In one embodiment, the proximity head 106 may produce a fluid meniscus to process the wafer 108. In one embodiment of a drying operation, the proximity head 106 includes inlets 302 and 306 which may input IPA/N2 and deionized water (DIW) respectively. An outlet 304 may generate vacuum which can remove the IPA/N2 and the DIW (as well as any other fluid on the wafer 108) from a surface of the wafer 108. In such an exemplary embodiment, the proximity head 106 may process the wafer 108 so a wet region 270 can be dried to generate a dry region 250. In one example, a region 272 is a just recently dried region processed by the proximity head 106, and a region 274 is a portion of the surface of the wafer 108 yet to be processed. In one example, the region 274 may have fluid 278 which includes portions of the wafer surface that has different drying rates. The different drying rates may be generated due to wafer surface variations such as hydrophobic and hydrophilic regions. In another example, different drying rates may be generated by IPA/N2 application to the wafer 108 during the processing of the region 272. During such processing, IPA/N2 that is being applied to the region 272 of the wafer 108 may overflow into an adjacent region such as the region 274. Therefore, the application of IPA/N2 may create a condition where a higher evaporation rate may occur in the region 274. By managing the humidity of the atmosphere of the region where the wafer 108 is being processed, the evaporation rate of nearly all regions of the wafer 108 may be managed and therefore, the contamination of the wafer 108 may be reduced due to the controlled drying of the wafer 108.
  • FIG. 4 shows a wafer processing chamber 300 in accordance with one embodiment of the present invention. The chamber 300 includes proximity heads 106 attached to arms 105 which may move the proximity heads 106 into close proximity to the wafer 108 for wafer processing operations such as, for example, cleaning, drying, etc. The proximity heads 106 may produce meniscus 104 that can process a surface of the wafer 108. Exemplary meniscus may be generated by apparatuses and methodology as described in the U.S. patent applications referenced above to process the wafer 108.
  • In one embodiment, the wafer processing chamber 300 includes a HEPA filter 302 that may supply substantially purified atmosphere to the chamber 300. In one embodiment, the HEPA filter 302 may purify air supplied through input 310. In another embodiment, the HEPA filter 302 may purify any suitable type of atmosphere such as, for example, IPA/N2, Ar, N2, O2, O2/N2, He, Ne, Xe, etc. It should be appreciated that the term atmosphere may be any suitable gas that can carry the desired amount of relative humidity. The chamber 300 may also include inputs 306 which may provide a atmosphere with a controlled level of humidity. In one embodiment, the inputs 306 may supply gas with a high enough relative humidity level to decrease evaporation from the wafer 108. It should be appreciated that any suitable type of inert gas or vapor may be utilized as a carrier gas for carrying the high relative humidity level such as, for example, IPA/N2, N2, air (CDA), Ar, O2/N2, O2, etc. In another embodiment, the chamber 300 may be supplied with atmosphere, such as, for example air, that has between 10% to about 100% relative humidity. In a preferable embodiment, the atmosphere that is supplied to the chamber 300 may have greater than 80% relative humidity. Therefore, in one embodiment, a controlled environment 304 of the processing chamber 300 may include an atmosphere with a high level of relative humidity in conjunction with meniscus 104 generated by the proximity heads 106 to intelligently and powerfully control wafer processing operations through the management of fluid evaporation from the wafer surface.
  • FIG. 5 shows a wafer processing environment 400 in accordance with one embodiment of the present invention. In one embodiment, the wafer processing environment 400 includes a control envelope 404 which encompasses the region where the relative humidity is controlled so that, in one embodiment, the atmosphere in that region has a high level of relative humidity. In a preferable embodiment, the atmosphere may contain enough humidity so liquid molecules (e.g., water molecules) evaporating into the atmosphere is the same or less than the liquid molecules being assimilated back into liquid form on the wafer surface.
  • In one embodiment, the control envelope 404 may be generated through application of a suitable gas with a high relative humidity through inlet 402 of the proximity head 106. In another embodiment, the inlet 402 may apply vapor to maintain some concentration of a particular liquid in water such as, for example, IPA/N2, acetone, any suitable type of alcohol, etc. including the azeotropic mixtures. It should be understood that although IPA is discussed in exemplary embodiments, that other types of chemicals may be utilized that enables the methodology discussed herein. It should be appreciated that the any suitable alcohol can be any suitable chemical compound that includes carbon and a hydroxy group. An azeotropic ratio means that the ratio of the chemical composition of a liquid mixture is the same as the ratio of chemical composition of the vapor from the liquid mixture. As described herein the gas with the high relative humidity and the vapor/gas that may maintain some concentration of a particular liquid (e.g. IPA) in water may be known as an environmental control gas. In one embodiment, IPA/N2 vapor may be applied to water on the wafer surface through the inlet 401 to maintain some concentration of IPA in the water including the azeotropic mixture on the wafer surface. This may intelligently and powerfully reducing unwanted evaporation from the wafer surface. In one embodiment, the inlet 402 may be on a leading edge of the proximity head 106 and may apply the gas with a high relative humidity to the wafer 108. When high relative humidity gas is applied to water on the wafer surface, the evaporation rate is decreased thereby decreasing unwanted wafer drying. The leading edge of the proximity head 106 is a region where the proximity head encounters unprocessed wafer surface such as wafer surface with fluid 278 within wafer region 406. It should be appreciated that the gas with a high level of relative humidity may be applied at or near the control envelope in any suitable fashion from any suitable location by any suitable apparatus. Therefore, the inlet 402 is just an exemplary embodiment that can apply controlled gas to reduce evaporation of fluids on the wafer surface. In this way the wafer region 406 may be kept in a controlled evaporative state before wafer processing of that particular region begins.
  • In addition, in one embodiment, the level of relative humidity in the control envelope may be managed through varying the flow rate of a gas with high relative humidity or by applying a gas that may maintain some concentration of a particular liquid (e.g., IPA) in water including the azeotropic mixture. In such an embodiment, the flow rate of the gas with high relative humidity may be increased thereby increasing the overall liquid level in the control enveloped 404. It should be appreciated that any suitable type of gas that may generate the desired level of evaporative rate of a fluid on surface of the wafer can be utilized. In one embodiment, when a gas with a high relative humidity is used, a relative humidity higher than 50% can be utilized to manage the wafer processing environment to reduce unwanted drying and lower contamination levels on wafers. In another embodiment, a relative humidity of the gas may be between 90% and 100%, and in a preferable embodiment, a gas with a relative humidity of about 100% may be used.
  • FIG. 6 illustrates a wafer processing environment 400′ in accordance with one embodiment of the present invention. The wafer processing environment 400′, in one embodiment, includes substantially all of the wafer processing chamber 300. The wafer processing environment 400′ may be generated by inputting gas(es) with a high relative humidity from a wafer processing environment generator 500. In another embodiment of the wafer processing environment generator 500, gas(es) that may maintain an some concentration of particular liquid in water may be inputted into the wafer processing environment 400′. In one particular embodiment, a specific concentration of IPA including the azeotropic concentration may be maintained in water. It should be appreciated that the wafer processing environment generator 500 may be any suitable apparatus that can input gas(es) and that can manage and control the evaporative rate of fluids within the chamber 300. It should also be understood that the any suitable gas may be utilized that can carry a high level of relative humidity such as, for example, clean dry air (CDA), N2, Ar, etc. In one embodiment, the wafer processing environment generator 500 may input a gas that may have from 50% relative humidity to about 100% relative humidity. In another embodiment, the relative humidity is between about 90% to about 100%, and preferably the gas may have about 100% relative humidity.
  • In one embodiment, the wafer processing environment generator 500 is a bubbler 502 which is at least partially filled with liquid 504. It should be appreciated that the liquid 504 may be any suitable liquid or combination of liquids that can generate a gas/vapor with a high relative humidity when a gas is passed through the liquid 504. In one embodiment, an input 506 such as, for example, a tube may be apply a gas 508 to the liquid 504. It should be appreciated that the liquid 504 may be any suitable type of liquid that may optionally include any suitable mixture, including azotropic mixtures such as, for example DIW and HCl, DIW and HF, DIW and IPA, etc. In one embodiment, the liquid may be DIW while in another embodiment, the liquid 504 may be DIW and liquid IPA. In yet another embodiment the liquid 504 may be IPA. The gas 508 may pass through the liquid 504 and then bubble up as a vapor 510 which may be gas or the gas with a high relative humidity. In one embodiment, by exposing gas 508 to the liquid 504 during the bubble-up process, the gas 508 may be infused with high relative humidity content to generate the vapor 510. The vapor 510 may then be inputted into the chamber 300 to generate the wafer processing environment 400′. Once the gas 508 has been inputted into the chamber 300, the humidity level of the atmosphere in the chamber 300 may be increased thereby decreasing the evaporation rate of fluids within the chamber 300. Therefore, fluids on the wafer 108 being processed by the meniscus 104 may have a low evaporative rate. To put it another way, fluids on the wafer 108 are managed to prevent or reduce the generation of a thin film of fluid in unprocessed portions of the wafer 108. By increasing the concentration of the humidifying solute in the gas 508, the amount of fluid molecules evaporating into the atmosphere from the unprocessed wafer surface may be made substantially equal to the amount of fluid molecules hitting the wafer surface and staying on the wafer surface thereby reducing unwanted evaporation/drying.
  • It should be appreciated that in the embodiments described herein, other atmospheric conditions such as ambient temperature, barometric pressure, etc. may affect the degree of evaporative loss of fluid from a wafer surface. Therefore, it should be understood that for each different type of atmospheric condition, different levels of humidity may be needed to achieve a controlled decrease of unwanted evaporative fluid loss from the wafer.
  • While this invention has been described in terms of several preferred embodiments, it will be appreciated that those skilled in the art upon reading the preceding specifications and studying the drawings will realize various alterations, additions, permutations and equivalents thereof. It is therefore intended that the present invention includes all such alterations, additions, permutations, and equivalents as fall within the true spirit and scope of the invention.

Claims (20)

What is claimed is:
1. A method for processing a substrate, comprising:
generating a fluid meniscus to process the substrate, the fluid meniscus being defined between a surface of a proximity head and a surface of the substrate, and the proximity head is configured to deliver and remove fluid to and from a surface of the substrate at substantially a same time to maintain the fluid meniscus between the surface of the proximity head and the surface of the substrate;
applying the fluid meniscus to a surface of the substrate, the applying being performed by moving the fluid meniscus across the surface of the substrate, which enables progressive processing of unprocessed regions of the substrate as the fluid meniscus moves across the surface of the substrate; and
while the fluid meniscus moves across the surface of the substrate, managing a substrate processing environment so evaporation of fluids in unprocessed regions of the substrate is reduced.
2. A method for processing a substrate as recited in claim 1, wherein managing the substrate processing environment comprises inputting a gas into the substrate processing environment to reduce an evaporation rate of fluids within the substrate processing environment.
3. A method for processing a substrate as recited in claim 2, wherein the gas has a high relative humidity.
4. A method for processing a substrate as recited in claim 3, wherein the gas with the high relative humidity is generated by transmitting gas into a liquid bath and capturing vapor that bubbles up through the liquid bath.
5. A method for processing a substrate as recited in claim 3, wherein the gas with the high relative humidity has a relative humidity between about 50% and about 100%.
6. A method for processing a substrate as recited in claim 2, wherein managing the substrate processing environment further comprises detecting fluid thickness on the fluid surface.
7. A method for processing a substrate as recited in claim 2, wherein the gas maintains a concentration of a particular liquid in water.
8. A method for processing a substrate as recited in claim 7, wherein the particular liquid is one of an alcohol, an acetone, and an azeotropic mixture.
9. A method for processing a substrate as recited in claim 7, wherein the particular liquid is isopropyl alcohol (IPA).
10. A method for processing a substrate as recited in claim 7, wherein the gas that maintains a concentration of the particular liquid in water is an N2 carrier gas containing isopropyl alcohol (IPA) in vapor form.
11. A method for processing a substrate as recited in claim 1, wherein the fluid meniscus is one or mixtures of chemicals, DIW and HCl, DIW and HF, DIW or IPA.
12. A method for processing a substrate as recited in claim 1, wherein reduced evaporation reduces reassimilation water molecules to and from the surface of the substrate.
13. A method for processing a substrate, comprising:
generating a fluid meniscus to process the substrate, the fluid meniscus being defined between a surface of a proximity head and a surface of the substrate, and the proximity head is configured to deliver and remove fluid to and from a surface of the substrate at substantially a same time to maintain the fluid meniscus between the surface of the proximity head and the surface of the substrate;
applying the fluid meniscus to a surface of the substrate, the applying being performed by moving the fluid meniscus across the surface of the substrate, which enables progressive processing of unprocessed regions of the substrate as the fluid meniscus moves across the surface of the substrate; and
while the fluid meniscus moves across the surface of the substrate, applying a humidifying gas at a leading edge of the proximity head, so that evaporation in the unprocessed regions is reduced before the fluid meniscus encounters the unprocessed regions of the substrate.
14. A method for processing a substrate as recited in claim 13, further comprising:
processing the substrate in a chamber that includes additional humidifying gas that reduces evaporation of fluids on the surface of the substrate.
15. A method for processing a substrate as recited in claim 13, wherein the humidifying gas is generated by transmitting gas into a liquid bath and capturing vapor that bubbles up through the liquid bath.
16. A method for processing a substrate as recited in claim 13, wherein the humidifying gas has a relative humidity between about 50% and about 100%.
17. A method for processing a substrate as recited in claim 13, wherein the humidifying gas applied at the leading edge of the proximity head produces a control envelope containing a humidity that is greater than other regions not in the leading edge.
18. A method for processing a substrate as recited in claim 13, wherein the humidifying gas is provided with a carrier gas, the carrier gas being selected from one of IPA/N2, N2, clean dry air (CDA), Ar, O2/N2, or O2.
19. A method for processing a substrate as recited in claim 13, wherein the fluid meniscus is one or mixtures of chemicals, DIW and HCl, DIW and HF, DIW or IPA.
20. A method for processing a substrate as recited in claim 13, wherein reduced evaporation reduces reassimilation water molecules to and from the surface of the substrate.
US14/289,624 2002-09-30 2014-05-28 Controls of Ambient Environment During Wafer Drying Using Proximity Head Abandoned US20140332037A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/289,624 US20140332037A1 (en) 2002-09-30 2014-05-28 Controls of Ambient Environment During Wafer Drying Using Proximity Head

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/261,839 US7234477B2 (en) 2000-06-30 2002-09-30 Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US10/817,398 US7614411B2 (en) 2002-09-30 2004-04-01 Controls of ambient environment during wafer drying using proximity head
US12/555,217 US20090320884A1 (en) 2002-09-30 2009-09-08 Controls of ambient environment during wafer drying using proximity head
US14/289,624 US20140332037A1 (en) 2002-09-30 2014-05-28 Controls of Ambient Environment During Wafer Drying Using Proximity Head

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/555,217 Continuation US20090320884A1 (en) 2002-09-30 2009-09-08 Controls of ambient environment during wafer drying using proximity head

Publications (1)

Publication Number Publication Date
US20140332037A1 true US20140332037A1 (en) 2014-11-13

Family

ID=32030081

Family Applications (9)

Application Number Title Priority Date Filing Date
US10/330,843 Expired - Fee Related US7198055B2 (en) 2002-09-30 2002-12-24 Meniscus, vacuum, IPA vapor, drying manifold
US10/330,897 Expired - Fee Related US7240679B2 (en) 2002-09-30 2002-12-24 System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
US10/611,140 Expired - Fee Related US7264007B2 (en) 2002-09-30 2003-06-30 Method and apparatus for cleaning a substrate using megasonic power
US11/542,700 Expired - Fee Related US7383844B2 (en) 2002-09-30 2006-10-03 Meniscus, vacuum, IPA vapor, drying manifold
US11/619,599 Expired - Fee Related US7350316B2 (en) 2002-09-30 2007-01-03 Meniscus proximity system for cleaning semiconductor substrate surfaces
US11/809,618 Expired - Fee Related US7722724B2 (en) 2002-09-30 2007-05-31 Methods for substrate processing in cluster tool configurations having meniscus application systems
US12/372,683 Expired - Fee Related US7731802B2 (en) 2002-09-30 2009-02-17 Methods for transitioning a fluid meniscus to and from surfaces of a substrate
US12/555,217 Abandoned US20090320884A1 (en) 2002-09-30 2009-09-08 Controls of ambient environment during wafer drying using proximity head
US14/289,624 Abandoned US20140332037A1 (en) 2002-09-30 2014-05-28 Controls of Ambient Environment During Wafer Drying Using Proximity Head

Family Applications Before (8)

Application Number Title Priority Date Filing Date
US10/330,843 Expired - Fee Related US7198055B2 (en) 2002-09-30 2002-12-24 Meniscus, vacuum, IPA vapor, drying manifold
US10/330,897 Expired - Fee Related US7240679B2 (en) 2002-09-30 2002-12-24 System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
US10/611,140 Expired - Fee Related US7264007B2 (en) 2002-09-30 2003-06-30 Method and apparatus for cleaning a substrate using megasonic power
US11/542,700 Expired - Fee Related US7383844B2 (en) 2002-09-30 2006-10-03 Meniscus, vacuum, IPA vapor, drying manifold
US11/619,599 Expired - Fee Related US7350316B2 (en) 2002-09-30 2007-01-03 Meniscus proximity system for cleaning semiconductor substrate surfaces
US11/809,618 Expired - Fee Related US7722724B2 (en) 2002-09-30 2007-05-31 Methods for substrate processing in cluster tool configurations having meniscus application systems
US12/372,683 Expired - Fee Related US7731802B2 (en) 2002-09-30 2009-02-17 Methods for transitioning a fluid meniscus to and from surfaces of a substrate
US12/555,217 Abandoned US20090320884A1 (en) 2002-09-30 2009-09-08 Controls of ambient environment during wafer drying using proximity head

Country Status (8)

Country Link
US (9) US7198055B2 (en)
EP (2) EP2117033B1 (en)
KR (4) KR101060542B1 (en)
CN (1) CN101369522B (en)
AT (2) ATE448563T1 (en)
DE (1) DE60329978D1 (en)
IL (2) IL161550A (en)
SG (1) SG144740A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10790166B2 (en) * 2017-02-24 2020-09-29 SCREEN Holdings Co., Ltd. Substrate processing method and substrate processing apparatus

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7584761B1 (en) * 2000-06-30 2009-09-08 Lam Research Corporation Wafer edge surface treatment with liquid meniscus
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US20040031167A1 (en) 2002-06-13 2004-02-19 Stein Nathan D. Single wafer method and apparatus for drying semiconductor substrates using an inert gas air-knife
US7389783B2 (en) * 2002-09-30 2008-06-24 Lam Research Corporation Proximity meniscus manifold
US7513262B2 (en) 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US7198055B2 (en) * 2002-09-30 2007-04-03 Lam Research Corporation Meniscus, vacuum, IPA vapor, drying manifold
US6988326B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Phobic barrier meniscus separation and containment
US7367345B1 (en) * 2002-09-30 2008-05-06 Lam Research Corporation Apparatus and method for providing a confined liquid for immersion lithography
US7997288B2 (en) * 2002-09-30 2011-08-16 Lam Research Corporation Single phase proximity head having a controlled meniscus for treating a substrate
US7810513B1 (en) * 2002-09-30 2010-10-12 Lam Research Corporation Substrate preparation using megasonic coupling fluid meniscus and methods, apparatus, and systems for implementing the same
US7329321B2 (en) * 2002-09-30 2008-02-12 Lam Research Corporation Enhanced wafer cleaning method
US7632376B1 (en) 2002-09-30 2009-12-15 Lam Research Corporation Method and apparatus for atomic layer deposition (ALD) in a proximity system
US8236382B2 (en) * 2002-09-30 2012-08-07 Lam Research Corporation Proximity substrate preparation sequence, and method, apparatus, and system for implementing the same
US7383843B2 (en) * 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US7520285B2 (en) 2002-09-30 2009-04-21 Lam Research Corporation Apparatus and method for processing a substrate
US7293571B2 (en) 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
US7045018B2 (en) * 2002-09-30 2006-05-16 Lam Research Corporation Substrate brush scrubbing and proximity cleaning-drying sequence using compatible chemistries, and method, apparatus, and system for implementing the same
US7614411B2 (en) * 2002-09-30 2009-11-10 Lam Research Corporation Controls of ambient environment during wafer drying using proximity head
US7153400B2 (en) * 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US20040226654A1 (en) * 2002-12-17 2004-11-18 Akihisa Hongo Substrate processing apparatus and substrate processing method
KR101724117B1 (en) 2003-04-10 2017-04-06 가부시키가이샤 니콘 Environmental system including vaccum scavange for an immersion lithography apparatus
KR20140139139A (en) * 2003-04-10 2014-12-04 가부시키가이샤 니콘 Environmental system including a transport region for an immersion lithography apparatus
EP1635960A2 (en) * 2003-06-06 2006-03-22 P.C.T. Systems, Inc. Method and apparatus to process substrates with megasonic energy
US7675000B2 (en) * 2003-06-24 2010-03-09 Lam Research Corporation System method and apparatus for dry-in, dry-out, low defect laser dicing using proximity technology
US7696141B2 (en) * 2003-06-27 2010-04-13 Lam Research Corporation Cleaning compound and method and system for using the cleaning compound
US6809794B1 (en) * 2003-06-27 2004-10-26 Asml Holding N.V. Immersion photolithography system and method using inverted wafer-projection optics interface
CN1894442B (en) 2003-10-22 2012-01-04 内克斯系统公司 Method and apparatus for fluid processing a workpiece
US7528929B2 (en) 2003-11-14 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7353560B2 (en) * 2003-12-18 2008-04-08 Lam Research Corporation Proximity brush unit apparatus and method
US8522799B2 (en) * 2005-12-30 2013-09-03 Lam Research Corporation Apparatus and system for cleaning a substrate
KR101441777B1 (en) 2004-03-25 2014-09-22 가부시키가이샤 니콘 Exposure apparatus and method for manufacturing device
US8062471B2 (en) * 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
US7645364B2 (en) 2004-06-30 2010-01-12 Lam Research Corporation Apparatus and method for plating semiconductor wafers
TWI267405B (en) * 2004-07-20 2006-12-01 Sez Ag Fluid discharging device
US7718009B2 (en) * 2004-08-30 2010-05-18 Applied Materials, Inc. Cleaning submicron structures on a semiconductor wafer surface
US20090081810A1 (en) * 2004-10-06 2009-03-26 Ebara Corporation Substrate processing apparatus and substrate processing method
US7362412B2 (en) * 2004-11-18 2008-04-22 International Business Machines Corporation Method and apparatus for cleaning a semiconductor substrate in an immersion lithography system
US20070093067A1 (en) * 2005-10-24 2007-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer edge cleaning process
EP2428557A1 (en) * 2005-12-30 2012-03-14 LAM Research Corporation Cleaning solution
US9049520B2 (en) 2006-01-20 2015-06-02 Akrion Systems Llc Composite transducer apparatus and system for processing a substrate and method of constructing the same
JP4959721B2 (en) * 2006-01-20 2012-06-27 アクリオン テクノロジーズ インク Acoustic energy system, method and apparatus for processing flat objects
US9987666B2 (en) 2006-01-20 2018-06-05 Naura Akrion Inc. Composite transducer apparatus and system for processing a substrate and method of constructing the same
US7969548B2 (en) * 2006-05-22 2011-06-28 Asml Netherlands B.V. Lithographic apparatus and lithographic apparatus cleaning method
US7928366B2 (en) * 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
US8813764B2 (en) * 2009-05-29 2014-08-26 Lam Research Corporation Method and apparatus for physical confinement of a liquid meniscus over a semiconductor wafer
US8291921B2 (en) * 2008-08-19 2012-10-23 Lam Research Corporation Removing bubbles from a fluid flowing down through a plenum
US7946303B2 (en) 2006-09-29 2011-05-24 Lam Research Corporation Carrier for reducing entrance and/or exit marks left by a substrate-processing meniscus
WO2008070295A2 (en) * 2006-10-17 2008-06-12 Akrion Technologies, Inc. System and method for the sonic-assisted cleaning of substrates utilizing a sonic-treated liquid
JP4755573B2 (en) * 2006-11-30 2011-08-24 東京応化工業株式会社 Processing apparatus and processing method, and surface treatment jig
US8327861B2 (en) * 2006-12-19 2012-12-11 Lam Research Corporation Megasonic precision cleaning of semiconductor process equipment components and parts
US20080148595A1 (en) * 2006-12-20 2008-06-26 Lam Research Corporation Method and apparatus for drying substrates using a surface tensions reducing gas
US8146902B2 (en) * 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US20080149147A1 (en) * 2006-12-22 2008-06-26 Lam Research Proximity head with configurable delivery
US7975708B2 (en) * 2007-03-30 2011-07-12 Lam Research Corporation Proximity head with angled vacuum conduit system, apparatus and method
US8464736B1 (en) 2007-03-30 2013-06-18 Lam Research Corporation Reclaim chemistry
US8947629B2 (en) * 2007-05-04 2015-02-03 Asml Netherlands B.V. Cleaning device, a lithographic apparatus and a lithographic apparatus cleaning method
US7866330B2 (en) * 2007-05-04 2011-01-11 Asml Netherlands B.V. Cleaning device, a lithographic apparatus and a lithographic apparatus cleaning method
US7900641B2 (en) 2007-05-04 2011-03-08 Asml Netherlands B.V. Cleaning device and a lithographic apparatus cleaning method
US8141566B2 (en) * 2007-06-19 2012-03-27 Lam Research Corporation System, method and apparatus for maintaining separation of liquids in a controlled meniscus
US8673769B2 (en) * 2007-06-20 2014-03-18 Lam Research Corporation Methods and apparatuses for three dimensional integrated circuits
US8323460B2 (en) * 2007-06-20 2012-12-04 Lam Research Corporation Methods and systems for three-dimensional integrated circuit through hole via gapfill and overburden removal
JP4971078B2 (en) * 2007-08-30 2012-07-11 東京応化工業株式会社 Surface treatment equipment
JP2009141081A (en) * 2007-12-05 2009-06-25 Sumco Corp Semiconductor wafer surface inspecting apparatus
US8226775B2 (en) 2007-12-14 2012-07-24 Lam Research Corporation Methods for particle removal by single-phase and two-phase media
KR101679432B1 (en) * 2008-02-08 2016-12-06 램 리써치 코포레이션 Apparatus for substantially uniform fluid flow rates relative to a proximity head in processing of a wafer surface by a meniscus
US7967916B2 (en) * 2008-03-14 2011-06-28 Lam Research Corporation Method of preventing pattern collapse during rinsing and drying
US8585825B2 (en) * 2008-10-30 2013-11-19 Lam Research Corporation Acoustic assisted single wafer wet clean for semiconductor wafer process
US8739805B2 (en) * 2008-11-26 2014-06-03 Lam Research Corporation Confinement of foam delivered by a proximity head
EP2226834B1 (en) * 2009-03-06 2020-04-29 IMEC vzw Method for physical force assisted cleaning with reduced damage
FR2944624A1 (en) * 2009-04-16 2010-10-22 Miyowa METHOD FOR AUTHORIZING A CONNECTION BETWEEN A COMPUTER TERMINAL AND A SOURCE SERVER
US7849554B2 (en) * 2009-04-28 2010-12-14 Lam Research Corporation Apparatus and system for cleaning substrate
US8317934B2 (en) * 2009-05-13 2012-11-27 Lam Research Corporation Multi-stage substrate cleaning method and apparatus
US8845812B2 (en) * 2009-06-12 2014-09-30 Micron Technology, Inc. Method for contamination removal using magnetic particles
JP5140641B2 (en) * 2009-06-29 2013-02-06 株式会社荏原製作所 Substrate processing method and substrate processing apparatus
EP2315235B1 (en) * 2009-10-21 2019-04-24 IMEC vzw Method and apparatus for cleaning a semiconductor substrate
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US20110139183A1 (en) * 2009-12-11 2011-06-16 Katrina Mikhaylichenko System and method of preventing pattern collapse using low surface tension fluid
NL2005717A (en) * 2009-12-18 2011-06-21 Asml Netherlands Bv A lithographic apparatus and a device manufacturing method.
US9044794B2 (en) * 2009-12-31 2015-06-02 Lam Research Ag Ultrasonic cleaning fluid, method and apparatus
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
ITMI20100407A1 (en) * 2010-03-12 2011-09-13 Rise Technology S R L PHOTO-VOLTAIC CELL WITH REGIONS OF POROUS SEMICONDUCTOR FOR ANCHORING CONTACT TERMINALS
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9662686B2 (en) * 2010-09-24 2017-05-30 Lam Research Ag Ultrasonic cleaning method and apparatus
WO2012068291A1 (en) * 2010-11-16 2012-05-24 Alpert Martin A Washing apparatus and method with spiral air flow for drying
US20120260517A1 (en) * 2011-04-18 2012-10-18 Lam Research Corporation Apparatus and Method for Reducing Substrate Pattern Collapse During Drying Operations
US8926762B2 (en) * 2011-09-06 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for movable megasonic wafer probe
US8968485B2 (en) 2011-09-30 2015-03-03 Lam Research Corporation Apparatus and methods for processing a substrate
US9418904B2 (en) 2011-11-14 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Localized CMP to improve wafer planarization
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US10065288B2 (en) 2012-02-14 2018-09-04 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical mechanical polishing (CMP) platform for local profile control
US8869422B2 (en) * 2012-04-27 2014-10-28 Applied Materials, Inc. Methods and apparatus for marangoni substrate drying using a vapor knife manifold
US9808891B2 (en) * 2014-01-16 2017-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Tool and method of reflow
US9666461B1 (en) * 2016-02-05 2017-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor process and semiconductor processing device using the same
WO2019228641A1 (en) * 2018-06-01 2019-12-05 Touchless Automation Gmbh Device for non-contact object handling
EP4056736A1 (en) * 2021-03-09 2022-09-14 Semsysco GmbH Distribution system for a process fluid for chemical and/or electrolytic surface treatment of a substrate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6954993B1 (en) * 2002-09-30 2005-10-18 Lam Research Corporation Concentric proximity processing head
US7722724B2 (en) * 2002-09-30 2010-05-25 Lam Research Corporation Methods for substrate processing in cluster tool configurations having meniscus application systems
US7749689B2 (en) * 2003-06-24 2010-07-06 Lam Research Corporation Methods for providing a confined liquid for immersion lithography

Family Cites Families (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3953265A (en) 1975-04-28 1976-04-27 International Business Machines Corporation Meniscus-contained method of handling fluids in the manufacture of semiconductor wafers
US4086870A (en) 1977-06-30 1978-05-02 International Business Machines Corporation Novel resist spinning head
US4367123A (en) * 1980-07-09 1983-01-04 Olin Corporation Precision spot plating process and apparatus
JPS5852034B2 (en) 1981-08-26 1983-11-19 株式会社ソニツクス Partial plating method and device
US4444492A (en) 1982-05-15 1984-04-24 General Signal Corporation Apparatus for projecting a series of images onto dies of a semiconductor wafer
US4838289A (en) 1982-08-03 1989-06-13 Texas Instruments Incorporated Apparatus and method for edge cleaning
JPS62150828A (en) 1985-12-25 1987-07-04 Mitsubishi Electric Corp Wafer drying apparatus
JPH0712035B2 (en) 1989-04-20 1995-02-08 三菱電機株式会社 Jet type liquid treatment device
JPH02309638A (en) 1989-05-24 1990-12-25 Fujitsu Ltd Wafer etching device
JPH0628223Y2 (en) 1989-06-14 1994-08-03 大日本スクリーン製造株式会社 Spin coating device
US5271774A (en) 1990-03-01 1993-12-21 U.S. Philips Corporation Method for removing in a centrifuge a liquid from a surface of a substrate
US5102494A (en) 1990-07-13 1992-04-07 Mobil Solar Energy Corporation Wet-tip die for EFG cyrstal growth apparatus
US5294257A (en) 1991-10-28 1994-03-15 International Business Machines Corporation Edge masking spin tool
US5343234A (en) 1991-11-15 1994-08-30 Kuehnle Manfred R Digital color proofing system and method for offset and gravure printing
US5749469A (en) * 1992-05-15 1998-05-12 Fluoroware, Inc. Wafer carrier
JP2896268B2 (en) * 1992-05-22 1999-05-31 三菱電機株式会社 Semiconductor substrate surface treatment apparatus and control method thereof
JP2877216B2 (en) 1992-10-02 1999-03-31 東京エレクトロン株式会社 Cleaning equipment
US5472502A (en) 1993-08-30 1995-12-05 Semiconductor Systems, Inc. Apparatus and method for spin coating wafers and the like
US5807522A (en) 1994-06-17 1998-09-15 The Board Of Trustees Of The Leland Stanford Junior University Methods for fabricating microarrays of biological samples
AU2824795A (en) 1994-06-30 1996-01-25 Procter & Gamble Company, The Fluid transport webs exhibiting surface energy gradients
US5705223A (en) 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
JP3247270B2 (en) 1994-08-25 2002-01-15 東京エレクトロン株式会社 Processing apparatus and dry cleaning method
US5558111A (en) 1995-02-02 1996-09-24 International Business Machines Corporation Apparatus and method for carrier backing film reconditioning
US5601655A (en) 1995-02-14 1997-02-11 Bok; Hendrik F. Method of cleaning substrates
JPH08277486A (en) 1995-04-04 1996-10-22 Dainippon Printing Co Ltd Plating device for lead frame
TW386235B (en) 1995-05-23 2000-04-01 Tokyo Electron Ltd Method for spin rinsing
US5660642A (en) 1995-05-26 1997-08-26 The Regents Of The University Of California Moving zone Marangoni drying of wet objects using naturally evaporated solvent vapor
US5975098A (en) 1995-12-21 1999-11-02 Dainippon Screen Mfg. Co., Ltd. Apparatus for and method of cleaning substrate
DE19622015A1 (en) 1996-05-31 1997-12-04 Siemens Ag Process for etching destruction zones on a semiconductor substrate edge and etching system
US6221171B1 (en) * 1996-06-04 2001-04-24 Ebara Corporation Method and apparatus for conveying a workpiece
US5985031A (en) 1996-06-21 1999-11-16 Micron Technology, Inc. Spin coating spindle and chuck assembly
US6039059A (en) * 1996-09-30 2000-03-21 Verteq, Inc. Wafer cleaning system
TW357406B (en) 1996-10-07 1999-05-01 Tokyo Electron Ltd Method and apparatus for cleaning and drying a substrate
DE19646006C2 (en) 1996-11-07 2000-04-06 Hideyuki Kobayashi Quick plating nozzle with a plating solution blasting and suction function
JPH1133506A (en) 1997-07-24 1999-02-09 Tadahiro Omi Fluid treatment device and cleaning treatment system
JPH10163138A (en) 1996-11-29 1998-06-19 Fujitsu Ltd Manufacture of semiconductor device and polisher
JPH10232498A (en) 1997-02-19 1998-09-02 Nec Kyushu Ltd Developing device
JP2983495B2 (en) * 1997-05-20 1999-11-29 株式会社カイジョー Substrate drying method
WO1999001551A2 (en) * 1997-06-30 1999-01-14 MAX-PLANCK-Gesellschaft zur Förderung der Wissenschaften e.V. Novel inhibitor of cellular proliferation
JPH1131672A (en) 1997-07-10 1999-02-02 Hitachi Ltd Substrate-processing method and substrate processor
DE19832038A1 (en) * 1997-07-17 1999-01-28 Tokyo Electron Ltd Cleaner and dryer for semiconductor wafers and LED substrates
US6103636A (en) 1997-08-20 2000-08-15 Micron Technology, Inc. Method and apparatus for selective removal of material from wafer alignment marks
ATE320661T1 (en) * 1997-09-24 2006-04-15 Imec Inter Uni Micro Electr METHOD FOR REMOVAL PARTICLES AND LIQUID FROM THE SURFACE OF A SUBSTRATE
ATE287126T1 (en) 1997-09-24 2005-01-15 Imec Inter Uni Micro Electr METHOD FOR REMOVAL A LIQUID FROM A SURFACE OF A SUBSTRATE
EP0905746A1 (en) 1997-09-24 1999-03-31 Interuniversitair Micro-Elektronica Centrum Vzw Method of removing a liquid from a surface of a rotating substrate
US6491764B2 (en) 1997-09-24 2002-12-10 Interuniversitair Microelektronics Centrum (Imec) Method and apparatus for removing a liquid from a surface of a rotating substrate
US6398975B1 (en) 1997-09-24 2002-06-04 Interuniversitair Microelektronica Centrum (Imec) Method and apparatus for localized liquid treatment of the surface of a substrate
JP4616948B2 (en) 1997-09-24 2011-01-19 アイメック Method and apparatus for removing liquid from the surface of a rotating substrate
US5933902A (en) * 1997-11-18 1999-08-10 Frey; Bernhard M. Wafer cleaning system
US6383289B2 (en) * 1997-12-16 2002-05-07 The University Of North Carolina At Chapel Hill Apparatus for liquid carbon dioxide systems
AU2233399A (en) 1998-02-12 1999-08-30 Acm Research, Inc. Plating apparatus and method
WO1999049504A1 (en) 1998-03-26 1999-09-30 Nikon Corporation Projection exposure method and system
US6108932A (en) 1998-05-05 2000-08-29 Steag Microtech Gmbh Method and apparatus for thermocapillary drying
JPH11350169A (en) 1998-06-10 1999-12-21 Chemitoronics Co Wet etching apparatus and wet etching method
US6132586A (en) 1998-06-11 2000-10-17 Integrated Process Equipment Corporation Method and apparatus for non-contact metal plating of semiconductor wafers using a bipolar electrode assembly
JP2000015159A (en) * 1998-07-02 2000-01-18 Dainippon Screen Mfg Co Ltd Processing liquid supply device
US6460552B1 (en) * 1998-10-05 2002-10-08 Lorimer D'arcy H. Method and apparatus for cleaning flat workpieces
US6689323B2 (en) 1998-10-30 2004-02-10 Agilent Technologies Method and apparatus for liquid transfer
US6092937A (en) 1999-01-08 2000-07-25 Fastar, Ltd. Linear developer
US6328814B1 (en) * 1999-03-26 2001-12-11 Applied Materials, Inc. Apparatus for cleaning and drying substrates
JP3653198B2 (en) * 1999-07-16 2005-05-25 アルプス電気株式会社 Nozzle for drying, drying apparatus and cleaning apparatus using the same
US20020121290A1 (en) 1999-08-25 2002-09-05 Applied Materials, Inc. Method and apparatus for cleaning/drying hydrophobic wafers
US6222305B1 (en) * 1999-08-27 2001-04-24 Product Systems Incorporated Chemically inert megasonic transducer system
JP3635217B2 (en) 1999-10-05 2005-04-06 東京エレクトロン株式会社 Liquid processing apparatus and method
WO2001027357A1 (en) 1999-10-12 2001-04-19 Semitool, Inc. Method and apparatus for executing plural processes on a microelectronic workpiece at a single processing station
US6341998B1 (en) 1999-11-04 2002-01-29 Vlsi Technology, Inc. Integrated circuit (IC) plating deposition system and method
US6214513B1 (en) 1999-11-24 2001-04-10 Xerox Corporation Slot coating under an electric field
US6433541B1 (en) * 1999-12-23 2002-08-13 Kla-Tencor Corporation In-situ metalization monitoring using eddy current measurements during the process for removing the film
US20030091754A1 (en) 2000-02-11 2003-05-15 Thami Chihani Method for treating cellulosic fibres
US6474786B2 (en) 2000-02-24 2002-11-05 The Board Of Trustees Of The Leland Stanford Junior University Micromachined two-dimensional array droplet ejectors
US6495005B1 (en) 2000-05-01 2002-12-17 International Business Machines Corporation Electroplating apparatus
DE60135455D1 (en) 2000-05-16 2008-10-02 Univ Minnesota IT OF MULTI-NOZZLE ARRANGEMENT
AU2001270205A1 (en) 2000-06-26 2002-01-08 Applied Materials, Inc. Method and apparatus for wafer cleaning
US6488040B1 (en) * 2000-06-30 2002-12-03 Lam Research Corporation Capillary proximity heads for single wafer cleaning and drying
US7234477B2 (en) 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US7000622B2 (en) 2002-09-30 2006-02-21 Lam Research Corporation Methods and systems for processing a bevel edge of a substrate using a dynamic liquid meniscus
US6530823B1 (en) 2000-08-10 2003-03-11 Nanoclean Technologies Inc Methods for cleaning surfaces substantially free of contaminants
JP2002075947A (en) * 2000-08-30 2002-03-15 Alps Electric Co Ltd Wet processor
US6555017B1 (en) 2000-10-13 2003-04-29 The Regents Of The University Of Caliofornia Surface contouring by controlled application of processing fluid using Marangoni effect
TW563196B (en) 2000-10-30 2003-11-21 Dainippon Screen Mfg Substrate processing apparatus
US6531206B2 (en) 2001-02-07 2003-03-11 3M Innovative Properties Company Microstructured surface film assembly for liquid acquisition and transport
WO2002101799A2 (en) 2001-06-12 2002-12-19 Verteq, Inc. Stackable process chambers
TW554069B (en) 2001-08-10 2003-09-21 Ebara Corp Plating device and method
JP2003115474A (en) 2001-10-03 2003-04-18 Ebara Corp Substrate processor and processing method
JP4003441B2 (en) 2001-11-08 2007-11-07 セイコーエプソン株式会社 Surface treatment apparatus and surface treatment method
US6799584B2 (en) 2001-11-09 2004-10-05 Applied Materials, Inc. Condensation-based enhancement of particle removal by suction
US20040083976A1 (en) * 2002-09-25 2004-05-06 Silterra Malaysia Sdn. Bhd. Modified deposition ring to eliminate backside and wafer edge coating
US6988327B2 (en) 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
US7293571B2 (en) 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
US7383843B2 (en) 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US7093375B2 (en) 2002-09-30 2006-08-22 Lam Research Corporation Apparatus and method for utilizing a meniscus in substrate processing
US6988326B2 (en) 2002-09-30 2006-01-24 Lam Research Corporation Phobic barrier meniscus separation and containment
AU2003277185A1 (en) 2002-09-30 2004-04-19 Lam Research Corporation System for substrate processing with meniscus, vacuum, ipa vapor, drying manifold
US7153400B2 (en) 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US7069937B2 (en) 2002-09-30 2006-07-04 Lam Research Corporation Vertical proximity processor
US7389783B2 (en) 2002-09-30 2008-06-24 Lam Research Corporation Proximity meniscus manifold
US7513262B2 (en) 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US7252097B2 (en) 2002-09-30 2007-08-07 Lam Research Corporation System and method for integrating in-situ metrology within a wafer process
US7614411B2 (en) 2002-09-30 2009-11-10 Lam Research Corporation Controls of ambient environment during wafer drying using proximity head
TWI251127B (en) * 2002-11-12 2006-03-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
SG121822A1 (en) 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
DE60335595D1 (en) * 2002-11-12 2011-02-17 Asml Netherlands Bv Immersion lithographic apparatus and method of making a device
EP1489461A1 (en) 2003-06-11 2004-12-22 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US6867844B2 (en) 2003-06-19 2005-03-15 Asml Holding N.V. Immersion photolithography system and method using microchannel nozzles
US7713841B2 (en) * 2003-09-19 2010-05-11 Micron Technology, Inc. Methods for thinning semiconductor substrates that employ support structures formed on the substrates
US7353560B2 (en) 2003-12-18 2008-04-08 Lam Research Corporation Proximity brush unit apparatus and method
US7003899B1 (en) 2004-09-30 2006-02-28 Lam Research Corporation System and method for modulating flow through multiple ports in a proximity head

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6954993B1 (en) * 2002-09-30 2005-10-18 Lam Research Corporation Concentric proximity processing head
US7722724B2 (en) * 2002-09-30 2010-05-25 Lam Research Corporation Methods for substrate processing in cluster tool configurations having meniscus application systems
US7749689B2 (en) * 2003-06-24 2010-07-06 Lam Research Corporation Methods for providing a confined liquid for immersion lithography

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10790166B2 (en) * 2017-02-24 2020-09-29 SCREEN Holdings Co., Ltd. Substrate processing method and substrate processing apparatus

Also Published As

Publication number Publication date
CN101369522A (en) 2009-02-18
US20040069319A1 (en) 2004-04-15
US7264007B2 (en) 2007-09-04
KR101060542B1 (en) 2011-08-30
SG144740A1 (en) 2008-08-28
EP1801851B1 (en) 2012-05-02
IL190454A (en) 2011-12-29
US20070107756A1 (en) 2007-05-17
US7240679B2 (en) 2007-07-10
KR101118491B1 (en) 2012-03-12
EP1801851A3 (en) 2007-08-29
IL161550A (en) 2010-12-30
ATE448563T1 (en) 2009-11-15
US20090320884A1 (en) 2009-12-31
ATE556431T1 (en) 2012-05-15
DE60329978D1 (en) 2009-12-24
KR101056970B1 (en) 2011-08-16
US7383844B2 (en) 2008-06-10
KR20100130230A (en) 2010-12-10
US7350316B2 (en) 2008-04-01
US20040060573A1 (en) 2004-04-01
CN101369522B (en) 2013-06-26
IL190454A0 (en) 2008-11-03
US7731802B2 (en) 2010-06-08
KR20100131511A (en) 2010-12-15
KR20100087399A (en) 2010-08-04
KR101056969B1 (en) 2011-08-16
EP1801851A2 (en) 2007-06-27
US20070235409A1 (en) 2007-10-11
EP2117033B1 (en) 2013-02-27
US7198055B2 (en) 2007-04-03
US20070023070A1 (en) 2007-02-01
US7722724B2 (en) 2010-05-25
US20090151753A1 (en) 2009-06-18
KR20100133459A (en) 2010-12-21
US20040060580A1 (en) 2004-04-01
EP2117033A1 (en) 2009-11-11

Similar Documents

Publication Publication Date Title
US7614411B2 (en) Controls of ambient environment during wafer drying using proximity head
US20140332037A1 (en) Controls of Ambient Environment During Wafer Drying Using Proximity Head
US6954993B1 (en) Concentric proximity processing head
US7383843B2 (en) Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US7513262B2 (en) Substrate meniscus interface and methods for operation
US7389783B2 (en) Proximity meniscus manifold
US7093375B2 (en) Apparatus and method for utilizing a meniscus in substrate processing
US6988326B2 (en) Phobic barrier meniscus separation and containment
US6616772B2 (en) Methods for wafer proximity cleaning and drying
US7387689B2 (en) Methods for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US7862663B2 (en) Methods for processing a substrate with a flow controlled meniscus
JP2006501693A (en) Substrate processing system using meniscus, vacuum, IPA vapor, drying manifold
JP2001267277A (en) Wafer cleaning apparatus and its cleaning method

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE