US7157778B2 - Semiconductor constructions - Google Patents

Semiconductor constructions Download PDF

Info

Publication number
US7157778B2
US7157778B2 US11/018,848 US1884804A US7157778B2 US 7157778 B2 US7157778 B2 US 7157778B2 US 1884804 A US1884804 A US 1884804A US 7157778 B2 US7157778 B2 US 7157778B2
Authority
US
United States
Prior art keywords
substrate
region
oxide
nitrogen
oxide layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US11/018,848
Other versions
US20050136596A1 (en
Inventor
John T. Moore
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
US Bank NA
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US11/018,848 priority Critical patent/US7157778B2/en
Publication of US20050136596A1 publication Critical patent/US20050136596A1/en
Application granted granted Critical
Publication of US7157778B2 publication Critical patent/US7157778B2/en
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON TECHNOLOGY, INC.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT reassignment MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: MICRON TECHNOLOGY, INC.
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST. Assignors: MICRON TECHNOLOGY, INC.
Assigned to JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT reassignment JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON SEMICONDUCTOR PRODUCTS, INC., MICRON TECHNOLOGY, INC.
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT
Assigned to MICRON TECHNOLOGY, INC., MICRON SEMICONDUCTOR PRODUCTS, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Definitions

  • the invention pertains to methods of forming oxide regions over semiconductor substrates, and in particular embodiments pertains to methods of forming two or more transistors associated with a semiconductor substrate.
  • Oxide regions such as, for example, silicon dioxide regions
  • Oxide regions have numerous applications in semiconductor devices.
  • a thin layer of silicon dioxide is frequently placed between the conductive material of a transistor gate and an underlying semiconductor substrate, with such layer of silicon dioxide frequently being referred to as so-called “gate oxide”.
  • the thickness of the gate oxide can affect various electrical properties of a transistor structure incorporating the gate oxide, and accordingly it is desired to control the gate oxide thickness during device fabrication.
  • Transistor devices which are commonly used in semiconductor assemblies are PMOS transistor devices and NMOS transistor devices. Each type of transistor device has particular electrical properties associated therewith, and accordingly there can be advantages in utilizing different gate oxide structures for some of the transistor devices associated with a semiconductor structure relative to others of the transistor devices associated with a semiconductor structure.
  • the invention encompasses a method of forming an oxide region over a semiconductor substrate.
  • a nitrogen-containing layer is formed across at least some of the substrate.
  • an oxide region is grown from at least some of the substrate.
  • the nitrogen of the nitrogen-containing layer is dispersed within the oxide region.
  • the invention encompasses a method of forming a pair of transistors associated with a semiconductor substrate.
  • a substrate is provided.
  • a first region of the substrate is defined, and additionally a second region of the substrate is defined.
  • the first region is a p-type doped region, and the second region is an n-type doped region.
  • a first oxide region is formed which covers at least some of the first region of the substrate, and which does not cover any of the second region of the substrate.
  • a nitrogen-comprising layer is formed across at least some of the first oxide region and across at least some of the second region of the substrate. After the nitrogen-comprising layer is formed, a second oxide region is grown from the second region of the substrate.
  • a first transistor gate is formed over the first oxide region, and a second transistor gate is formed over the second oxide region.
  • First source/drain regions are formed proximate the first transistor gate to form a PMOS transistor comprising the first transistor gate.
  • Second source/drain regions are formed proximate the second transistor gate to form an NMOS transistor comprising the second transistor gate.
  • FIG. 1 is a diagrammatic, cross-sectional view of fragments of a semiconductor wafer shown at a preliminary processing step of the present invention.
  • FIG. 2 is a view of the FIG. 1 fragments shown at a processing step subsequent to that of FIG. 1 .
  • FIG. 3 is a view of the FIG. 1 wafer fragments shown at a processing step subsequent to that of FIG. 2 .
  • FIG. 4 is a view of the FIG. 1 wafer fragments shown at a processing step subsequent to that of FIG. 3 .
  • FIG. 5 is a view of the FIG. 1 wafer fragments shown at a processing step subsequent to that of FIG. 4 .
  • FIG. 6 is a view of the FIG. 1 wafer fragments shown at a processing step subsequent to that of FIG. 5 .
  • FIG. 7 is a diagrammatic, schematic, cross-sectional view of an exemplary remote plasma nitridation apparatus which can be utilized in methodology of the present invention.
  • FIG. 8 is a diagrammatic, cross-sectional view of another apparatus which can be utilized in methodology of the present invention.
  • a semiconductor wafer 10 is shown in fragmentary view in FIG. 1 , and specifically is shown to comprise a first fragmentary region 12 and a second fragmentary region 14 .
  • Wafer 10 comprises a substrate 16 .
  • Substrate 16 can, for example, comprise a bulk semiconductive material, such as, for example, monocrystalline silicon lightly doped with a background p-type dopant.
  • the terms “semiconductive substrate” and “semiconductor substrate” are defined to mean any construction comprising semiconductive material, including, but not limited to, bulk semiconductive materials such as a semiconductive wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials).
  • substrate refers to any supporting structure, including, but not limited to, the semiconductive substrates described above.
  • Regions 12 and 14 can correspond to differently-doped regions of substrate 16 .
  • region 12 can correspond to a portion of substrate 16 having a heavier concentration of n-type conductivity enhancing dopant than p-type conductivity enhancing dopant, and can accordingly be referred to as an n-type doped region.
  • region 14 can correspond to a region of substrate 16 wherein the p-type dopant concentration is heavier than any n-type dopant concentration, and can accordingly be referred to as a p-type region of substrate 10 .
  • substrate 16 of region 12 is labeled with an “n”
  • region 14 is labeled with a “p”.
  • regions 12 and 14 corresponds to a particular embodiment of the present invention, and that other embodiments are encompassed wherein both of regions 12 and 14 are similarly doped, including embodiments wherein regions 12 and 14 are both heavier doped with n-type dopant than p-type dopant, as well as embodiments wherein regions 12 and 14 are both heavier doped with p-type dopant than n-type dopant.
  • regions 12 and 14 correspond to portions of a semiconductor memory assembly, and in such embodiments regions 12 and 14 can both correspond to memory array regions, or can both correspond to regions peripheral to a memory array region, or alternatively one of regions 12 and 14 can correspond to a memory array region while the other regions 12 and 14 corresponds to a portion of the wafer peripheral to the memory array region.
  • Oxide 18 is formed over substrate 16 .
  • Oxide 18 is shown formed over both of regions 12 and 14 .
  • Oxide layer 18 can comprise, for example, silicon dioxide, and can be formed by, for example, chemical vapor deposition over substrate 16 .
  • oxide layer 18 can be formed by exposing substrate 16 to oxidizing conditions. For instance, if substrate 16 comprises monocrystalline silicon, a silicon dioxide layer 18 can be formed by oxidizing a surface of substrate 16 .
  • Oxide layer 18 is preferably formed to a thickness of less than 70 ⁇ , and can be formed to a thickness of less than or equal to about 50 ⁇ , such as, for example, a thickness of about 30 ⁇ .
  • a patterned masking layer 20 is shown formed over oxide layer 18 to mask the portion of oxide layer 18 in region 12 , while leaving the portion of oxide layer 18 of region 14 exposed.
  • Masking layer 20 can comprise, for example, photoresist, and can be patterned by photolithographic processing. Although masking layer 20 is shown covering an entirety of oxide 18 of region 12 , and not covering any of oxide 18 of region 14 , it is to be understood that the invention encompasses other embodiments wherein masking layer 20 covers only a portion of oxide 18 over region 12 , and further encompasses embodiments wherein masking layer 20 also covers a portion of oxide layer 18 of region 14 .
  • the exposed portion of oxide 18 of region 14 is removed. Such can be accomplished by, for example, exposing wafer 10 to hydrofluoric acid.
  • Masking layer 20 FIG. 2 ) protects oxide 18 from being exposed to the oxide-removing etchant, and accordingly oxide 18 remains over region 12 after removal of oxide 18 from region 14 .
  • FIGS. 2 and 3 is but one exemplary method of forming the structure shown in FIG. 3 , and that other methods are encompassed by the present invention.
  • the structure corresponding to FIG. 3 is preferably ultimately formed, with such structure having oxide 18 covering at least some of region 12 of substrate 16 , and not covering at least some of region 14 of substrate 16 .
  • a nitrogen-comprising layer 22 is formed over regions 12 and 14 . More specifically, nitrogen-comprising layer 22 is formed on and/or within at least some of oxide layer 18 of region 12 , and further is formed on and/or within at least some of substrate 16 of region 14 . Nitrogen-comprising layer 22 is preferably kept within a surface region of oxide 18 of region 12 , and also within a surface region of substrate 16 of region 14 .
  • a surface region is defined to be a region which extends to no more than 10 ⁇ beneath a surface, and in particular embodiments nitrogen-comprising region 22 extends no more than 5 ⁇ beneath an upper surface of either substrate 16 of region 14 or oxide 18 of region 12 .
  • Nitrogen-comprising region 22 can be formed by, for example, remote plasma nitridization utilizing, for example, an apparatus 200 described with reference to FIG. 7 .
  • Apparatus 200 comprises a plasma chamber 202 and a reaction chamber 204 .
  • Reaction chamber 204 comprises a substrate holder 206 , and substrate 16 is supported within chamber 204 by holder 206 .
  • holder 206 is configured to rotate substrate 16 during exposure of substrate 16 to activated nitrogen species.
  • Such activated nitrogen species are formed within plasma chamber 202 by, for example, exposing N 2 and/or other nitrogen-containing materials (for example, N 2 O and/or NH 3 ) to plasma conditions, with the term “activated” indicating that the nitrogen species is different than the form of nitrogen fed to the plasma.
  • An activated nitrogen species can comprise, for example, a nitrogen ion or a nitrogen atom in an energy state higher than its ground state.
  • Exemplary plasma conditions comprise utilization of a microwave plasma generator at a power of from about 1,500 watts to about 3,000 watts, and a pressure within chamber 202 of less than or equal to about 3 Torr.
  • the plasma of chamber 202 forms activated nitrogen species which migrate along a passageway 208 into chamber 204 whereupon the species can form nitrogen-comprising layer 22 ( FIG. 4 ) over substrate 16 .
  • An arrow is shown within passageway 208 to indicate migration of plasma activated nitrogen species through passageway 208 .
  • passageway 208 is of sufficient length so that plasma 202 is at least about 12 inches from substrate 16 .
  • Such can enable highly activated nitrogen species formed within a plasma to relax prior to interaction with substrate 16 , which can limit penetration of the nitrogen species into substrate 16 relative to an amount of penetration which would occur with more highly activated species.
  • substrate 16 is preferably not biased relative to the plasma within chamber 202 .
  • Suitable operating conditions for forming a nitrogen-comprising plasma over substrate 16 can include maintaining a temperature of substrate 16 at from about 550° C. to about 1,000° C., rotating the wafer at about 90 rotations per minute (RPM), maintaining a pressure within chambers 202 and 204 of from about 0.8 Torr to about 2.8 Torr, and exposing the wafer to the nitridization conditions for a time of from about one minute to about five minutes.
  • RPM rotations per minute
  • Apparatus 220 can be referred to as a high density plasma remote plasma nitridization (HDP-RPN) apparatus, or simply as a plasma nitridization (PN) apparatus.
  • Apparatus 220 comprises a reaction chamber 222 having a wafer holder 224 therein. Wafer 16 is supported on holder 224 .
  • a plasma 226 is formed above substrate 16 , and preferably is maintained a distance “X” from substrate 16 , with distance “X” corresponding to at least about four inches.
  • Nitrogen is introduced into plasma 226 in the form of, for example, N 2 , and activated nitrogen species are formed from the nitrogen.
  • Suitable processing parameters for utilization of the apparatus of FIG. 8 include a wafer temperature of from 0° C. to 400° C., no rotation of the wafer, a pressure within chamber 222 of from about 5 mTorr to about 15 mTorr (preferably of from about 5 mTorr to about 10 mTorr), and an exposure time of substrate 16 to activated nitrogen species within chamber 222 of from about 5 seconds to about 30 seconds.
  • substrate 10 is shown at a processing step subsequent to that of FIG. 4 , and specifically is shown after exposure to oxidizing conditions.
  • the oxidizing conditions grow an oxide layer 24 from region 14 of substrate 16 .
  • the portion of nitrogen-comprising layer 22 previously over region 14 ( FIG. 4 ) is dispersed within oxide 24 , and preferably becomes sufficiently dispersed so that the nitrogen does not significantly affect performance characteristics of the oxide in devices incorporating the oxide.
  • Suitable processing forms oxide layer 24 to be at least about 70 ⁇ thick. Such processing is found to adequately distribute nitrogen of the previous layer 22 that had been associated with region 14 so that oxide layer 24 can be incorporated as a gate oxide in transistor devices.
  • nitrogen-comprising layer 22 over oxide 18 of region 12 substantially slows further oxidation of substrate 16 within region 12 , and accordingly oxide grows faster over region 14 than over region 12 .
  • oxide 24 is formed to be thicker than the oxide 18 over region 12 .
  • nitrogen-comprising layer 22 associated with region 12 remains substantially intact and it can be utilized as, for example, a dopant barrier layer for devices subsequently formed over region 12 .
  • the oxidation of wafer 10 forms oxide layer 24 to be at least about 70 ⁇ thick, and oxide layer 18 remains less than or equal to about 50 ⁇ thick.
  • transistor devices 30 and 32 are formed to be associated with regions 12 and 14 , respectively.
  • Devices 30 and 32 comprise oxide layers 18 and 24 as gate oxide, respectively.
  • Device 30 further comprises layers 34 , 36 and 38 patterned over oxide 18
  • device 32 further comprises layers 40 , 42 and 44 patterned over oxide layer 24 .
  • layers 34 and 36 can comprise, for example, conductive materials such as, for example, conductively doped silicon and metal silicide, respectively; and layer 38 can comprise, for example, an insulative cap, such as, for example, a silicon nitride cap.
  • Transistor device 30 can comprise a PMOS device, and conductively doped silicon layer 34 can comprise p-type doped polysilicon.
  • Nitrogen-comprising layer 22 can function as a barrier layer to impede migration of p-type dopant from layer 34 into substrate 16 . It is noted that the portion of substrate 16 under oxide layer 18 is an n-type channel for PMOS device 30 . Accordingly, if p-type dopant migrates from layer 34 into substrate 16 , it can alter dopant concentrations within the n-type channel, and affect or destroy operation of device 30 .
  • layers 40 , 42 and 44 can comprise, for example, conductively doped silicon, metal silicide, and an insulative cap, respectively.
  • Conductively doped silicon 40 can comprise, for example, n-type doped polysilicon
  • metal silicide 42 can comprise, for example, titanium silicide or tungsten silicide.
  • Insulative cap 44 can comprise, for example, a silicon nitride cap.
  • Device 32 corresponds to an NMOS transistor device.
  • Conductive layers 40 and 42 form a gate for device 32
  • conductive layers 34 and 36 form a gate for device 30
  • Sidewall spacers 46 are shown formed along sidewalls of the gates of devices 30 and 32 .
  • Layers 34 , 36 , 38 , 40 , 42 and 44 , as well as sidewall spacers 46 can be formed by conventional methods.
  • Lightly doped diffusion regions 48 are shown formed within substrate 16 and proximate a channel region of device 32 , and heavily doped source/drain regions 50 are also shown formed within substrate 16 and associated with device 32 .
  • the gate defined by conductive materials 40 and 42 gatedly connects the source/drain regions 50 with one another.
  • Source/drain regions 50 and LDD regions 48 can be formed by conventional methods, and source/drain regions 50 can be heavily doped with n-type conductivity enhancing dopant as is typical for an NMOS device 32 .
  • Lightly doped diffusion regions 52 are shown formed within region 12 of substrate 16 and heavily doped source/drain regions 54 are also shown within region 12 of substrate 16 , and shown associated with device 30 .
  • a transistor gate defined by conductive layers 34 and 36 gatedly connects source/drain regions 54 with one another. Source/drain regions 54 can be heavily doped with p-type dopant as is typical for a PMOS transistor device 30 .
  • Lightly doped diffusion regions 48 and 52 would typically be lightly doped with n-type conductivity enhancing dopant and p-type conductivity enhancing dopant, respectively.
  • the term “lightly doped” is used to indicate that the diffusion regions 48 and 52 are more lightly doped than are source/drain regions 50 and 54 .
  • source/drain regions 50 and 54 would be doped to a concentration of at least about 10 19 atoms/cm 3 with conductivity enhancing dopant.

Abstract

The invention encompasses a method of forming an oxide region over a semiconductor substrate. A nitrogen-containing layer is formed across at least some of the substrate. After the nitrogen-containing layer is formed, an oxide region is grown from at least some of the substrate. The nitrogen of the nitrogen-containing layer is dispersed within the oxide region. The invention also encompasses a method of forming a pair of transistors associated with a semiconductor substrate. A substrate is provided. A first region of the substrate is defined, and additionally a second region of the substrate is defined. A first oxide region is formed which covers at least some of the first region of the substrate, and which does not cover any of the second region of the substrate. A nitrogen-comprising layer is formed across at least some of the first oxide region and across at least some of the second region of the substrate. After the nitrogen-comprising layer is formed, a second oxide region is grown from the second region of the substrate. A first transistor gate is formed over the first oxide region, and a second transistor gate is formed over the second oxide region.

Description

RELATED PATENT DATA
This patent resulted from a continuation application of U.S. patent application Ser. No. 09/602,395, filed Jun. 22, 2000, which is now U.S Pat. No. 6,833,329.
TECHNICAL FIELD
The invention pertains to methods of forming oxide regions over semiconductor substrates, and in particular embodiments pertains to methods of forming two or more transistors associated with a semiconductor substrate.
BACKGROUND OF THE INVENTION
Oxide regions, such as, for example, silicon dioxide regions, have numerous applications in semiconductor devices. For instance, a thin layer of silicon dioxide is frequently placed between the conductive material of a transistor gate and an underlying semiconductor substrate, with such layer of silicon dioxide frequently being referred to as so-called “gate oxide”. The thickness of the gate oxide can affect various electrical properties of a transistor structure incorporating the gate oxide, and accordingly it is desired to control the gate oxide thickness during device fabrication.
Transistor devices which are commonly used in semiconductor assemblies are PMOS transistor devices and NMOS transistor devices. Each type of transistor device has particular electrical properties associated therewith, and accordingly there can be advantages in utilizing different gate oxide structures for some of the transistor devices associated with a semiconductor structure relative to others of the transistor devices associated with a semiconductor structure.
In light of the importance of gate oxide structures in semiconductor device fabrication, it is desired to develop new methods for forming oxide regions associated with semiconductor structures.
SUMMARY OF THE INVENTION
In one aspect, the invention encompasses a method of forming an oxide region over a semiconductor substrate. A nitrogen-containing layer is formed across at least some of the substrate. After the nitrogen-containing layer is formed, an oxide region is grown from at least some of the substrate. The nitrogen of the nitrogen-containing layer is dispersed within the oxide region.
In another aspect, the invention encompasses a method of forming a pair of transistors associated with a semiconductor substrate. A substrate is provided. A first region of the substrate is defined, and additionally a second region of the substrate is defined. The first region is a p-type doped region, and the second region is an n-type doped region. A first oxide region is formed which covers at least some of the first region of the substrate, and which does not cover any of the second region of the substrate. A nitrogen-comprising layer is formed across at least some of the first oxide region and across at least some of the second region of the substrate. After the nitrogen-comprising layer is formed, a second oxide region is grown from the second region of the substrate. A first transistor gate is formed over the first oxide region, and a second transistor gate is formed over the second oxide region. First source/drain regions are formed proximate the first transistor gate to form a PMOS transistor comprising the first transistor gate. Second source/drain regions are formed proximate the second transistor gate to form an NMOS transistor comprising the second transistor gate.
BRIEF DESCRIPTION OF THE DRAWINGS
Preferred embodiments of the invention are described below with reference to the following accompanying drawings.
FIG. 1 is a diagrammatic, cross-sectional view of fragments of a semiconductor wafer shown at a preliminary processing step of the present invention.
FIG. 2 is a view of the FIG. 1 fragments shown at a processing step subsequent to that of FIG. 1.
FIG. 3 is a view of the FIG. 1 wafer fragments shown at a processing step subsequent to that of FIG. 2.
FIG. 4 is a view of the FIG. 1 wafer fragments shown at a processing step subsequent to that of FIG. 3.
FIG. 5 is a view of the FIG. 1 wafer fragments shown at a processing step subsequent to that of FIG. 4.
FIG. 6 is a view of the FIG. 1 wafer fragments shown at a processing step subsequent to that of FIG. 5.
FIG. 7 is a diagrammatic, schematic, cross-sectional view of an exemplary remote plasma nitridation apparatus which can be utilized in methodology of the present invention.
FIG. 8 is a diagrammatic, cross-sectional view of another apparatus which can be utilized in methodology of the present invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
This disclosure of the invention is submitted in furtherance of the constitutional purposes of the U.S. Patent Laws “to promote the progress of science and useful arts” (Article 1, Section 8).
A semiconductor wafer 10 is shown in fragmentary view in FIG. 1, and specifically is shown to comprise a first fragmentary region 12 and a second fragmentary region 14. Wafer 10 comprises a substrate 16. Substrate 16 can, for example, comprise a bulk semiconductive material, such as, for example, monocrystalline silicon lightly doped with a background p-type dopant. To aid in interpretation of the claims that follow, the terms “semiconductive substrate” and “semiconductor substrate” are defined to mean any construction comprising semiconductive material, including, but not limited to, bulk semiconductive materials such as a semiconductive wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). The term “substrate” refers to any supporting structure, including, but not limited to, the semiconductive substrates described above.
Regions 12 and 14 can correspond to differently-doped regions of substrate 16. For instance, region 12 can correspond to a portion of substrate 16 having a heavier concentration of n-type conductivity enhancing dopant than p-type conductivity enhancing dopant, and can accordingly be referred to as an n-type doped region. Further, region 14 can correspond to a region of substrate 16 wherein the p-type dopant concentration is heavier than any n-type dopant concentration, and can accordingly be referred to as a p-type region of substrate 10. In order to emphasize this aspect of the invention and assist in the description that follows, substrate 16 of region 12 is labeled with an “n”, and region 14 is labeled with a “p”. It is to be understood that the shown doping of regions 12 and 14 corresponds to a particular embodiment of the present invention, and that other embodiments are encompassed wherein both of regions 12 and 14 are similarly doped, including embodiments wherein regions 12 and 14 are both heavier doped with n-type dopant than p-type dopant, as well as embodiments wherein regions 12 and 14 are both heavier doped with p-type dopant than n-type dopant.
In particular embodiments of the present invention, regions 12 and 14 correspond to portions of a semiconductor memory assembly, and in such embodiments regions 12 and 14 can both correspond to memory array regions, or can both correspond to regions peripheral to a memory array region, or alternatively one of regions 12 and 14 can correspond to a memory array region while the other regions 12 and 14 corresponds to a portion of the wafer peripheral to the memory array region.
Referring to FIG. 2, an oxide layer 18 is formed over substrate 16. Oxide 18 is shown formed over both of regions 12 and 14. Oxide layer 18 can comprise, for example, silicon dioxide, and can be formed by, for example, chemical vapor deposition over substrate 16. Alternatively, oxide layer 18 can be formed by exposing substrate 16 to oxidizing conditions. For instance, if substrate 16 comprises monocrystalline silicon, a silicon dioxide layer 18 can be formed by oxidizing a surface of substrate 16. Oxide layer 18 is preferably formed to a thickness of less than 70 Å, and can be formed to a thickness of less than or equal to about 50 Å, such as, for example, a thickness of about 30 Å.
A patterned masking layer 20 is shown formed over oxide layer 18 to mask the portion of oxide layer 18 in region 12, while leaving the portion of oxide layer 18 of region 14 exposed. Masking layer 20 can comprise, for example, photoresist, and can be patterned by photolithographic processing. Although masking layer 20 is shown covering an entirety of oxide 18 of region 12, and not covering any of oxide 18 of region 14, it is to be understood that the invention encompasses other embodiments wherein masking layer 20 covers only a portion of oxide 18 over region 12, and further encompasses embodiments wherein masking layer 20 also covers a portion of oxide layer 18 of region 14.
Referring to FIG. 3, the exposed portion of oxide 18 of region 14 is removed. Such can be accomplished by, for example, exposing wafer 10 to hydrofluoric acid. Masking layer 20 (FIG. 2) protects oxide 18 from being exposed to the oxide-removing etchant, and accordingly oxide 18 remains over region 12 after removal of oxide 18 from region 14.
It is to be understood that the processing of FIGS. 2 and 3 is but one exemplary method of forming the structure shown in FIG. 3, and that other methods are encompassed by the present invention. In any event, the structure corresponding to FIG. 3 is preferably ultimately formed, with such structure having oxide 18 covering at least some of region 12 of substrate 16, and not covering at least some of region 14 of substrate 16.
Referring to FIG. 4, a nitrogen-comprising layer 22 is formed over regions 12 and 14. More specifically, nitrogen-comprising layer 22 is formed on and/or within at least some of oxide layer 18 of region 12, and further is formed on and/or within at least some of substrate 16 of region 14. Nitrogen-comprising layer 22 is preferably kept within a surface region of oxide 18 of region 12, and also within a surface region of substrate 16 of region 14. For purposes of interpreting this disclosure and the claims that follow, a surface region is defined to be a region which extends to no more than 10 Å beneath a surface, and in particular embodiments nitrogen-comprising region 22 extends no more than 5 Å beneath an upper surface of either substrate 16 of region 14 or oxide 18 of region 12.
Nitrogen-comprising region 22 can be formed by, for example, remote plasma nitridization utilizing, for example, an apparatus 200 described with reference to FIG. 7. Apparatus 200 comprises a plasma chamber 202 and a reaction chamber 204. Reaction chamber 204 comprises a substrate holder 206, and substrate 16 is supported within chamber 204 by holder 206. Preferably, holder 206 is configured to rotate substrate 16 during exposure of substrate 16 to activated nitrogen species. Such activated nitrogen species are formed within plasma chamber 202 by, for example, exposing N2 and/or other nitrogen-containing materials (for example, N2O and/or NH3) to plasma conditions, with the term “activated” indicating that the nitrogen species is different than the form of nitrogen fed to the plasma. An activated nitrogen species can comprise, for example, a nitrogen ion or a nitrogen atom in an energy state higher than its ground state. Exemplary plasma conditions comprise utilization of a microwave plasma generator at a power of from about 1,500 watts to about 3,000 watts, and a pressure within chamber 202 of less than or equal to about 3 Torr.
The plasma of chamber 202 forms activated nitrogen species which migrate along a passageway 208 into chamber 204 whereupon the species can form nitrogen-comprising layer 22 (FIG. 4) over substrate 16. An arrow is shown within passageway 208 to indicate migration of plasma activated nitrogen species through passageway 208.
Preferably, passageway 208 is of sufficient length so that plasma 202 is at least about 12 inches from substrate 16. Such can enable highly activated nitrogen species formed within a plasma to relax prior to interaction with substrate 16, which can limit penetration of the nitrogen species into substrate 16 relative to an amount of penetration which would occur with more highly activated species. In order to further limit penetration of nitrogen species into substrate 16, substrate 16 is preferably not biased relative to the plasma within chamber 202.
Suitable operating conditions for forming a nitrogen-comprising plasma over substrate 16 can include maintaining a temperature of substrate 16 at from about 550° C. to about 1,000° C., rotating the wafer at about 90 rotations per minute (RPM), maintaining a pressure within chambers 202 and 204 of from about 0.8 Torr to about 2.8 Torr, and exposing the wafer to the nitridization conditions for a time of from about one minute to about five minutes.
An alternative apparatus which can be utilized for forming nitrogen-comprising layer 22 (FIG. 4) is described with reference to FIG. 8 as apparatus 220. Apparatus 220 can be referred to as a high density plasma remote plasma nitridization (HDP-RPN) apparatus, or simply as a plasma nitridization (PN) apparatus. Apparatus 220 comprises a reaction chamber 222 having a wafer holder 224 therein. Wafer 16 is supported on holder 224. A plasma 226 is formed above substrate 16, and preferably is maintained a distance “X” from substrate 16, with distance “X” corresponding to at least about four inches. Nitrogen is introduced into plasma 226 in the form of, for example, N2, and activated nitrogen species are formed from the nitrogen. Suitable processing parameters for utilization of the apparatus of FIG. 8 include a wafer temperature of from 0° C. to 400° C., no rotation of the wafer, a pressure within chamber 222 of from about 5 mTorr to about 15 mTorr (preferably of from about 5 mTorr to about 10 mTorr), and an exposure time of substrate 16 to activated nitrogen species within chamber 222 of from about 5 seconds to about 30 seconds.
Referring next to FIG. 5, substrate 10 is shown at a processing step subsequent to that of FIG. 4, and specifically is shown after exposure to oxidizing conditions. The oxidizing conditions grow an oxide layer 24 from region 14 of substrate 16. The portion of nitrogen-comprising layer 22 previously over region 14 (FIG. 4) is dispersed within oxide 24, and preferably becomes sufficiently dispersed so that the nitrogen does not significantly affect performance characteristics of the oxide in devices incorporating the oxide. Suitable processing forms oxide layer 24 to be at least about 70 Å thick. Such processing is found to adequately distribute nitrogen of the previous layer 22 that had been associated with region 14 so that oxide layer 24 can be incorporated as a gate oxide in transistor devices.
It is noted that nitrogen-comprising layer 22 over oxide 18 of region 12 substantially slows further oxidation of substrate 16 within region 12, and accordingly oxide grows faster over region 14 than over region 12. Thus, oxide 24 is formed to be thicker than the oxide 18 over region 12. Further, nitrogen-comprising layer 22 associated with region 12 remains substantially intact and it can be utilized as, for example, a dopant barrier layer for devices subsequently formed over region 12. In particular aspects of the present invention, the oxidation of wafer 10 forms oxide layer 24 to be at least about 70 Å thick, and oxide layer 18 remains less than or equal to about 50 Å thick.
Referring to FIG. 6, transistor devices 30 and 32 are formed to be associated with regions 12 and 14, respectively. Devices 30 and 32 comprise oxide layers 18 and 24 as gate oxide, respectively. Device 30 further comprises layers 34, 36 and 38 patterned over oxide 18, and device 32 further comprises layers 40, 42 and 44 patterned over oxide layer 24.
Referring to device 30, layers 34 and 36 can comprise, for example, conductive materials such as, for example, conductively doped silicon and metal silicide, respectively; and layer 38 can comprise, for example, an insulative cap, such as, for example, a silicon nitride cap. Transistor device 30 can comprise a PMOS device, and conductively doped silicon layer 34 can comprise p-type doped polysilicon. Nitrogen-comprising layer 22 can function as a barrier layer to impede migration of p-type dopant from layer 34 into substrate 16. It is noted that the portion of substrate 16 under oxide layer 18 is an n-type channel for PMOS device 30. Accordingly, if p-type dopant migrates from layer 34 into substrate 16, it can alter dopant concentrations within the n-type channel, and affect or destroy operation of device 30.
Referring to device 32, layers 40, 42 and 44 can comprise, for example, conductively doped silicon, metal silicide, and an insulative cap, respectively. Conductively doped silicon 40 can comprise, for example, n-type doped polysilicon, and metal silicide 42 can comprise, for example, titanium silicide or tungsten silicide. Insulative cap 44 can comprise, for example, a silicon nitride cap. Device 32 corresponds to an NMOS transistor device.
Conductive layers 40 and 42 form a gate for device 32, and conductive layers 34 and 36 form a gate for device 30. Sidewall spacers 46 are shown formed along sidewalls of the gates of devices 30 and 32. Layers 34, 36, 38, 40, 42 and 44, as well as sidewall spacers 46, can be formed by conventional methods.
Lightly doped diffusion regions 48 are shown formed within substrate 16 and proximate a channel region of device 32, and heavily doped source/drain regions 50 are also shown formed within substrate 16 and associated with device 32. The gate defined by conductive materials 40 and 42 gatedly connects the source/drain regions 50 with one another. Source/drain regions 50 and LDD regions 48 can be formed by conventional methods, and source/drain regions 50 can be heavily doped with n-type conductivity enhancing dopant as is typical for an NMOS device 32.
Lightly doped diffusion regions 52 are shown formed within region 12 of substrate 16 and heavily doped source/drain regions 54 are also shown within region 12 of substrate 16, and shown associated with device 30. A transistor gate defined by conductive layers 34 and 36 gatedly connects source/drain regions 54 with one another. Source/drain regions 54 can be heavily doped with p-type dopant as is typical for a PMOS transistor device 30.
Lightly doped diffusion regions 48 and 52 would typically be lightly doped with n-type conductivity enhancing dopant and p-type conductivity enhancing dopant, respectively. The term “lightly doped” is used to indicate that the diffusion regions 48 and 52 are more lightly doped than are source/ drain regions 50 and 54. Typically, source/ drain regions 50 and 54 would be doped to a concentration of at least about 1019 atoms/cm3 with conductivity enhancing dopant.
In compliance with the statute, the invention has been described in language more or less specific as to structural and methodical features. It is to be understood, however, that the invention is not limited to the specific features shown and described, since the means herein disclosed comprise preferred forms of putting the invention into effect. The invention is, therefore, claimed in any of its forms or modifications within the proper scope of the appended claims appropriately interpreted in accordance with the doctrine of equivalents.

Claims (5)

1. A semiconductor construction comprising:
a first transistor structure over a memory array region of a substrate, the first transistor structure comprising an oxide layer over a substrate surface, the oxide layer having a thickness of less than or equal to 70 Angstroms and having an upper oxide surface, only an uppermost portion of the oxide layer comprising nitrogen, the nitrogen being localized such that it does not extend throughout the oxide layer beyond 10 angstroms beneath the upper oxide surface; and
a second transistor structure over a peripheral region of the substrate, the second transistor structure comprising a gate oxide layer over the substrate surface, the gate oxide layer having a thickness of greater than 70 Angstroms and having nitrogen dispersed throughout the thickness of the gate oxide layer.
2. The construction of claim 1 wherein the nitrogen within the uppermost portion of the oxide layer does not extend beyond 5 Angstroms beneath the upper oxide surface.
3. The construction of claim 1 wherein the first transistor structure further comprises a doped silicon gate layer over the oxide layer, and wherein the uppermost portion of the oxide layer prevents migration of dopant from the doped silicon to the first substrate region.
4. The construction of claim 1 wherein the oxide layer has a thickness of less than or equal to 50 Angstroms.
5. The construction of claim 1 wherein the oxide layer has a thickness of about 30 Angstroms.
US11/018,848 2000-06-22 2004-12-20 Semiconductor constructions Expired - Lifetime US7157778B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/018,848 US7157778B2 (en) 2000-06-22 2004-12-20 Semiconductor constructions

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/602,395 US6833329B1 (en) 2000-06-22 2000-06-22 Methods of forming oxide regions over semiconductor substrates
US11/018,848 US7157778B2 (en) 2000-06-22 2004-12-20 Semiconductor constructions

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/602,395 Continuation US6833329B1 (en) 2000-06-22 2000-06-22 Methods of forming oxide regions over semiconductor substrates

Publications (2)

Publication Number Publication Date
US20050136596A1 US20050136596A1 (en) 2005-06-23
US7157778B2 true US7157778B2 (en) 2007-01-02

Family

ID=24411168

Family Applications (4)

Application Number Title Priority Date Filing Date
US09/602,395 Expired - Lifetime US6833329B1 (en) 2000-06-22 2000-06-22 Methods of forming oxide regions over semiconductor substrates
US09/951,152 Expired - Lifetime US6682979B2 (en) 2000-06-22 2001-09-12 Methods of forming transistors associated with semiconductor substrates
US09/951,307 Expired - Lifetime US6653184B2 (en) 2000-06-22 2001-09-12 Method of forming transistors associated with semiconductor substrates comprising forming a nitrogen-comprising region across an oxide region of a transistor gate
US11/018,848 Expired - Lifetime US7157778B2 (en) 2000-06-22 2004-12-20 Semiconductor constructions

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US09/602,395 Expired - Lifetime US6833329B1 (en) 2000-06-22 2000-06-22 Methods of forming oxide regions over semiconductor substrates
US09/951,152 Expired - Lifetime US6682979B2 (en) 2000-06-22 2001-09-12 Methods of forming transistors associated with semiconductor substrates
US09/951,307 Expired - Lifetime US6653184B2 (en) 2000-06-22 2001-09-12 Method of forming transistors associated with semiconductor substrates comprising forming a nitrogen-comprising region across an oxide region of a transistor gate

Country Status (1)

Country Link
US (4) US6833329B1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080311719A1 (en) * 2002-08-15 2008-12-18 Tang Sanh D Method Of Forming A Field Effect Transistor
US20090088002A1 (en) * 2007-09-27 2009-04-02 Jinping Liu Method of fabricating a nitrogenated silicon oxide layer and mos device having same
US20090215253A1 (en) * 2000-08-07 2009-08-27 Sandhu Gurtej S Method of Forming a Nitrogen-Enriched Region within Silicon-Oxide-Containing Masses
US20160126333A1 (en) * 2014-11-05 2016-05-05 Cree, Inc. Semiconductor device with improved insulated gate
US10515801B2 (en) 2007-06-04 2019-12-24 Micron Technology, Inc. Pitch multiplication using self-assembling materials

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6686298B1 (en) * 2000-06-22 2004-02-03 Micron Technology, Inc. Methods of forming structures over semiconductor substrates, and methods of forming transistors associated with semiconductor substrates
US6773999B2 (en) * 2001-07-18 2004-08-10 Matsushita Electric Industrial Co., Ltd. Method for treating thick and thin gate insulating film with nitrogen plasma
US6878585B2 (en) * 2001-08-29 2005-04-12 Micron Technology, Inc. Methods of forming capacitors
US6723599B2 (en) * 2001-12-03 2004-04-20 Micron Technology, Inc. Methods of forming capacitors and methods of forming capacitor dielectric layers
US6664198B1 (en) 2002-07-03 2003-12-16 Micron Technology, Inc. Method of forming a silicon nitride dielectric layer
US6716685B2 (en) * 2002-08-09 2004-04-06 Micron Technology, Inc. Methods for forming dual gate oxides
US20040183144A1 (en) * 2003-03-20 2004-09-23 Beaman Kevin L. Plasma nitridization for adjusting transistor threshold voltage
US20060079100A1 (en) * 2004-03-15 2006-04-13 Sharp Laboratories Of America, Inc. High density plasma grown silicon nitride
KR100668954B1 (en) * 2004-12-15 2007-01-12 동부일렉트로닉스 주식회사 Method for manufacturing of the thin film transistor
KR100611784B1 (en) * 2004-12-29 2006-08-10 주식회사 하이닉스반도체 Semiconductor device with multi-gate dielectric and method for manufacturing the same
KR100931771B1 (en) 2005-06-02 2009-12-14 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for introducing nitrogen into an oxide film
US7754545B2 (en) * 2007-12-03 2010-07-13 Macronix International Co., Ltd. Semiconductor device and method of fabricating the same

Citations (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3627598A (en) 1970-02-05 1971-12-14 Fairchild Camera Instr Co Nitride passivation of mesa transistors by phosphovapox lifting
US4254161A (en) 1979-08-16 1981-03-03 International Business Machines Corporation Prevention of low pressure chemical vapor deposition silicon dioxide undercutting and flaking
US4262631A (en) 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4435447A (en) 1978-12-26 1984-03-06 Fujitsu Limited Method for forming an insulating film on a semiconductor substrate surface
US4605447A (en) 1983-05-16 1986-08-12 U.S. Philips Corporation Methods of manufacturing semiconductor devices
US4882649A (en) 1988-03-29 1989-11-21 Texas Instruments Incorporated Nitride/oxide/nitride capacitor dielectric
US4891684A (en) 1986-08-04 1990-01-02 Hitachi, Ltd. Semiconductor device
US4980307A (en) 1978-06-14 1990-12-25 Fujitsu Limited Process for producing a semiconductor device having a silicon oxynitride insulative film
US4996081A (en) 1985-01-21 1991-02-26 Ellul Joseph P Method of forming multiple nitride coating on silicon
US5026574A (en) 1986-03-19 1991-06-25 The General Electric Company, P.L.C. Chemical vapor deposition process for depositing large-grain polysilicon films
US5032545A (en) 1990-10-30 1991-07-16 Micron Technology, Inc. Process for preventing a native oxide from forming on the surface of a semiconductor material and integrated circuit capacitors produced thereby
US5051794A (en) 1988-07-05 1991-09-24 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device and method for manufacturing the same
US5142438A (en) 1991-11-15 1992-08-25 Micron Technology, Inc. Dram cell having a stacked capacitor with a tantalum lower plate, a tantalum oxide dielectric layer, and a silicide buried contact
US5164331A (en) 1991-10-03 1992-11-17 Hewlett-Packard Company Method of forming and etching titanium-tungsten interconnects
US5227651A (en) 1991-03-23 1993-07-13 Samsung Electronics, Co., Ltd. Semiconductor device having a capacitor with an electrode grown through pinholes
US5237188A (en) 1990-11-28 1993-08-17 Kabushiki Kaisha Toshiba Semiconductor device with nitrided gate insulating film
US5254489A (en) 1990-10-18 1993-10-19 Nec Corporation Method of manufacturing semiconductor device by forming first and second oxide films by use of nitridation
US5258333A (en) 1992-08-18 1993-11-02 Intel Corporation Composite dielectric for a semiconductor device and method of fabrication
US5318924A (en) 1991-10-03 1994-06-07 Hewlett-Packard Company Nitridation of titanium-tungsten interconnects
US5324679A (en) 1991-03-23 1994-06-28 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having increased surface area conductive layer
US5330920A (en) 1993-06-15 1994-07-19 Digital Equipment Corporation Method of controlling gate oxide thickness in the fabrication of semiconductor devices
US5330936A (en) 1991-05-27 1994-07-19 Nec Corporation Method of producing a silicon nitride film and method of fabricating a semiconductor device
US5334554A (en) 1992-01-24 1994-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Nitrogen plasma treatment to prevent field device leakage in VLSI processing
US5350707A (en) 1991-11-19 1994-09-27 Samsung Electronics Co., Ltd. Method for making a capacitor having an electrode surface with a plurality of trenches formed therein
US5376593A (en) 1992-12-31 1994-12-27 Micron Semiconductor, Inc. Method for fabricating stacked layer Si3 N4 for low leakage high capacitance films using rapid thermal nitridation
US5378645A (en) 1992-05-21 1995-01-03 Oki Electric Industry Co., Ltd. Method of making a semiconductor device with a capacitor
US5382533A (en) 1993-06-18 1995-01-17 Micron Semiconductor, Inc. Method of manufacturing small geometry MOS field-effect transistors having improved barrier layer to hot electron injection
US5393702A (en) 1993-07-06 1995-02-28 United Microelectronics Corporation Via sidewall SOG nitridation for via filling
US5397748A (en) 1991-12-28 1995-03-14 Nec Corporation Method of producing semiconductor device with insulating film having at least silicon nitride film
US5398641A (en) 1993-07-27 1995-03-21 Texas Instruments Incorporated Method for p-type doping of semiconductor structures formed of group II and group VI elements
US5436481A (en) 1993-01-21 1995-07-25 Nippon Steel Corporation MOS-type semiconductor device and method of making the same
US5445999A (en) 1992-11-13 1995-08-29 Micron Technology, Inc. Advanced technique to improve the bonding arrangement on silicon surfaces to promote uniform nitridation
US5449631A (en) 1994-07-29 1995-09-12 International Business Machines Corporation Prevention of agglomeration and inversion in a semiconductor salicide process
US5459105A (en) 1990-10-30 1995-10-17 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device having multilayer insulating films
US5464792A (en) 1993-06-07 1995-11-07 Motorola, Inc. Process to incorporate nitrogen at an interface of a dielectric layer in a semiconductor device
US5498890A (en) 1989-11-08 1996-03-12 Samsung Electronics Co., Ltd. Semiconductor device having a multi-layered dielectric structure and manufacturing method thereof
US5500380A (en) 1993-04-16 1996-03-19 Goldstar Co., Ltd. Method for fabricating thin film transistor
US5504029A (en) 1987-09-19 1996-04-02 Hitachi, Ltd. Method of producing semiconductor integrated circuit device having memory cell and peripheral circuit MISFETs
US5508542A (en) 1994-10-28 1996-04-16 International Business Machines Corporation Porous silicon trench and capacitor structures
US5518946A (en) 1991-10-07 1996-05-21 Sony Corporation Process for fabricating capacitors in dynamic RAM
US5518958A (en) 1994-07-29 1996-05-21 International Business Machines Corporation Prevention of agglomeration and inversion in a semiconductor polycide process
US5523596A (en) 1990-10-05 1996-06-04 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having capacitor and manufacturing method therefor
US5596218A (en) 1993-10-18 1997-01-21 Digital Equipment Corporation Hot carrier-hard gate oxides by nitrogen implantation before gate oxidation
US5612558A (en) 1995-11-15 1997-03-18 Micron Technology, Inc. Hemispherical grained silicon on refractory metal nitride
US5619057A (en) 1994-01-19 1997-04-08 Sony Corporation Complex film overlying a substrate with defined work function
US5620908A (en) 1994-09-19 1997-04-15 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device comprising BiCMOS transistor
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5663077A (en) 1993-07-27 1997-09-02 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a thin film transistor in which the gate insulator comprises two oxide films
US5674788A (en) 1995-06-06 1997-10-07 Advanced Micro Devices, Inc. Method of forming high pressure silicon oxynitride gate dielectrics
US5685949A (en) 1995-01-13 1997-11-11 Seiko Epson Corporation Plasma treatment apparatus and method
US5716864A (en) 1994-07-22 1998-02-10 Nkk Corporation Method of manufacturing a non-volatile semiconductor memory device with peripheral transistor
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US5760475A (en) 1987-03-30 1998-06-02 International Business Machines Corporation Refractory metal-titanium nitride conductive structures
US5763922A (en) 1997-02-28 1998-06-09 Intel Corporation CMOS integrated circuit having PMOS and NMOS devices with different gate dielectric layers
US5821142A (en) 1996-04-08 1998-10-13 Vanguard International Semiconductor Method for forming a capacitor with a multiple pillar structure
US5834372A (en) 1995-07-24 1998-11-10 Lg Semicon., Ltd. Pretreatment of semiconductor substrate
US5837598A (en) 1997-03-13 1998-11-17 Lsi Logic Corporation Diffusion barrier for polysilicon gate electrode of MOS device in integrated circuit structure, and method of making same
US5837592A (en) 1995-12-07 1998-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for stabilizing polysilicon resistors
US5840610A (en) 1997-01-16 1998-11-24 Advanced Micro Devices, Inc. Enhanced oxynitride gate dielectrics using NF3 gas
US5844771A (en) 1996-06-26 1998-12-01 Micron Technology, Inc. Capacitor construction
US5851603A (en) 1997-07-14 1998-12-22 Vanguard International Semiconductor Corporation Method for making a plasma-enhanced chemical vapor deposited SiO2 Si3 N4 multilayer passivation layer for semiconductor applications
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5885877A (en) 1997-04-21 1999-03-23 Advanced Micro Devices, Inc. Composite gate electrode incorporating dopant diffusion-retarding barrier layer adjacent to underlying gate dielectric
US5897354A (en) 1996-12-17 1999-04-27 Cypress Semiconductor Corporation Method of forming a non-volatile memory device with ramped tunnel dielectric layer
US5920779A (en) * 1997-05-21 1999-07-06 United Microelectronics Corp. Differential gate oxide thickness by nitrogen implantation for mixed mode and embedded VLSI circuits
US5939750A (en) 1998-01-21 1999-08-17 Advanced Micro Devices Use of implanted ions to reduce oxide-nitride-oxide (ONO) etch residue and polystringers
US5960289A (en) 1998-06-22 1999-09-28 Motorola, Inc. Method for making a dual-thickness gate oxide layer using a nitride/oxide composite region
US5960302A (en) 1996-12-31 1999-09-28 Lucent Technologies, Inc. Method of making a dielectric for an integrated circuit
US5969397A (en) 1996-11-26 1999-10-19 Texas Instruments Incorporated Low defect density composite dielectric
US5970345A (en) 1997-10-22 1999-10-19 Texas Instruments Incorporated Method of forming an integrated circuit having both low voltage and high voltage MOS transistors
US5972800A (en) 1995-05-10 1999-10-26 Nec Corporation Method for fabricating a semiconductor device with multi-level structured insulator
US5972783A (en) 1996-02-07 1999-10-26 Matsushita Electric Industrial Co., Ltd. Method for fabricating a semiconductor device having a nitrogen diffusion layer
US5981366A (en) 1991-09-19 1999-11-09 Sharp Kabushiki Kaisha Method for manufacturing non-volatile memory
US5994749A (en) 1997-01-20 1999-11-30 Nec Corporation Semiconductor device having a gate electrode film containing nitrogen
US5998253A (en) 1997-09-29 1999-12-07 Siemens Aktiengesellschaft Method of forming a dopant outdiffusion control structure including selectively grown silicon nitride in a trench capacitor of a DRAM cell
US6001748A (en) 1996-06-04 1999-12-14 Sumitomo Electric Industries, Ltd. Single crystal of nitride and process for preparing the same
US6001741A (en) 1998-04-15 1999-12-14 Lucent Technologies Inc. Method for making field effect devices and capacitors with improved thin film dielectrics and resulting devices
US6008104A (en) 1998-04-06 1999-12-28 Siemens Aktiengesellschaft Method of fabricating a trench capacitor with a deposited isolation collar
US6033998A (en) 1998-03-09 2000-03-07 Lsi Logic Corporation Method of forming variable thickness gate dielectrics
US6040249A (en) 1996-08-12 2000-03-21 Texas Instruments Incorporated Method of improving diffusion barrier properties of gate oxides by applying ions or free radicals of nitrogen in low energy
US6051865A (en) 1998-11-09 2000-04-18 Advanced Micro Devices, Inc. Transistor having a barrier layer below a high permittivity gate dielectric
US6054396A (en) 1996-05-09 2000-04-25 Micron Technology, Inc. Semiconductor processing method of reducing thickness depletion of a silicide layer at a junction of different underlying layers
US6057220A (en) 1997-09-23 2000-05-02 International Business Machines Corporation Titanium polycide stabilization with a porous barrier
US6060406A (en) 1998-05-28 2000-05-09 Lucent Technologies Inc. MOS transistors with improved gate dielectrics
US6063713A (en) 1997-11-10 2000-05-16 Micron Technology, Inc. Methods for forming silicon nitride layers on silicon-comprising substrates
US6080682A (en) 1997-12-18 2000-06-27 Advanced Micro Devices, Inc. Methodology for achieving dual gate oxide thicknesses
US6080629A (en) 1997-04-21 2000-06-27 Advanced Micro Devices, Inc. Ion implantation into a gate electrode layer using an implant profile displacement layer
US6087236A (en) 1998-11-24 2000-07-11 Intel Corporation Integrated circuit with multiple gate dielectric structures
US6087229A (en) 1998-03-09 2000-07-11 Lsi Logic Corporation Composite semiconductor gate dielectrics
US6091109A (en) 1998-05-11 2000-07-18 Nec Corporation Semiconductor device having different gate oxide thicknesses by implanting halogens in one region and nitrogen in the second region
US6090597A (en) 1996-06-05 2000-07-18 Ajinomoto Co., Inc. Method of producing L-lysine
US6091110A (en) 1998-03-30 2000-07-18 Spectrian Corporation MOSFET device having recessed gate-drain shield and method
US6093661A (en) 1999-08-30 2000-07-25 Micron Technology, Inc. Integrated circuitry and semiconductor processing method of forming field effect transistors
US6100163A (en) 1999-01-07 2000-08-08 Taiwan Semiconductor Manufacturing Company Gap filling of shallow trench isolation by ozone-tetraethoxysilane
US6110780A (en) 1999-04-01 2000-08-29 Taiwan Semiconductor Manufacturing Company Using NO or N2 O treatment to generate different oxide thicknesses in one oxidation step for single poly non-volatile memory
US6110842A (en) 1996-06-07 2000-08-29 Texas Instruments Incorporated Method of forming multiple gate oxide thicknesses using high density plasma nitridation

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1052569C (en) * 1992-08-27 2000-05-17 株式会社半导体能源研究所 Semiconductor device and method for forming the same
EP1111673A1 (en) * 1995-05-10 2001-06-27 STMicroelectronics S.r.l. A method of manufacturing a MOS integrated circuit having components with different dielectrics
JPH10247725A (en) 1997-03-05 1998-09-14 Mitsubishi Electric Corp Semiconductor device and its manufacturing method
US6146948A (en) * 1997-06-03 2000-11-14 Motorola Inc. Method for manufacturing a thin oxide for use in semiconductor integrated circuits
JP3222404B2 (en) * 1997-06-20 2001-10-29 科学技術振興事業団 Method and apparatus for forming insulating film on semiconductor substrate surface
US6399445B1 (en) 1997-12-18 2002-06-04 Texas Instruments Incorporated Fabrication technique for controlled incorporation of nitrogen in gate dielectric
US6228701B1 (en) 1997-12-19 2001-05-08 Seimens Aktiengesellschaft Apparatus and method for minimizing diffusion in stacked capacitors formed on silicon plugs
US6268296B1 (en) 1997-12-31 2001-07-31 Texas Instruments Incorporated Low temperature process for multiple voltage devices
US6331492B2 (en) 1997-12-31 2001-12-18 Texas Instruments Incorporated Nitridation for split gate multiple voltage devices
US6207985B1 (en) * 1998-02-02 2001-03-27 Texas Instruments Incorporated DRAM memory cell and array having pass transistors with surrounding gate
US6136636A (en) 1998-03-25 2000-10-24 Texas Instruments - Acer Incorporated Method of manufacturing deep sub-micron CMOS transistors
US6184110B1 (en) 1998-04-30 2001-02-06 Sharp Laboratories Of America, Inc. Method of forming nitrogen implanted ultrathin gate oxide for dual gate CMOS devices
US20020009861A1 (en) * 1998-06-12 2002-01-24 Pravin K. Narwankar Method and apparatus for the formation of dielectric layers
JP2000003965A (en) 1998-06-15 2000-01-07 Mitsubishi Electric Corp Semiconductor device and its manufacture
US6274442B1 (en) 1998-07-15 2001-08-14 Advanced Micro Devices, Inc. Transistor having a nitrogen incorporated epitaxially grown gate dielectric and method of making same
US6197701B1 (en) * 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6207586B1 (en) 1998-10-28 2001-03-27 Lucent Technologies Inc. Oxide/nitride stacked gate dielectric and associated methods
US6323114B1 (en) 1998-11-24 2001-11-27 Texas Instruments Incorporated Stacked/composite gate dielectric which incorporates nitrogen at an interface
US6140187A (en) * 1998-12-02 2000-10-31 Lucent Technologies Inc. Process for forming metal oxide semiconductors including an in situ furnace gate stack with varying silicon nitride deposition rate
JP2000174132A (en) 1998-12-08 2000-06-23 Matsushita Electronics Industry Corp Manufacture of semiconductor device
US6245616B1 (en) * 1999-01-06 2001-06-12 International Business Machines Corporation Method of forming oxynitride gate dielectric
JP3973819B2 (en) 1999-03-08 2007-09-12 株式会社東芝 Semiconductor memory device and manufacturing method thereof
KR100745495B1 (en) 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 Semiconductor fabrication method and semiconductor fabrication equipment
US6171900B1 (en) * 1999-04-15 2001-01-09 Taiwan Semiconductor Manufacturing Company CVD Ta2O5/oxynitride stacked gate insulator with TiN gate electrode for sub-quarter micron MOSFET
US6450116B1 (en) 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
US6255703B1 (en) 1999-06-02 2001-07-03 Advanced Micro Devices, Inc. Device with lower LDD resistance
US6350707B1 (en) * 1999-09-03 2002-02-26 United Microelectronics Corp. Method of fabricating capacitor dielectric
US6297162B1 (en) 1999-09-27 2001-10-02 Taiwan Semiconductor Manufacturing Company Method to reduce silicon oxynitride etch rate in a silicon oxide dry etch
US6207532B1 (en) 1999-09-30 2001-03-27 Taiwan Semiconductor Manufacturing Company STI process for improving isolation for deep sub-micron application
US6201303B1 (en) 1999-10-14 2001-03-13 Advanced Micro Devices, Inc. Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
US6399448B1 (en) * 1999-11-19 2002-06-04 Chartered Semiconductor Manufacturing Ltd. Method for forming dual gate oxide
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6413881B1 (en) 2000-03-09 2002-07-02 Lsi Logic Corporation Process for forming thin gate oxide with enhanced reliability by nitridation of upper surface of gate of oxide to form barrier of nitrogen atoms in upper surface region of gate oxide, and resulting product
US6225167B1 (en) 2000-03-13 2001-05-01 Taiwan Semiconductor Manufacturing Company Method of generating multiple oxide thicknesses by one oxidation step using NH3 nitridation followed by re-oxidation
US6362085B1 (en) 2000-07-19 2002-03-26 Taiwan Semiconductor Manufacturing Company Method for reducing gate oxide effective thickness and leakage current
US6436771B1 (en) 2001-07-12 2002-08-20 Taiwan Semiconductor Manufacturing Company Method of forming a semiconductor device with multiple thickness gate dielectric layers
US6878585B2 (en) * 2001-08-29 2005-04-12 Micron Technology, Inc. Methods of forming capacitors
US6723599B2 (en) * 2001-12-03 2004-04-20 Micron Technology, Inc. Methods of forming capacitors and methods of forming capacitor dielectric layers
KR100482758B1 (en) * 2002-12-12 2005-04-14 주식회사 하이닉스반도체 Method of manufacturing a semiconductor device

Patent Citations (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3627598A (en) 1970-02-05 1971-12-14 Fairchild Camera Instr Co Nitride passivation of mesa transistors by phosphovapox lifting
US4980307A (en) 1978-06-14 1990-12-25 Fujitsu Limited Process for producing a semiconductor device having a silicon oxynitride insulative film
US4435447A (en) 1978-12-26 1984-03-06 Fujitsu Limited Method for forming an insulating film on a semiconductor substrate surface
US4254161A (en) 1979-08-16 1981-03-03 International Business Machines Corporation Prevention of low pressure chemical vapor deposition silicon dioxide undercutting and flaking
US4262631A (en) 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4605447A (en) 1983-05-16 1986-08-12 U.S. Philips Corporation Methods of manufacturing semiconductor devices
US4996081A (en) 1985-01-21 1991-02-26 Ellul Joseph P Method of forming multiple nitride coating on silicon
US5026574A (en) 1986-03-19 1991-06-25 The General Electric Company, P.L.C. Chemical vapor deposition process for depositing large-grain polysilicon films
US4891684A (en) 1986-08-04 1990-01-02 Hitachi, Ltd. Semiconductor device
US5760475A (en) 1987-03-30 1998-06-02 International Business Machines Corporation Refractory metal-titanium nitride conductive structures
US5504029A (en) 1987-09-19 1996-04-02 Hitachi, Ltd. Method of producing semiconductor integrated circuit device having memory cell and peripheral circuit MISFETs
US4882649A (en) 1988-03-29 1989-11-21 Texas Instruments Incorporated Nitride/oxide/nitride capacitor dielectric
US5051794A (en) 1988-07-05 1991-09-24 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device and method for manufacturing the same
US5498890A (en) 1989-11-08 1996-03-12 Samsung Electronics Co., Ltd. Semiconductor device having a multi-layered dielectric structure and manufacturing method thereof
US5523596A (en) 1990-10-05 1996-06-04 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having capacitor and manufacturing method therefor
US5254489A (en) 1990-10-18 1993-10-19 Nec Corporation Method of manufacturing semiconductor device by forming first and second oxide films by use of nitridation
US5459105A (en) 1990-10-30 1995-10-17 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device having multilayer insulating films
US5032545A (en) 1990-10-30 1991-07-16 Micron Technology, Inc. Process for preventing a native oxide from forming on the surface of a semiconductor material and integrated circuit capacitors produced thereby
US5237188A (en) 1990-11-28 1993-08-17 Kabushiki Kaisha Toshiba Semiconductor device with nitrided gate insulating film
US5324679A (en) 1991-03-23 1994-06-28 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having increased surface area conductive layer
US5227651A (en) 1991-03-23 1993-07-13 Samsung Electronics, Co., Ltd. Semiconductor device having a capacitor with an electrode grown through pinholes
US5330936A (en) 1991-05-27 1994-07-19 Nec Corporation Method of producing a silicon nitride film and method of fabricating a semiconductor device
US5981366A (en) 1991-09-19 1999-11-09 Sharp Kabushiki Kaisha Method for manufacturing non-volatile memory
US5318924A (en) 1991-10-03 1994-06-07 Hewlett-Packard Company Nitridation of titanium-tungsten interconnects
US5164331A (en) 1991-10-03 1992-11-17 Hewlett-Packard Company Method of forming and etching titanium-tungsten interconnects
US5518946A (en) 1991-10-07 1996-05-21 Sony Corporation Process for fabricating capacitors in dynamic RAM
US5142438A (en) 1991-11-15 1992-08-25 Micron Technology, Inc. Dram cell having a stacked capacitor with a tantalum lower plate, a tantalum oxide dielectric layer, and a silicide buried contact
US5350707A (en) 1991-11-19 1994-09-27 Samsung Electronics Co., Ltd. Method for making a capacitor having an electrode surface with a plurality of trenches formed therein
US5397748A (en) 1991-12-28 1995-03-14 Nec Corporation Method of producing semiconductor device with insulating film having at least silicon nitride film
US5334554A (en) 1992-01-24 1994-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Nitrogen plasma treatment to prevent field device leakage in VLSI processing
US5378645A (en) 1992-05-21 1995-01-03 Oki Electric Industry Co., Ltd. Method of making a semiconductor device with a capacitor
US5258333A (en) 1992-08-18 1993-11-02 Intel Corporation Composite dielectric for a semiconductor device and method of fabrication
US5445999A (en) 1992-11-13 1995-08-29 Micron Technology, Inc. Advanced technique to improve the bonding arrangement on silicon surfaces to promote uniform nitridation
US5376593A (en) 1992-12-31 1994-12-27 Micron Semiconductor, Inc. Method for fabricating stacked layer Si3 N4 for low leakage high capacitance films using rapid thermal nitridation
US5436481A (en) 1993-01-21 1995-07-25 Nippon Steel Corporation MOS-type semiconductor device and method of making the same
US5500380A (en) 1993-04-16 1996-03-19 Goldstar Co., Ltd. Method for fabricating thin film transistor
US5464792A (en) 1993-06-07 1995-11-07 Motorola, Inc. Process to incorporate nitrogen at an interface of a dielectric layer in a semiconductor device
US5330920A (en) 1993-06-15 1994-07-19 Digital Equipment Corporation Method of controlling gate oxide thickness in the fabrication of semiconductor devices
US5382533A (en) 1993-06-18 1995-01-17 Micron Semiconductor, Inc. Method of manufacturing small geometry MOS field-effect transistors having improved barrier layer to hot electron injection
US5393702A (en) 1993-07-06 1995-02-28 United Microelectronics Corporation Via sidewall SOG nitridation for via filling
US5663077A (en) 1993-07-27 1997-09-02 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a thin film transistor in which the gate insulator comprises two oxide films
US5398641A (en) 1993-07-27 1995-03-21 Texas Instruments Incorporated Method for p-type doping of semiconductor structures formed of group II and group VI elements
US5596218A (en) 1993-10-18 1997-01-21 Digital Equipment Corporation Hot carrier-hard gate oxides by nitrogen implantation before gate oxidation
US5719083A (en) 1994-01-19 1998-02-17 Sony Corporation Method of forming a complex film over a substrate having a specifically selected work function
US5619057A (en) 1994-01-19 1997-04-08 Sony Corporation Complex film overlying a substrate with defined work function
US5716864A (en) 1994-07-22 1998-02-10 Nkk Corporation Method of manufacturing a non-volatile semiconductor memory device with peripheral transistor
US5518958A (en) 1994-07-29 1996-05-21 International Business Machines Corporation Prevention of agglomeration and inversion in a semiconductor polycide process
US5449631A (en) 1994-07-29 1995-09-12 International Business Machines Corporation Prevention of agglomeration and inversion in a semiconductor salicide process
US5620908A (en) 1994-09-19 1997-04-15 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device comprising BiCMOS transistor
US5508542A (en) 1994-10-28 1996-04-16 International Business Machines Corporation Porous silicon trench and capacitor structures
US5685949A (en) 1995-01-13 1997-11-11 Seiko Epson Corporation Plasma treatment apparatus and method
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5972800A (en) 1995-05-10 1999-10-26 Nec Corporation Method for fabricating a semiconductor device with multi-level structured insulator
US5674788A (en) 1995-06-06 1997-10-07 Advanced Micro Devices, Inc. Method of forming high pressure silicon oxynitride gate dielectrics
US5834372A (en) 1995-07-24 1998-11-10 Lg Semicon., Ltd. Pretreatment of semiconductor substrate
US5612558A (en) 1995-11-15 1997-03-18 Micron Technology, Inc. Hemispherical grained silicon on refractory metal nitride
US5837592A (en) 1995-12-07 1998-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for stabilizing polysilicon resistors
US5972783A (en) 1996-02-07 1999-10-26 Matsushita Electric Industrial Co., Ltd. Method for fabricating a semiconductor device having a nitrogen diffusion layer
US5821142A (en) 1996-04-08 1998-10-13 Vanguard International Semiconductor Method for forming a capacitor with a multiple pillar structure
US6054396A (en) 1996-05-09 2000-04-25 Micron Technology, Inc. Semiconductor processing method of reducing thickness depletion of a silicide layer at a junction of different underlying layers
US6001748A (en) 1996-06-04 1999-12-14 Sumitomo Electric Industries, Ltd. Single crystal of nitride and process for preparing the same
US6090597A (en) 1996-06-05 2000-07-18 Ajinomoto Co., Inc. Method of producing L-lysine
US6110842A (en) 1996-06-07 2000-08-29 Texas Instruments Incorporated Method of forming multiple gate oxide thicknesses using high density plasma nitridation
US5844771A (en) 1996-06-26 1998-12-01 Micron Technology, Inc. Capacitor construction
US6040249A (en) 1996-08-12 2000-03-21 Texas Instruments Incorporated Method of improving diffusion barrier properties of gate oxides by applying ions or free radicals of nitrogen in low energy
US6077754A (en) 1996-10-30 2000-06-20 Srinivasan; Anand Methods of forming a silicon nitride film, a capacitor dielectric layer and a capacitor
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US5882978A (en) 1996-10-30 1999-03-16 Micron Technology, Inc. Methods of forming a silicon nitride film, a capacitor dielectric layer and a capacitor
US5969397A (en) 1996-11-26 1999-10-19 Texas Instruments Incorporated Low defect density composite dielectric
US5897354A (en) 1996-12-17 1999-04-27 Cypress Semiconductor Corporation Method of forming a non-volatile memory device with ramped tunnel dielectric layer
US5960302A (en) 1996-12-31 1999-09-28 Lucent Technologies, Inc. Method of making a dielectric for an integrated circuit
US5840610A (en) 1997-01-16 1998-11-24 Advanced Micro Devices, Inc. Enhanced oxynitride gate dielectrics using NF3 gas
US5994749A (en) 1997-01-20 1999-11-30 Nec Corporation Semiconductor device having a gate electrode film containing nitrogen
US5763922A (en) 1997-02-28 1998-06-09 Intel Corporation CMOS integrated circuit having PMOS and NMOS devices with different gate dielectric layers
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5837598A (en) 1997-03-13 1998-11-17 Lsi Logic Corporation Diffusion barrier for polysilicon gate electrode of MOS device in integrated circuit structure, and method of making same
US5885877A (en) 1997-04-21 1999-03-23 Advanced Micro Devices, Inc. Composite gate electrode incorporating dopant diffusion-retarding barrier layer adjacent to underlying gate dielectric
US6080629A (en) 1997-04-21 2000-06-27 Advanced Micro Devices, Inc. Ion implantation into a gate electrode layer using an implant profile displacement layer
US5920779A (en) * 1997-05-21 1999-07-06 United Microelectronics Corp. Differential gate oxide thickness by nitrogen implantation for mixed mode and embedded VLSI circuits
US5851603A (en) 1997-07-14 1998-12-22 Vanguard International Semiconductor Corporation Method for making a plasma-enhanced chemical vapor deposited SiO2 Si3 N4 multilayer passivation layer for semiconductor applications
US6057220A (en) 1997-09-23 2000-05-02 International Business Machines Corporation Titanium polycide stabilization with a porous barrier
US5998253A (en) 1997-09-29 1999-12-07 Siemens Aktiengesellschaft Method of forming a dopant outdiffusion control structure including selectively grown silicon nitride in a trench capacitor of a DRAM cell
US5970345A (en) 1997-10-22 1999-10-19 Texas Instruments Incorporated Method of forming an integrated circuit having both low voltage and high voltage MOS transistors
US6111744A (en) 1997-11-10 2000-08-29 Micron Technology, Inc. Capacitor constructions having silicon nitride dielectric materials
US6063713A (en) 1997-11-10 2000-05-16 Micron Technology, Inc. Methods for forming silicon nitride layers on silicon-comprising substrates
US6080682A (en) 1997-12-18 2000-06-27 Advanced Micro Devices, Inc. Methodology for achieving dual gate oxide thicknesses
US5939750A (en) 1998-01-21 1999-08-17 Advanced Micro Devices Use of implanted ions to reduce oxide-nitride-oxide (ONO) etch residue and polystringers
US6087229A (en) 1998-03-09 2000-07-11 Lsi Logic Corporation Composite semiconductor gate dielectrics
US6033998A (en) 1998-03-09 2000-03-07 Lsi Logic Corporation Method of forming variable thickness gate dielectrics
US6091110A (en) 1998-03-30 2000-07-18 Spectrian Corporation MOSFET device having recessed gate-drain shield and method
US6008104A (en) 1998-04-06 1999-12-28 Siemens Aktiengesellschaft Method of fabricating a trench capacitor with a deposited isolation collar
US6001741A (en) 1998-04-15 1999-12-14 Lucent Technologies Inc. Method for making field effect devices and capacitors with improved thin film dielectrics and resulting devices
US6091109A (en) 1998-05-11 2000-07-18 Nec Corporation Semiconductor device having different gate oxide thicknesses by implanting halogens in one region and nitrogen in the second region
US6060406A (en) 1998-05-28 2000-05-09 Lucent Technologies Inc. MOS transistors with improved gate dielectrics
US5960289A (en) 1998-06-22 1999-09-28 Motorola, Inc. Method for making a dual-thickness gate oxide layer using a nitride/oxide composite region
US6051865A (en) 1998-11-09 2000-04-18 Advanced Micro Devices, Inc. Transistor having a barrier layer below a high permittivity gate dielectric
US6087236A (en) 1998-11-24 2000-07-11 Intel Corporation Integrated circuit with multiple gate dielectric structures
US6100163A (en) 1999-01-07 2000-08-08 Taiwan Semiconductor Manufacturing Company Gap filling of shallow trench isolation by ozone-tetraethoxysilane
US6110780A (en) 1999-04-01 2000-08-29 Taiwan Semiconductor Manufacturing Company Using NO or N2 O treatment to generate different oxide thicknesses in one oxidation step for single poly non-volatile memory
US6093661A (en) 1999-08-30 2000-07-25 Micron Technology, Inc. Integrated circuitry and semiconductor processing method of forming field effect transistors

Non-Patent Citations (9)

* Cited by examiner, † Cited by third party
Title
Doyle, B. et al., "Simultaneous Growth of Different Thickness Gate Oxides in Silicon CMOS Processing", IEEE vol. 16 (7), Jul. 1995 pp. 301-302.
Ko, L. et al., "The Effect of Nitrogen Incorporation into the Gate Oxide by Using Shallow Implantation of Nitrogen and Drive-In Process", IEEE 1996, pp. 32-35.
Kuroi, T. et al., "The Effects of Nitrogen Implantation Into P+Poly-Silicon Gate on Gate Oxide Properties", 1994 Sympos. on VLSI Technology Digest of Technical Papers, IEEE 1994, pp. 107-108.
Laughery, et al, Effect of H<SUB>2 </SUB>Content on Reliability of Ultrathin In-Situ Steam Generated (ISSG) SiO<SUB>2</SUB>, EEE Electron Device Letters, Sep. 2000, vol. 21, No. 9.
Liu, C.T. et al., "Multiple Gate Oxide Thickness for 2GHz System-on-a-Chip Technologies", IEEE 1998, pp. 589-592.
U.S. Appl. No. 09/653,281, filed Aug. 2000, Beaman et al.
Wolf, S., "Silicon Processing for the VLSI Era", Lattice Press 1990, vol. 2, pp. 188-189, 194-195, 609-614.
Wolf, S., "Silicon Processing for the VLSI Era", Lattice Press 1990, vol. 2, pp. 212-213.
Wolf, Stanley, "Silicon Processing for the VLSI Era, vol. 3: The Submicron Mosfet", Lattice Press, 1995, pp. 648-649.

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090215253A1 (en) * 2000-08-07 2009-08-27 Sandhu Gurtej S Method of Forming a Nitrogen-Enriched Region within Silicon-Oxide-Containing Masses
US8058130B2 (en) * 2000-08-07 2011-11-15 Micron Technology, Inc. Method of forming a nitrogen-enriched region within silicon-oxide-containing masses
US20080311719A1 (en) * 2002-08-15 2008-12-18 Tang Sanh D Method Of Forming A Field Effect Transistor
US8440515B2 (en) * 2002-08-15 2013-05-14 Micron Technology, Inc. Method of forming a field effect transistor
US8802520B2 (en) 2002-08-15 2014-08-12 Micron Technology, Inc. Method of forming a field effect transistor having source/drain material over insulative material
US10515801B2 (en) 2007-06-04 2019-12-24 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US20090088002A1 (en) * 2007-09-27 2009-04-02 Jinping Liu Method of fabricating a nitrogenated silicon oxide layer and mos device having same
US7928020B2 (en) * 2007-09-27 2011-04-19 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a nitrogenated silicon oxide layer and MOS device having same
US20160126333A1 (en) * 2014-11-05 2016-05-05 Cree, Inc. Semiconductor device with improved insulated gate
US10910481B2 (en) * 2014-11-05 2021-02-02 Cree, Inc. Semiconductor device with improved insulated gate

Also Published As

Publication number Publication date
US20020006736A1 (en) 2002-01-17
US6682979B2 (en) 2004-01-27
US20050136596A1 (en) 2005-06-23
US6833329B1 (en) 2004-12-21
US6653184B2 (en) 2003-11-25
US20020019142A1 (en) 2002-02-14

Similar Documents

Publication Publication Date Title
US8017470B2 (en) Method of forming a structure over a semiconductor substrate
US7157778B2 (en) Semiconductor constructions
US8058130B2 (en) Method of forming a nitrogen-enriched region within silicon-oxide-containing masses
US6566210B2 (en) Method of improving gate activation by employing atomic oxygen enhanced oxidation
US20080280391A1 (en) Methods of manufacturing mos transistors with strained channel regions
US6815375B2 (en) Methods of forming dielectric materials and methods of processing semiconductor substrates
US6514843B2 (en) Method of enhanced oxidation of MOS transistor gate corners
US7767511B2 (en) Semiconductor device manufactured using a method to improve gate doping while maintaining good gate profile
US7015088B2 (en) High-K gate dielectric defect gettering using dopants
US7135407B2 (en) Method of manufacturing a semiconductor device
JP3376305B2 (en) Method for manufacturing semiconductor device
JP2000357666A (en) Semiconductor device and manufacture thereof

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT, MARYLAND

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553)

Year of fee payment: 12

AS Assignment

Owner name: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT, ILLINOIS

Free format text: SECURITY INTEREST;ASSIGNORS:MICRON TECHNOLOGY, INC.;MICRON SEMICONDUCTOR PRODUCTS, INC.;REEL/FRAME:047540/0001

Effective date: 20180703

Owner name: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT, IL

Free format text: SECURITY INTEREST;ASSIGNORS:MICRON TECHNOLOGY, INC.;MICRON SEMICONDUCTOR PRODUCTS, INC.;REEL/FRAME:047540/0001

Effective date: 20180703

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT;REEL/FRAME:047243/0001

Effective date: 20180629

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT;REEL/FRAME:050937/0001

Effective date: 20190731

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:051028/0001

Effective date: 20190731

Owner name: MICRON SEMICONDUCTOR PRODUCTS, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:051028/0001

Effective date: 20190731