US7456812B2 - Display driver circuits - Google Patents

Display driver circuits Download PDF

Info

Publication number
US7456812B2
US7456812B2 US10/493,015 US49301504A US7456812B2 US 7456812 B2 US7456812 B2 US 7456812B2 US 49301504 A US49301504 A US 49301504A US 7456812 B2 US7456812 B2 US 7456812B2
Authority
US
United States
Prior art keywords
driver
display element
data line
circuitry
display
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related, expires
Application number
US10/493,015
Other versions
US20050007353A1 (en
Inventor
Euan C. Smith
Paul R. Routley
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Cambridge Display Technology Ltd
Original Assignee
Cambridge Display Technology Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cambridge Display Technology Ltd filed Critical Cambridge Display Technology Ltd
Assigned to CAMBRIDGE DISPLAY TECHNOLOGY LIMITED reassignment CAMBRIDGE DISPLAY TECHNOLOGY LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ROUTLEY, PAUL R., SMITH, EUAN C.
Publication of US20050007353A1 publication Critical patent/US20050007353A1/en
Application granted granted Critical
Publication of US7456812B2 publication Critical patent/US7456812B2/en
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G3/00Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes
    • G09G3/20Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters
    • G09G3/22Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters using controlled light sources
    • G09G3/30Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters using controlled light sources using electroluminescent panels
    • G09G3/32Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters using controlled light sources using electroluminescent panels semiconductive, e.g. using light-emitting diodes [LED]
    • G09G3/3208Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters using controlled light sources using electroluminescent panels semiconductive, e.g. using light-emitting diodes [LED] organic, e.g. using organic light-emitting diodes [OLED]
    • G09G3/3225Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters using controlled light sources using electroluminescent panels semiconductive, e.g. using light-emitting diodes [LED] organic, e.g. using organic light-emitting diodes [OLED] using an active matrix
    • G09G3/3233Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters using controlled light sources using electroluminescent panels semiconductive, e.g. using light-emitting diodes [LED] organic, e.g. using organic light-emitting diodes [OLED] using an active matrix with pixel circuitry controlling the current through the light-emitting element
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G3/00Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes
    • G09G3/20Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters
    • G09G3/22Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters using controlled light sources
    • G09G3/30Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters using controlled light sources using electroluminescent panels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/13Active-matrix OLED [AMOLED] displays comprising photosensors that control luminance
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/60OLEDs integrated with inorganic light-sensitive elements, e.g. with inorganic solar cells or inorganic photodiodes
    • H10K59/65OLEDs integrated with inorganic image sensors
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G2300/00Aspects of the constitution of display devices
    • G09G2300/04Structural and physical details of display devices
    • G09G2300/0404Matrix technologies
    • G09G2300/0417Special arrangements specific to the use of low carrier mobility technology
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G2300/00Aspects of the constitution of display devices
    • G09G2300/08Active matrix structure, i.e. with use of active elements, inclusive of non-linear two terminal elements, in the pixels together with light emitting or modulating elements
    • G09G2300/0809Several active elements per pixel in active matrix panels
    • G09G2300/0819Several active elements per pixel in active matrix panels used for counteracting undesired variations, e.g. feedback or autozeroing
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G2300/00Aspects of the constitution of display devices
    • G09G2300/08Active matrix structure, i.e. with use of active elements, inclusive of non-linear two terminal elements, in the pixels together with light emitting or modulating elements
    • G09G2300/0809Several active elements per pixel in active matrix panels
    • G09G2300/0842Several active elements per pixel in active matrix panels forming a memory circuit, e.g. a dynamic memory with one capacitor
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G2300/00Aspects of the constitution of display devices
    • G09G2300/08Active matrix structure, i.e. with use of active elements, inclusive of non-linear two terminal elements, in the pixels together with light emitting or modulating elements
    • G09G2300/088Active matrix structure, i.e. with use of active elements, inclusive of non-linear two terminal elements, in the pixels together with light emitting or modulating elements using a non-linear two-terminal element
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G2310/00Command of the display device
    • G09G2310/02Addressing, scanning or driving the display screen or processing steps related thereto
    • G09G2310/0262The addressing of the pixel, in a display other than an active matrix LCD, involving the control of two or more scan electrodes or two or more data electrodes, e.g. pixel voltage dependent on signals of two data electrodes
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G2320/00Control of display operating conditions
    • G09G2320/04Maintaining the quality of display appearance
    • G09G2320/043Preventing or counteracting the effects of ageing
    • G09G2320/045Compensation of drifts in the characteristics of light emitting or modulating elements
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G2360/00Aspects of the architecture of display systems
    • G09G2360/14Detecting light within display terminals, e.g. using a single or a plurality of photosensors
    • G09G2360/145Detecting light within display terminals, e.g. using a single or a plurality of photosensors the light originating from the display screen
    • G09G2360/147Detecting light within display terminals, e.g. using a single or a plurality of photosensors the light originating from the display screen the originated light output being determined for each pixel
    • G09G2360/148Detecting light within display terminals, e.g. using a single or a plurality of photosensors the light originating from the display screen the originated light output being determined for each pixel the light being detected by light detection means within each pixel

Definitions

  • This invention generally relates to display drivers for electro-optic displays, and in particular relates to circuitry for driving active matrix organic light emitting diode displays.
  • Organic light emitting diodes comprise a particularly advantageous form of electro-optic display. They are bright, colorful, fast-switching, provide a wide viewing angle and are easy and cheap to fabricate on a variety of substrates.
  • Organic LEDs may be fabricated using either polymers or small molecules in a range of colors (or in multi-colored displays), depending upon the materials used. Examples of polymer-based organic LEDs are described in WO 90/13148, WO 95/06400 and WO 99/48160; examples of so called small molecule based devices are described in U.S. Pat. No. 4,539,507.
  • FIG. 1 a A basic structure 100 of a typical organic LED is shown in FIG. 1 a .
  • a glass or plastic substrate 102 supports a transparent anode layer 104 comprising, for example, indium tin oxide (ITO) on which is deposited a hole transport layer 106 , an electroluminescent layer 108 , and a cathode 110 .
  • ITO indium tin oxide
  • the electro luminescence layer 108 may comprise, for example, a PPV (poly(p-phenylenevinylene)) and the hole transport layer 106 , which helps match the hole energy levels of the anode layer 104 and electroluminescent layer 108 , may comprise, for example, PEDOT:PSS (polystyrene-sulphonate-doped polyethylene-dioxythiophene).
  • Cathode layer 110 typically comprises a low work function metal such as calcium and may include an additional layer immediately adjacent electroluminescent layer 108 , such as a layer of aluminum, for improved electron energy level matching.
  • Contact wires 114 and 116 to the anode the cathode respectively provide a connection to a power source 118 .
  • the same basic structure may also be employed for small molecule devices.
  • a light 120 is emitted through transparent anode 104 and substrate 102 and such devices are referred to as “bottom emitters”.
  • Devices which emit through the cathode may also be constructed, for example by keeping the thickness of cathode layer 110 less than around 50-100 nm so that the cathode is substantially transparent.
  • Organic LEDs may be deposited on a substrate in a matrix of pixels to form a single or multi-color pixellated display.
  • a multicolored display may be constructed using groups of red, green, and blue emitting pixels.
  • the individual elements are generally addressed by activating row (or column) lines to select the pixels, and rows (or columns) of pixels are written to, to create a display.
  • rows (or columns) of pixels are written to, to create a display.
  • a memory element associated with each pixel so that the data written to a pixel is retained whilst other pixels are addressed.
  • a storage capacitor which stores a voltage set on a gate of a driver transistor.
  • Such devices are referred to as active matrix displays and examples of polymer and small-molecule active matrix display drivers can be found in WO 99/42983 and EP 0,717,446A respectively.
  • FIG. 1 b shows such a typical OLED driver circuit 150 .
  • a circuit 150 is provided for each pixel of the display and ground 152 , V ss 154 , row select 164 and column data 166 busbars are provided interconnecting the pixels.
  • each pixel has a power and ground connection and each row of pixels has a common row select line 164 and each column of pixels has a common data line 166 .
  • Each pixel has an organic LED 156 connected in series with a driver transistor 158 between ground and power lines 152 and 154 .
  • a gate connection 159 of driver transistor 158 is coupled to a storage capacitor 160 and a control transistor 162 couples gate 159 to column data line 166 under control of row select line 164 .
  • Transistor 162 is a field effect transistor (FET) switch which connects column data line 166 to gate 159 and capacitor 160 when row select line 164 is activated.
  • FET field effect transistor
  • Driver transistor 158 is typically an FET transistor and passes a (drain-source) current which is dependent upon the transistor's gate voltage less a threshold voltage. Thus the voltage at gate node 159 controls the current through OLED 156 and hence the brightness of the OLED.
  • the standard voltage-controlled circuit of FIG. 1 b suffers from a number of drawbacks.
  • the main problems arise because the brightness of OLED 156 is dependent upon the characteristics of the OLED and of the transistor 158 which is driving it. In general, these vary across the area of a display and with time, temperature, and age. This makes it difficult to predict in practice how bright a pixel will appear when driven by a given voltage on column data line 166 . In a color display the accuracy of color representations may also be affected.
  • FIG. 2 a shows a current-controlled pixel driver circuit 200 in which the current through an OLED 216 is set by setting a drain source current for OLED driver transistor 212 using a reference current sink 224 and memorizing the driver transistor gate voltage required for this drain-source current.
  • the brightness of OLED 216 is determined by the current, I col′ , flowing into adjustable reference current sink 224 , which is set as desired for the pixel being addressed. It will be appreciated that one current sink 224 is provided for each column data line 210 rather than for each pixel.
  • power 202 , 204 , column data 210 , and row select 206 lines are provided as described with reference to the voltage-controlled pixel driver of FIG. 1 b .
  • an inverted row select line 208 is also provided, the inverted row select line being high when row select line 206 is low and vice versa.
  • a driver transistor 212 has a storage capacitor 218 coupled to its gate connection to store a gate voltage for driving the transistor to pass a desired drain-source current.
  • Drive transistor 212 and OLED 216 are connected in series between a power 202 and ground 204 lines and, in addition, a further switching transistor 214 is connected between drive transistor 212 and OLED 216 , transistor 214 having a gate connection coupled to inverted row select line 208 .
  • Two further switching transistors 220 , 222 are controlled by non-inverted row select line 206 .
  • the source connections of the transistors are towards GND and for present generation OLED devices V ss is typically around ⁇ 6 volts.
  • V ss is typically around ⁇ 6 volts.
  • transistors 220 and 222 When row select is active transistors 220 and 222 are turned on and transistor 214 is turned off. Once the circuit has reached a steady state reference current I col′ into current sink 224 flows through transistor 222 and transistor 212 (the gate of 212 presenting a high impedance). Thus the drain-source current of transistor 212 is substantially equal to the reference current set by current sink 224 and the gate voltage required for this drain-source current is stored on capacitor 218 . Then, when row select becomes inactive, transistors 220 and 222 are turned off and transistor 214 is turned on so that this same current now flows through transistor 212 , transistor 214 , and OLED 216 . Thus the current through OLED is controlled to be substantially the same as that set by reference current sink 224 .
  • transistor 212 Before this steady state is reached the voltage on capacitor 218 will generally be different from the required voltage and thus transistor 212 will not pass a drain source current equal to the current, I col , set by reference sink 224 .
  • a current equal to the difference between the reference current and the drain-source current of transistor 212 flows onto or off capacitor 218 through transistor 220 to thereby change the gate voltage of transistor 212 .
  • the gate voltage changes until the drain-source current of transistor 212 equals the reference current set by sink 224 , when the mismatch is eliminated and no current flows through transistor 220 .
  • the circuit of FIG. 2 a solves some of the problems associated with the voltage-controlled circuit of FIG. 1 b as the current through OLED 216 can be set irrespective of variations in the characteristics of pixel driver transistor 212 .
  • the circuit of FIG. 2 a is still prone to variations in the characteristic of OLED 216 between pixels, between active matrix display devices, and over time.
  • a particular problem with OLEDs is a tendency for their light output to decrease over time, dependent upon the current with which they are driven (this may be related to the passage of electrons through the OLED). Such degradation is particularly apparent in a pixellated display where the relative brightness of nearby pixels can easily be compared.
  • each of transistors 212 , 214 and 222 must be sufficiently physically large to handle the current through OLED 216 , which is equal to the I col reference current. Large transistors are generally undesirable and, depending upon the active matrix device structure, may also obscure or prevent the use of part of a pixel's area.
  • FIG. 2 b which is taken from WO 01/20591, illustrates the technique, which is to connect a photodiode across the storage capacitor.
  • FIG. 2 b shows a voltage-controlled pixel driver circuit 250 with optical feedback 252 .
  • the main components of the driver circuit 250 of FIG. 2 b correspond to those of circuit 150 of FIG. 1 b , that is, an OLED 254 in series with a driver transistor 256 having a storage capacitor 258 coupled to its gate connection.
  • a switch transistor 260 is controlled by a row conductor 262 and, when switched on, allows a voltage on capacitor 258 to be set by applying a voltage signal to column conductor 264 .
  • a photodiode 266 is connected across storage capacitor 258 so that it is reverse biased. Thus photo diode 266 is essentially non conducting in the dark and exhibits a small reverse conductance depending upon the degree of illumination.
  • the physical structure of the pixel is arranged so that OLED 254 illuminates photodiode 266 , thus providing an optical feedback path 252 .
  • the photocurrent through photodiode 266 is approximately linearly proportional to the instantaneous light output level from OLED 254 .
  • the charge stored on capacitor 258 and hence the voltage across the capacitor and the brightness of OLED 254 , decays approximately exponentially over time.
  • the integrated light output from OLED 254 that is the total number of photons emitted and hence the perceived brightness of the OLED pixel, is thus approximately determined by the initial voltage stored on capacitor 258 .
  • the circuit of FIG. 2 b solves the aforementioned problems associated with the linearity and variability of the driver transistor 256 and OLED 254 but exhibits some significant drawbacks in its practical implementation.
  • the main drawback is that every pixel of the display needs refreshing every frame as storage capacitor 258 is discharged over no more than this period.
  • the circuit of FIG. 2 b has a limited ability to compensate for ageing effects, again because the light pulse emitted from OLED 254 cannot extend beyond the frame period.
  • the OLED is pulsed on and off it must be operated at an increased voltage for a given light output, which tends to reduce the circuit efficiency.
  • Capacitor 258 also often exhibits non-linearities so that the stored charge is not necessarily linearly proportional to the voltage applied on column conductor 264 . This results in non-linearities in the voltage-brightness relationship for the pixel as photodiode 266 passes a photocurrent (and hence charge) which is dependent upon the level of illumination it receives.
  • display element driver circuitry for driving an element of an electro-optic display, the circuitry having first and second control lines and a data line, the circuitry comprising, a driver to drive the electro-optic display element in accordance with a drive voltage, a photosensitive-device optically coupled to the electro-optic display element to pass a current dependent upon illumination reaching the photosensitive device, a first control device coupled between the photosensitive device and the data line and responsive to a first control signal on the first control line to couple the photosensitive device to the data line; and a second control device coupled between the photosensitive device and the driver and responsive to a second control signal on the second control line to couple the photosensitive device to the driver.
  • This configuration provides the flexibility for the driver circuitry to be operated in a number of different modes according to the required function of the display, the ambient light conditions, and other factors. The operation of these different modes is described in more detail below and allows the driver circuitry, for example, to be operated in a first mode under bright illumination and a second mode under dimmer ambient light. Furthermore, because the photosensitive device can be substantially isolated from the driver the same circuitry can be used for both driving a pixel of an electro-optic display and for sensing or reading an image, for example to operate the display as a sensor for a scanner.
  • the photosensitive device can also be used to measure an ambient light level before the pixel with which it is associated is switched on so that the pixel brightness can be set to compensate for an ambient light level and, in particular, so that data written to the driver circuitry to set a pixel brightness can take account of the effect ambient light might have on the optical coupling between the electro-optic display element and the photosensitive device.
  • the input of the driver will generally have some associated input capacitance, but the circuit may further include an additional storage element coupled to the input of the driver and to the second control device to memorize a drive voltage for the display element.
  • a storage element comprises a capacitor which, for micro-displays, may be a digital capacitor. This capacitor may be integrated with the gate of a field effect transistor connected to the input of the driver.
  • the first and second control devices each comprise a field effect transistor (FET) to provide a pair of controllable switches. This simplifies integration of the driver circuitry.
  • FET field effect transistor
  • An active matrix display with a plurality of pixels may be constructed by providing each pixel with such display element driver circuitry.
  • the data lines may be connected to column (or row) lines of the display and the control lines to row (or column) control circuitry.
  • each column (or row) line connected to the data lines is provided with a switch to allow the data lines to be connected either to a data line driver to drive a voltage or current on the data line or to measurement circuitry to read one or more illumination levels from the photosensitive devices associated with each pixel.
  • Such measurement circuitry can also be used to check the correct operation of the photosensitive device, for example to ensure the leakage current is below a permitted threshold.
  • such an active matrix display also includes control circuitry to drive the first and second control lines to operate the display in a plurality of modes.
  • control circuitry to drive the first and second control lines to operate the display in a plurality of modes.
  • One or more of these modes may be selected on installation of the device into a circuit, by effectively hard wiring the mode selection or the operating mode may be selected dynamically, for example according to prevailing operating conditions.
  • the pixels of the display are controlled to measure an ambient light level before data is written to the display.
  • Data may be written using the circuitry in either a current-controlled or voltage-controlled mode, in the latter case with or without optical feedback.
  • a current-controlled mode with an initial measurement cycle may be employed for bright ambient illumination
  • a voltage-controlled mode with optical feedback along the lines described with reference to FIG. 2 b , may be employed with or without a measurement cycle in dim ambient illumination conditions.
  • the driver circuitry for the pixels of the display may also be configured to use the photosensitive devices as an image sensor or scanner, for example once an appropriate drive voltage has been memorized by the storage element.
  • This mode may also be used, for example, to provide a touch-sensitive display where the light pattern read from the display has sufficient resolution to detect a darkened area of the screen corresponding to a region of the display shielded from the ambient illumination by, for example, a finger tip.
  • a stylus with a reflective tip may be employed and the photosensitive device of one pixel used to measure the light from neighboring pixels scattered by the tip. Deconvoluting this signal from the optical feedback signal may be achieved by, for example, monitoring the feedback (reflected light) from neighboring pixels to the pixel area concerned.
  • a significantly reduced voltage drive for a given requested photocurrent than in previous operation cycles may be used, due to an increased feedback to the photosensitive device.
  • the invention also provides a method of operating the above-described display element driver circuitry the method comprising controlling said circuitry to couple said photosensitive device to said data line, measuring a light level using said photosensitive device, controlling said circuitry to couple said driver to said data line; and driving said data line with a signal dependent upon said measuring.
  • Measuring the light level using the photosensitive device allows the control circuitry to drive the data line to compensate for the measured light level, and thus compensate for a background light level which may result from ambient illumination, or from other nearby emitting electro-optic display elements, or both.
  • the method includes switching the display element off and optionally switching other nearby display elements off, before measuring the light level, for improved accuracy. This method may be employed before writing a light level signal to the display element in a selected one of the other operational modes of the circuitry.
  • the display element driver circuitry is operated by controlling said first and second control lines to assert and de-assert said first and second control signals together; and driving said data line with a reference current to set a brightness for said display element when said driver, said photosensitive device and said data line are all coupled.
  • the display element drive circuitry is operated by controlling said first and second control lines to assert and de-assert said first and second control signals together; and driving said data line with a reference voltage to set a brightness for said display element when said driver, said photosensitive device and said data line are all coupled.
  • the display element driver circuitry is operated by controlling said second control line to assert said second control signal to couple the photosensitive device to the driver, controlling said first control line to couple the photosensitive device to the data line to select the display element, driving said data line with a reference voltage to set a brightness for said display element when said driver, said photosensitive device and said data line are all coupled; and controlling said first control line to decouple the photosensitive device from the data line to deselect the display element whilst maintaining said second control signal to maintain the coupling of said photosensitive device to said driver.
  • the invention also provides a method of operating an active matrix display as a light pattern or image sensor, the active matrix display comprising a plurality of display elements, each display element having associated display element driver circuitry, the method comprising, controlling the display element driver circuitry of a plurality of said display elements to couple the photosensitive device of each display element to the corresponding data line; and reading light pattern data from the display using the data line of each photosensitive device.
  • the invention provides a method controlling the light output from a pixel of an active matrix electroluminescent display, the pixel including an electroluminescent display element and a light sensor optically coupled to the electroluminescent display element to provide an optical feedback path for controlling the electroluminescent display element light output, the method comprising, measuring an ambient light level using the light sensor; and writing a light level signal to the pixel modified to compensate for said ambient light level.
  • the electro-optic or electroluminescent display element preferably comprises an organic light emitting diode.
  • FIGS. 1 a and 1 b show, respectively, a basic organic LED structure, and a typical voltage-controlled OLED driver circuit
  • FIGS. 2 a and 2 b show, respectively, a current-controlled OLED driver circuit, and a voltage-controlled OLED driver circuit with optical feedback according to the prior art
  • FIG. 3 shows an organic LED driver circuit according to an embodiment of the present invention.
  • FIGS. 4 a and 4 b show vertical cross sections through device structures of OLED display elements with driver circuits incorporating optical feedback.
  • this shows an organic LED driver circuit 300 which can be operated in a number of different modes.
  • each pixel is provided with such a driver circuit and further circuitry (not shown) is provided to address the pixels row-by-row, to set each row at the desired brightness.
  • the active matrix display is provided with a grid of electrodes including, as shown, a ground (GND) line 302 , a power or V ss line 304 , row select lines 306 , 307 and a column data line 308 .
  • GND ground
  • column data line 308 is connected to a switch 330 to selectively couple the column data line either to a reference current source (or sink) 324 or to measurement circuitry 328 .
  • the reference current source (or sink) 324 is preferably a programmable constant current generator to allow a current in column data line 308 to be adjusted to a desired level to set a pixel brightness, as described in more detail below. In other embodiments, however, a programmable voltage generator may be used additionally or alternatively to current generator 324 , to allow the driver circuit to be used in other modes.
  • Measurement circuitry 328 allows the driver circuit to be used to measure an ambient light level when switch 330 connects column data line 308 to the measurement circuitry.
  • Row driver circuitry 332 controls the first and second row select lines 306 and 307 according to the operating mode of driver circuitry 300 .
  • the driver circuit 300 comprises a driver transistor 310 connected in series with an organic LED display element 312 between the GND 302 and V ss 304 lines.
  • a storage capacitor 314 which may be integrated with the gate of transistor 310 , stores a charge corresponding to a memorized gate voltage to control the drive current through OLED element 312 .
  • Control circuitry for the driver comprises two switching transistors 320 , 322 with separate, independently controllable gate connections coupled to first and second select lines 306 and 307 respectively.
  • a photodiode 316 is coupled to a node 317 between transistors 320 and 322 .
  • Transistor 320 provides a switched connection of node 317 to column data line 308 .
  • Transistor 322 provides a switched connection of node 317 to a node 315 to which is connected storage capacitor 314 and the gate of transistor 310 . In the circuit of FIG. 3 all the transistors are PMOS.
  • Photodiode 316 is coupled between GND line 302 and line 317 so that it is reverse biased.
  • the photodiode is physically arranged with respect to the OLED display element 312 such that an optical feedback path 318 exists between OLED 312 and photodiode 316 .
  • OLED 312 illuminates photodiode 316 and this allows an illumination-dependent current to flow in a reverse direction through photodiode 316 , that is from GND line 302 towards V ss .
  • each photon generates an electron within photodiode 316 which can contribute to a photocurrent.
  • first select line 306 When first select line 306 is active transistor 320 is on, that is the switch is “closed” and there is a relatively low impedance connection between column data line 308 and node 317 .
  • first select line 306 When first select line 306 is inactive transistor 320 is switched off and photodiode 316 is effectively isolated from column data line 308 .
  • second select line 307 When second select line 307 is active transistor 322 is switched on and nodes 315 and 317 are coupled; when second select line 307 is inactive transistor 322 is switched off and node 315 is effectively isolated from node 317 .
  • This ambient light level may result from the ambient light conditions in the local display environment or from light emitted from OLED 312 or the corresponding OLEDs in neighboring pixels.
  • the photodiodes of a plurality of pixels may be used to read an image pattern using a display.
  • the driver circuitry 300 may be operated in a current-controlled mode with optical feedback, in a voltage-controlled mode with optical feedback, and in a voltage-controlled mode without optical feedback. Any or all of these modes may be employed with a light measurement mode to make an ambient light measurement before data is written to a pixel, or to input an image after data is written to a pixel.
  • first and second select lines 306 and 307 are connected together or driven in tandem by row drivers 332 so that the circuit operates as a current-controlled driver with optical feedback.
  • the switch 330 When the switch 330 is in the position shown in FIG. 3 the programmable reference current generator 324 attempts to cause a reference current which will be referred to as I col to flow to off-pixel V ss connection 326 .
  • line 317 may be referred to as a current sense line
  • passing a current I sense and line 315 may be referred to as a control line
  • passing a current I error to set a voltage on capacitor 314 to control OLED 312 .
  • the first and second row select lines 306 and 307 can be deactivated and the voltage required for this level of brightness is memorized by capacitor 314 .
  • the time required for the voltage on capacitor 314 to stabilize depends upon a number of factors, which may be varied in accordance with the desired device characteristics, and may be a few microseconds. Broadly speaking a typical OLED drive current is of the order of 1 ⁇ A whilst a typical photocurrent is around 0.1% of this, or of the order of 1 nA (in part dependent upon the photodiode area). It can therefore be seen that the power handling requirements of transistors 320 and 322 are negligible compared with that of the drive transistor 310 , which must be relatively large. To speed up the settling time of the circuit it is preferable to use a relatively small value for capacitor 314 and a relatively large area photodiode to increase the photocurrent. This also helps reduce the risk of noise and stability at very low brightness levels associated with stray or parasitic capacitance on column data line 308 .
  • the driver circuitry 300 is voltage controlled and operates in a similar manner to the prior art circuit of FIG. 1 b , that is without optical feedback.
  • the first and second select lines are connected together or driven in tandem by row drivers 332 but instead of column data line 308 being driven by a reference current generator 324 , line 308 is driven by a voltage reference source, programmable to adjust the pixel brightness.
  • the voltage source preferably has a low internal resistance to approximate a constant voltage source.
  • capacitor 314 is coupled to column data line 308 and is therefore charged to the voltage output by the reference voltage generator.
  • the small reverse current through photodiode 316 due to illumination by OLED 312 has a substantially no effect on the voltage on line 308 because of the low internal resistance of the voltage source.
  • capacitor 314 has been charged to the required voltage transistors 320 and 322 are switched off by deasserting the first and second select lines 306 and 307 , so that capacitor 314 does not discharge through photodiode 316 .
  • the pair of transistors 320 and 322 effectively perform the same function as transistor 162 in the circuit of FIG. 1 b.
  • the circuit is again driven by a programmable reference voltage source but the second select line is controlled so that it is always active (and hence so that transistor 322 is always on) whilst OLED 312 is on.
  • photodiode 316 is connected across storage capacitor 314 so that the circuit operates in substantially the same way as the circuit of FIG. 2 b described above, transistor 320 performing the function of transistor 260 in FIG. 2 b .
  • the second select line 307 may simply be tied to a fixed voltage supply to ensure this line is always active.
  • transistor 322 need only be on long enough to ensure that capacitor 314 has enough time to discharge and thus it is still possible in this mode to switch off transistor 322 at times to allow photodiode 316 to be connected between lines 302 and 308 by transistor 320 and used as a sensor.
  • the programmable reference voltage source can be arranged to deliver a predetermined charge to capacitor 314 since, when photodiode 316 is connected across capacitor 314 , it is the charge on capacitor 314 which determines the apparent brightness of OLED 312 rather than the voltage itself. Delivering a predetermined charge to capacitor 314 , rather than charging the capacitor to a reference voltage, reduces the effect of non-linearities in the charge-voltage characteristic of capacitor.
  • the driver circuitry 300 is controlled to provide a measurement cycle before pixel illumination data is written to the circuit to set the brightness of OLED 312 .
  • To achieve this row driver circuitry 332 preferably controls the first and second select lines 306 and 307 , and switch 330 by means of a control line 334 , to switch transistor 322 off and transistor 320 on, to connect photodiode 316 to measurement circuitry 328 .
  • Measurement circuitry 328 can then measure the ambient light level in the vicinity of photodiode 316 and, optionally, can also perform additional tasks such as checking the proper functioning of the photodiode, for example by checking its leakage current.
  • the measurement circuitry can be arranged to measure a photocurrent through photodiode 316 , or a photovoltaic mode of operation of the photodiode may be employed when the photodiode is brightly illuminated, the photodiode operating as a photocell and measurement circuitry 328 measuring a voltage.
  • the light level measurement may be used to determine the degree of illumination of OLED 312 or of the OLEDs of adjacent pixels or, for example, to characterize the drive circuit or OLED 312 .
  • the light level measured by photodiode 316 may be used to compensate for any disturbances to the operation of the above-described modes with optical feedback, for example by writing a modified reference current or voltage to the pixel to take account of the ambient light level.
  • OLED 312 is switched off before a measurement is made using photodiode 316 .
  • OLED 312 will automatically be switched off after no more than one frame period, but in the first and second modes of operation the OLED may be switched off by writing a dark level signal to the pixel.
  • the first select line 306 in effect operates as a row select line whilst the second select line 307 operates as a combined mode and row select line.
  • the first select line 306 is held active whilst the second select line 307 is toggled from active during a write cycle to inactive or deasserted during a measure cycle.
  • FIG. 4 shows, in outline, two alternative physical structures for OLED pixel driver circuits incorporating optical feedback (the drawings are not to scale).
  • FIG. 4 a shows a bottom-emitting structure 400 and
  • FIG. 4 b shows a top-emitter 450 .
  • an OLED structure 406 is deposited side-by-side with polysilicon driver circuitry 404 on a glass substrate 402 .
  • the driver circuitry 404 incorporates a photodiode 408 to one side of the OLED structure 406 .
  • Light 410 is emitted through the bottom (anode) of the substrate.
  • FIG. 4 b shows a cross section through an alternative structure 450 which emits light 460 from its top (cathode) surface.
  • a glass substrate 452 supports a first layer 454 comprising the driver circuitry and including a photodiode 458 .
  • An OLED pixel structure 456 is then deposited over the driver circuitry 454 .
  • a passivation or stop layer may be included between layers 454 and 456 .
  • the driver circuitry is fabricated using (crystalline) silicon rather than polysilicon or amorphous silicon a structure of the type shown in FIG. 4 b is required and substrate 452 is a silicon substrate.
  • the pixel driver circuitry may be fabricated by conventional means.
  • the organic LEDs may be fabricated using either ink jet deposition techniques such as those described in EP 880303 to deposit polymer-based materials or evaporative deposition techniques to deposit small molecule materials.
  • so-called micro-displays with a structure of the type illustrated in FIG. 4 b may be fabricated by ink jet printing OLED materials onto a conventional silicon substrate on which CMOS pixel driver circuitry has previously been fabricated.
  • the illustrated embodiment of the driver circuit uses PMOS transistors but the circuits may be inverted and NMOS may be employed or, alternatively, a combination of PMOS and NMOS transistors may be used.
  • the transistors may comprise thin film transistors (TFTs) fabricated from amorphous or poly-silicon on a glass or plastic substrate or conventional CMOS circuitry may be used.
  • TFTs thin film transistors
  • plastic transistors such as those described in WO 99/54936 may be employed, and the photodiode may comprise a reverse biased OLED to allow the entire circuitry to be fabricated from plastic.
  • bipolar transistors may also be used.
  • the display element driver circuitry has been described with reference to its use for driving organic LEDs but the circuitry may also be employed with other types of electroluminescent display such as inorganic TFEL (Thin Film Electroluminescent) displays, gallium arsenide on silicon displays, porous silicon displays, photoluminescence quenching displays as described in UK patent application no. 0121077.2, and the like.
  • TFEL Thin Film Electroluminescent
  • the driver circuitry primarily finds applications in active matrix displays it may also be used with other types of display such as segmented displays and hybrid semi-active displays.
  • the preferred photosensor is a photodiode which may comprise a PN diode in TFT technology or a PIN diode in crystalline silicon.
  • photosensitive devices such as photoresistors and photosensitive bipolar transistors and FETs may also be employed, providing they have a characteristic in which a photocurrent is dependent upon their level of illumination.

Abstract

Display driver circuitry for electro-optic displays, in particular active matrix displays using organic light emitting diodes. The circuitry includes a driver to drive an electro-optic element in accordance with a drive voltage, a photosensitive device optically coupled to the electro-optic display element to pass a current dependent upon illumination reaching the photosensitive device, a first control device coupled between the photosensitive device and a data line and responsive to a first control signal on a first control line to couple the photosensitive device to the data line, and a second control device coupled between the photosensitive device and the driver and responsive to a second control signal on a second control line to couple the photosensitive device to the driver. The circuit can be operated in a number of different modes and provides flexible control of an electro-display element such as an organic LED pixel.

Description

This is the U.S. national phase of International Application No. PCT/GB02/04781 filed Oct. 23, 2002, the entire disclosure of which is incorporated herein by reference.
BACKGROUND OF THE INVENTION
1. Field of the Invention
This invention generally relates to display drivers for electro-optic displays, and in particular relates to circuitry for driving active matrix organic light emitting diode displays.
2. Description of Related Technology
Organic light emitting diodes (OLEDs) comprise a particularly advantageous form of electro-optic display. They are bright, colorful, fast-switching, provide a wide viewing angle and are easy and cheap to fabricate on a variety of substrates. Organic LEDs may be fabricated using either polymers or small molecules in a range of colors (or in multi-colored displays), depending upon the materials used. Examples of polymer-based organic LEDs are described in WO 90/13148, WO 95/06400 and WO 99/48160; examples of so called small molecule based devices are described in U.S. Pat. No. 4,539,507.
A basic structure 100 of a typical organic LED is shown in FIG. 1 a. A glass or plastic substrate 102 supports a transparent anode layer 104 comprising, for example, indium tin oxide (ITO) on which is deposited a hole transport layer 106, an electroluminescent layer 108, and a cathode 110. The electro luminescence layer 108 may comprise, for example, a PPV (poly(p-phenylenevinylene)) and the hole transport layer 106, which helps match the hole energy levels of the anode layer 104 and electroluminescent layer 108, may comprise, for example, PEDOT:PSS (polystyrene-sulphonate-doped polyethylene-dioxythiophene). Cathode layer 110 typically comprises a low work function metal such as calcium and may include an additional layer immediately adjacent electroluminescent layer 108, such as a layer of aluminum, for improved electron energy level matching. Contact wires 114 and 116 to the anode the cathode respectively provide a connection to a power source 118. The same basic structure may also be employed for small molecule devices.
In the example shown in FIG. 1 a light 120 is emitted through transparent anode 104 and substrate 102 and such devices are referred to as “bottom emitters”. Devices which emit through the cathode may also be constructed, for example by keeping the thickness of cathode layer 110 less than around 50-100 nm so that the cathode is substantially transparent.
Organic LEDs may be deposited on a substrate in a matrix of pixels to form a single or multi-color pixellated display. A multicolored display may be constructed using groups of red, green, and blue emitting pixels. In such displays the individual elements are generally addressed by activating row (or column) lines to select the pixels, and rows (or columns) of pixels are written to, to create a display. It will be appreciated that with such an arrangement it is desirable to have a memory element associated with each pixel so that the data written to a pixel is retained whilst other pixels are addressed. Generally this is achieved by a storage capacitor which stores a voltage set on a gate of a driver transistor. Such devices are referred to as active matrix displays and examples of polymer and small-molecule active matrix display drivers can be found in WO 99/42983 and EP 0,717,446A respectively.
FIG. 1 b shows such a typical OLED driver circuit 150. A circuit 150 is provided for each pixel of the display and ground 152, V ss 154, row select 164 and column data 166 busbars are provided interconnecting the pixels. Thus each pixel has a power and ground connection and each row of pixels has a common row select line 164 and each column of pixels has a common data line 166.
Each pixel has an organic LED 156 connected in series with a driver transistor 158 between ground and power lines 152 and 154. A gate connection 159 of driver transistor 158 is coupled to a storage capacitor 160 and a control transistor 162 couples gate 159 to column data line 166 under control of row select line 164. Transistor 162 is a field effect transistor (FET) switch which connects column data line 166 to gate 159 and capacitor 160 when row select line 164 is activated. Thus when switch 162 is on a voltage on column data line 166 can be stored on a capacitor 160. This voltage is retained on the capacitor for at least the frame refresh period because of the relatively high impedances of the gate connection to driver transistor 158 and of switch transistor 162 in its “off” state.
Driver transistor 158 is typically an FET transistor and passes a (drain-source) current which is dependent upon the transistor's gate voltage less a threshold voltage. Thus the voltage at gate node 159 controls the current through OLED 156 and hence the brightness of the OLED.
The standard voltage-controlled circuit of FIG. 1 b suffers from a number of drawbacks. The main problems arise because the brightness of OLED 156 is dependent upon the characteristics of the OLED and of the transistor 158 which is driving it. In general, these vary across the area of a display and with time, temperature, and age. This makes it difficult to predict in practice how bright a pixel will appear when driven by a given voltage on column data line 166. In a color display the accuracy of color representations may also be affected.
Two circuits which partially address these problems are shown in FIGS. 2 a and 2 b. FIG. 2 a shows a current-controlled pixel driver circuit 200 in which the current through an OLED 216 is set by setting a drain source current for OLED driver transistor 212 using a reference current sink 224 and memorizing the driver transistor gate voltage required for this drain-source current. Thus the brightness of OLED 216 is determined by the current, Icol′, flowing into adjustable reference current sink 224, which is set as desired for the pixel being addressed. It will be appreciated that one current sink 224 is provided for each column data line 210 rather than for each pixel.
In more detail, power 202, 204, column data 210, and row select 206 lines are provided as described with reference to the voltage-controlled pixel driver of FIG. 1 b. In addition an inverted row select line 208 is also provided, the inverted row select line being high when row select line 206 is low and vice versa. A driver transistor 212 has a storage capacitor 218 coupled to its gate connection to store a gate voltage for driving the transistor to pass a desired drain-source current. Drive transistor 212 and OLED 216 are connected in series between a power 202 and ground 204 lines and, in addition, a further switching transistor 214 is connected between drive transistor 212 and OLED 216, transistor 214 having a gate connection coupled to inverted row select line 208. Two further switching transistors 220, 222 are controlled by non-inverted row select line 206.
In the embodiment of the current-controlled pixel driver circuit 200 illustrated in FIG. 2 a all the transistors are PMOS, which is preferable because of their greater stability and better resistance to hot electron effects. However NMOS transistors could also be used. This is also true of circuits according to the invention which are described below.
In the circuit of FIG. 2 a the source connections of the transistors are towards GND and for present generation OLED devices Vss is typically around −6 volts. When the row is active the row select line 206 is thus driven at −20 volts and inverted row select line 208 is driven at 0 volts.
When row select is active transistors 220 and 222 are turned on and transistor 214 is turned off. Once the circuit has reached a steady state reference current Icol′ into current sink 224 flows through transistor 222 and transistor 212 (the gate of 212 presenting a high impedance). Thus the drain-source current of transistor 212 is substantially equal to the reference current set by current sink 224 and the gate voltage required for this drain-source current is stored on capacitor 218. Then, when row select becomes inactive, transistors 220 and 222 are turned off and transistor 214 is turned on so that this same current now flows through transistor 212, transistor 214, and OLED 216. Thus the current through OLED is controlled to be substantially the same as that set by reference current sink 224.
Before this steady state is reached the voltage on capacitor 218 will generally be different from the required voltage and thus transistor 212 will not pass a drain source current equal to the current, Icol, set by reference sink 224. When such a mismatch exists a current equal to the difference between the reference current and the drain-source current of transistor 212 flows onto or off capacitor 218 through transistor 220 to thereby change the gate voltage of transistor 212. The gate voltage changes until the drain-source current of transistor 212 equals the reference current set by sink 224, when the mismatch is eliminated and no current flows through transistor 220.
The circuit of FIG. 2 a solves some of the problems associated with the voltage-controlled circuit of FIG. 1 b as the current through OLED 216 can be set irrespective of variations in the characteristics of pixel driver transistor 212. However the circuit of FIG. 2 a is still prone to variations in the characteristic of OLED 216 between pixels, between active matrix display devices, and over time. A particular problem with OLEDs is a tendency for their light output to decrease over time, dependent upon the current with which they are driven (this may be related to the passage of electrons through the OLED). Such degradation is particularly apparent in a pixellated display where the relative brightness of nearby pixels can easily be compared. A further problem with the circuit of FIG. 2 a arises because each of transistors 212, 214 and 222 must be sufficiently physically large to handle the current through OLED 216, which is equal to the Icol reference current. Large transistors are generally undesirable and, depending upon the active matrix device structure, may also obscure or prevent the use of part of a pixel's area.
In an attempt to address these additional problems there have been a number of attempts to employ optical feedback to control the OLED current. These attempts are described in WO 01/20591, EP 0,923,067A, EP 1,096,466A, and JP 5-035,207 and all employ basically the same technique. FIG. 2 b, which is taken from WO 01/20591, illustrates the technique, which is to connect a photodiode across the storage capacitor.
FIG. 2 b shows a voltage-controlled pixel driver circuit 250 with optical feedback 252. The main components of the driver circuit 250 of FIG. 2 b correspond to those of circuit 150 of FIG. 1 b, that is, an OLED 254 in series with a driver transistor 256 having a storage capacitor 258 coupled to its gate connection. A switch transistor 260 is controlled by a row conductor 262 and, when switched on, allows a voltage on capacitor 258 to be set by applying a voltage signal to column conductor 264. Additionally, however, a photodiode 266 is connected across storage capacitor 258 so that it is reverse biased. Thus photo diode 266 is essentially non conducting in the dark and exhibits a small reverse conductance depending upon the degree of illumination. The physical structure of the pixel is arranged so that OLED 254 illuminates photodiode 266, thus providing an optical feedback path 252.
The photocurrent through photodiode 266 is approximately linearly proportional to the instantaneous light output level from OLED 254. Thus the charge stored on capacitor 258, and hence the voltage across the capacitor and the brightness of OLED 254, decays approximately exponentially over time. The integrated light output from OLED 254, that is the total number of photons emitted and hence the perceived brightness of the OLED pixel, is thus approximately determined by the initial voltage stored on capacitor 258.
The circuit of FIG. 2 b solves the aforementioned problems associated with the linearity and variability of the driver transistor 256 and OLED 254 but exhibits some significant drawbacks in its practical implementation. The main drawback is that every pixel of the display needs refreshing every frame as storage capacitor 258 is discharged over no more than this period. Related to this, the circuit of FIG. 2 b has a limited ability to compensate for ageing effects, again because the light pulse emitted from OLED 254 cannot extend beyond the frame period. Similarly, because the OLED is pulsed on and off it must be operated at an increased voltage for a given light output, which tends to reduce the circuit efficiency. Capacitor 258 also often exhibits non-linearities so that the stored charge is not necessarily linearly proportional to the voltage applied on column conductor 264. This results in non-linearities in the voltage-brightness relationship for the pixel as photodiode 266 passes a photocurrent (and hence charge) which is dependent upon the level of illumination it receives.
A further problem with the use of optical feedback is the risk of ambient light affecting the feedback response unless care is taken with the physical layout of the relevant components. Finally, all the prior art designs lack operational flexibility.
SUMMARY
There is therefore a need for improved display driver circuitry for organic LEDs which addresses the above problems.
According to a first aspect of the invention there is therefore provided display element driver circuitry for driving an element of an electro-optic display, the circuitry having first and second control lines and a data line, the circuitry comprising, a driver to drive the electro-optic display element in accordance with a drive voltage, a photosensitive-device optically coupled to the electro-optic display element to pass a current dependent upon illumination reaching the photosensitive device, a first control device coupled between the photosensitive device and the data line and responsive to a first control signal on the first control line to couple the photosensitive device to the data line; and a second control device coupled between the photosensitive device and the driver and responsive to a second control signal on the second control line to couple the photosensitive device to the driver.
This configuration provides the flexibility for the driver circuitry to be operated in a number of different modes according to the required function of the display, the ambient light conditions, and other factors. The operation of these different modes is described in more detail below and allows the driver circuitry, for example, to be operated in a first mode under bright illumination and a second mode under dimmer ambient light. Furthermore, because the photosensitive device can be substantially isolated from the driver the same circuitry can be used for both driving a pixel of an electro-optic display and for sensing or reading an image, for example to operate the display as a sensor for a scanner. In a similar way the photosensitive device can also be used to measure an ambient light level before the pixel with which it is associated is switched on so that the pixel brightness can be set to compensate for an ambient light level and, in particular, so that data written to the driver circuitry to set a pixel brightness can take account of the effect ambient light might have on the optical coupling between the electro-optic display element and the photosensitive device.
The input of the driver will generally have some associated input capacitance, but the circuit may further include an additional storage element coupled to the input of the driver and to the second control device to memorize a drive voltage for the display element. Preferably such a storage element comprises a capacitor which, for micro-displays, may be a digital capacitor. This capacitor may be integrated with the gate of a field effect transistor connected to the input of the driver. Preferably the first and second control devices each comprise a field effect transistor (FET) to provide a pair of controllable switches. This simplifies integration of the driver circuitry.
An active matrix display with a plurality of pixels may be constructed by providing each pixel with such display element driver circuitry. The data lines may be connected to column (or row) lines of the display and the control lines to row (or column) control circuitry. In a preferred embodiment each column (or row) line connected to the data lines is provided with a switch to allow the data lines to be connected either to a data line driver to drive a voltage or current on the data line or to measurement circuitry to read one or more illumination levels from the photosensitive devices associated with each pixel. Such measurement circuitry can also be used to check the correct operation of the photosensitive device, for example to ensure the leakage current is below a permitted threshold.
Preferably such an active matrix display also includes control circuitry to drive the first and second control lines to operate the display in a plurality of modes. One or more of these modes may be selected on installation of the device into a circuit, by effectively hard wiring the mode selection or the operating mode may be selected dynamically, for example according to prevailing operating conditions.
In one mode of operation the pixels of the display are controlled to measure an ambient light level before data is written to the display. Data may be written using the circuitry in either a current-controlled or voltage-controlled mode, in the latter case with or without optical feedback. Thus, for example, a current-controlled mode with an initial measurement cycle may be employed for bright ambient illumination and a voltage-controlled mode with optical feedback, along the lines described with reference to FIG. 2 b, may be employed with or without a measurement cycle in dim ambient illumination conditions.
In conjunction with any of the above modes the driver circuitry for the pixels of the display may also be configured to use the photosensitive devices as an image sensor or scanner, for example once an appropriate drive voltage has been memorized by the storage element. This mode may also be used, for example, to provide a touch-sensitive display where the light pattern read from the display has sufficient resolution to detect a darkened area of the screen corresponding to a region of the display shielded from the ambient illumination by, for example, a finger tip. Alternatively, a stylus with a reflective tip may be employed and the photosensitive device of one pixel used to measure the light from neighboring pixels scattered by the tip. Deconvoluting this signal from the optical feedback signal may be achieved by, for example, monitoring the feedback (reflected light) from neighboring pixels to the pixel area concerned. Alternatively a significantly reduced voltage drive for a given requested photocurrent than in previous operation cycles may be used, due to an increased feedback to the photosensitive device.
In a related aspect the invention also provides a method of operating the above-described display element driver circuitry the method comprising controlling said circuitry to couple said photosensitive device to said data line, measuring a light level using said photosensitive device, controlling said circuitry to couple said driver to said data line; and driving said data line with a signal dependent upon said measuring.
Measuring the light level using the photosensitive device allows the control circuitry to drive the data line to compensate for the measured light level, and thus compensate for a background light level which may result from ambient illumination, or from other nearby emitting electro-optic display elements, or both. Preferably the method includes switching the display element off and optionally switching other nearby display elements off, before measuring the light level, for improved accuracy. This method may be employed before writing a light level signal to the display element in a selected one of the other operational modes of the circuitry.
In a first operational mode the display element driver circuitry is operated by controlling said first and second control lines to assert and de-assert said first and second control signals together; and driving said data line with a reference current to set a brightness for said display element when said driver, said photosensitive device and said data line are all coupled.
In a second operational mode the display element drive circuitry is operated by controlling said first and second control lines to assert and de-assert said first and second control signals together; and driving said data line with a reference voltage to set a brightness for said display element when said driver, said photosensitive device and said data line are all coupled.
In a third operational mode the display element driver circuitry is operated by controlling said second control line to assert said second control signal to couple the photosensitive device to the driver, controlling said first control line to couple the photosensitive device to the data line to select the display element, driving said data line with a reference voltage to set a brightness for said display element when said driver, said photosensitive device and said data line are all coupled; and controlling said first control line to decouple the photosensitive device from the data line to deselect the display element whilst maintaining said second control signal to maintain the coupling of said photosensitive device to said driver.
The invention also provides a method of operating an active matrix display as a light pattern or image sensor, the active matrix display comprising a plurality of display elements, each display element having associated display element driver circuitry, the method comprising, controlling the display element driver circuitry of a plurality of said display elements to couple the photosensitive device of each display element to the corresponding data line; and reading light pattern data from the display using the data line of each photosensitive device.
In further aspect the invention provides a method controlling the light output from a pixel of an active matrix electroluminescent display, the pixel including an electroluminescent display element and a light sensor optically coupled to the electroluminescent display element to provide an optical feedback path for controlling the electroluminescent display element light output, the method comprising, measuring an ambient light level using the light sensor; and writing a light level signal to the pixel modified to compensate for said ambient light level.
In all the above aspects of the invention the electro-optic or electroluminescent display element preferably comprises an organic light emitting diode.
BRIEF DESCRIPTION OF THE DRAWINGS
These and other aspects of the invention will now be further described, by way of example only, with reference to the accompanying figures in which:
FIGS. 1 a and 1 b show, respectively, a basic organic LED structure, and a typical voltage-controlled OLED driver circuit;
FIGS. 2 a and 2 b show, respectively, a current-controlled OLED driver circuit, and a voltage-controlled OLED driver circuit with optical feedback according to the prior art;
FIG. 3 shows an organic LED driver circuit according to an embodiment of the present invention; and
FIGS. 4 a and 4 b show vertical cross sections through device structures of OLED display elements with driver circuits incorporating optical feedback.
DETAILED DESCRIPTION
Referring now to FIG. 3, this shows an organic LED driver circuit 300 which can be operated in a number of different modes. In an active matrix display typically each pixel is provided with such a driver circuit and further circuitry (not shown) is provided to address the pixels row-by-row, to set each row at the desired brightness. To power and control the driver circuitry and OLED display element the active matrix display is provided with a grid of electrodes including, as shown, a ground (GND) line 302, a power or Vss line 304, row select lines 306, 307 and a column data line 308.
In the illustrated embodiment column data line 308 is connected to a switch 330 to selectively couple the column data line either to a reference current source (or sink) 324 or to measurement circuitry 328. The reference current source (or sink) 324 is preferably a programmable constant current generator to allow a current in column data line 308 to be adjusted to a desired level to set a pixel brightness, as described in more detail below. In other embodiments, however, a programmable voltage generator may be used additionally or alternatively to current generator 324, to allow the driver circuit to be used in other modes. Measurement circuitry 328 allows the driver circuit to be used to measure an ambient light level when switch 330 connects column data line 308 to the measurement circuitry. Row driver circuitry 332 controls the first and second row select lines 306 and 307 according to the operating mode of driver circuitry 300.
The driver circuit 300 comprises a driver transistor 310 connected in series with an organic LED display element 312 between the GND 302 and V ss 304 lines. A storage capacitor 314, which may be integrated with the gate of transistor 310, stores a charge corresponding to a memorized gate voltage to control the drive current through OLED element 312.
Control circuitry for the driver comprises two switching transistors 320,322 with separate, independently controllable gate connections coupled to first and second select lines 306 and 307 respectively. A photodiode 316 is coupled to a node 317 between transistors 320 and 322. Transistor 320 provides a switched connection of node 317 to column data line 308. Transistor 322 provides a switched connection of node 317 to a node 315 to which is connected storage capacitor 314 and the gate of transistor 310. In the circuit of FIG. 3 all the transistors are PMOS.
Photodiode 316 is coupled between GND line 302 and line 317 so that it is reverse biased. The photodiode is physically arranged with respect to the OLED display element 312 such that an optical feedback path 318 exists between OLED 312 and photodiode 316. In other words, OLED 312 illuminates photodiode 316 and this allows an illumination-dependent current to flow in a reverse direction through photodiode 316, that is from GND line 302 towards Vss. As the skilled person will understand, broadly speaking each photon generates an electron within photodiode 316 which can contribute to a photocurrent.
When first select line 306 is active transistor 320 is on, that is the switch is “closed” and there is a relatively low impedance connection between column data line 308 and node 317. When first select line 306 is inactive transistor 320 is switched off and photodiode 316 is effectively isolated from column data line 308. When second select line 307 is active transistor 322 is switched on and nodes 315 and 317 are coupled; when second select line 307 is inactive transistor 322 is switched off and node 315 is effectively isolated from node 317.
It can be seen that when both transistors 320 and 322 are switched off (i.e. both the first and second select lines 306 and 307 are inactive) photodiode 316 is effectively isolated from the remainder of the driver circuitry. Similarly when transistor 322 is off (second select line 307 is inactive) and transistor 320 is on (first select line 306 is active) photodiode 316 is effectively connected between ground (GND) line 302 and column data line 308. In this way photodiode 316 may be effectively isolated from the remainder of the driver circuitry and, when switch 330 connects column data line 308 to measurement circuitry 328, photodiode 316 may be used as a sensor to measure the local ambient light level. This ambient light level may result from the ambient light conditions in the local display environment or from light emitted from OLED 312 or the corresponding OLEDs in neighboring pixels. Alternatively the photodiodes of a plurality of pixels may be used to read an image pattern using a display.
The driver circuitry 300 may be operated in a current-controlled mode with optical feedback, in a voltage-controlled mode with optical feedback, and in a voltage-controlled mode without optical feedback. Any or all of these modes may be employed with a light measurement mode to make an ambient light measurement before data is written to a pixel, or to input an image after data is written to a pixel.
In a first mode of operation first and second select lines 306 and 307 are connected together or driven in tandem by row drivers 332 so that the circuit operates as a current-controlled driver with optical feedback. When the switch 330 is in the position shown in FIG. 3 the programmable reference current generator 324 attempts to cause a reference current which will be referred to as Icol to flow to off-pixel Vss connection 326. In this mode line 317 may be referred to as a current sense line, passing a current Isense and line 315 may be referred to as a control line, passing a current Ierror to set a voltage on capacitor 314 to control OLED 312. When first and second (row) select lines 306 and 307 are active transistors 320 and 322 are on and Icol=Isense+Ierror and thus the current Ierror flows either onto or off capacitor 314 until OLED 312 illuminates photodiode 316 such that Isense=Icol. At this point the first and second row select lines 306 and 307 can be deactivated and the voltage required for this level of brightness is memorized by capacitor 314.
The time required for the voltage on capacitor 314 to stabilize depends upon a number of factors, which may be varied in accordance with the desired device characteristics, and may be a few microseconds. Broadly speaking a typical OLED drive current is of the order of 1 μA whilst a typical photocurrent is around 0.1% of this, or of the order of 1 nA (in part dependent upon the photodiode area). It can therefore be seen that the power handling requirements of transistors 320 and 322 are negligible compared with that of the drive transistor 310, which must be relatively large. To speed up the settling time of the circuit it is preferable to use a relatively small value for capacitor 314 and a relatively large area photodiode to increase the photocurrent. This also helps reduce the risk of noise and stability at very low brightness levels associated with stray or parasitic capacitance on column data line 308.
In a second mode the driver circuitry 300 is voltage controlled and operates in a similar manner to the prior art circuit of FIG. 1 b, that is without optical feedback. As in the first mode of operation, the first and second select lines are connected together or driven in tandem by row drivers 332 but instead of column data line 308 being driven by a reference current generator 324, line 308 is driven by a voltage reference source, programmable to adjust the pixel brightness. The voltage source preferably has a low internal resistance to approximate a constant voltage source.
In this second mode of operation when the first and second select lines 306 and 307 are active capacitor 314 is coupled to column data line 308 and is therefore charged to the voltage output by the reference voltage generator. The small reverse current through photodiode 316 due to illumination by OLED 312 has a substantially no effect on the voltage on line 308 because of the low internal resistance of the voltage source. Once capacitor 314 has been charged to the required voltage transistors 320 and 322 are switched off by deasserting the first and second select lines 306 and 307, so that capacitor 314 does not discharge through photodiode 316. In this mode of operation the pair of transistors 320 and 322 effectively perform the same function as transistor 162 in the circuit of FIG. 1 b.
In a third mode of operation the circuit is again driven by a programmable reference voltage source but the second select line is controlled so that it is always active (and hence so that transistor 322 is always on) whilst OLED 312 is on. In this way photodiode 316 is connected across storage capacitor 314 so that the circuit operates in substantially the same way as the circuit of FIG. 2 b described above, transistor 320 performing the function of transistor 260 in FIG. 2 b. In a simple embodiment the second select line 307 may simply be tied to a fixed voltage supply to ensure this line is always active. However transistor 322 need only be on long enough to ensure that capacitor 314 has enough time to discharge and thus it is still possible in this mode to switch off transistor 322 at times to allow photodiode 316 to be connected between lines 302 and 308 by transistor 320 and used as a sensor.
In an improvement of this mode of operation the programmable reference voltage source can be arranged to deliver a predetermined charge to capacitor 314 since, when photodiode 316 is connected across capacitor 314, it is the charge on capacitor 314 which determines the apparent brightness of OLED 312 rather than the voltage itself. Delivering a predetermined charge to capacitor 314, rather than charging the capacitor to a reference voltage, reduces the effect of non-linearities in the charge-voltage characteristic of capacitor.
In a preferred mode of operation the driver circuitry 300 is controlled to provide a measurement cycle before pixel illumination data is written to the circuit to set the brightness of OLED 312. To achieve this row driver circuitry 332 preferably controls the first and second select lines 306 and 307, and switch 330 by means of a control line 334, to switch transistor 322 off and transistor 320 on, to connect photodiode 316 to measurement circuitry 328. Measurement circuitry 328 can then measure the ambient light level in the vicinity of photodiode 316 and, optionally, can also perform additional tasks such as checking the proper functioning of the photodiode, for example by checking its leakage current.
The measurement circuitry can be arranged to measure a photocurrent through photodiode 316, or a photovoltaic mode of operation of the photodiode may be employed when the photodiode is brightly illuminated, the photodiode operating as a photocell and measurement circuitry 328 measuring a voltage. The light level measurement may be used to determine the degree of illumination of OLED 312 or of the OLEDs of adjacent pixels or, for example, to characterize the drive circuit or OLED 312. In particular, however, the light level measured by photodiode 316 may be used to compensate for any disturbances to the operation of the above-described modes with optical feedback, for example by writing a modified reference current or voltage to the pixel to take account of the ambient light level.
In a preferred embodiment, therefore, OLED 312 is switched off before a measurement is made using photodiode 316. In the third mode of operation described above OLED 312 will automatically be switched off after no more than one frame period, but in the first and second modes of operation the OLED may be switched off by writing a dark level signal to the pixel.
In the above described modes it will be recognized that the first select line 306 in effect operates as a row select line whilst the second select line 307 operates as a combined mode and row select line. Thus in order to perform a (write black)-(measure)-(write level) cycle for a selected row the first select line 306 is held active whilst the second select line 307 is toggled from active during a write cycle to inactive or deasserted during a measure cycle.
Referring now to FIG. 4, this shows, in outline, two alternative physical structures for OLED pixel driver circuits incorporating optical feedback (the drawings are not to scale). FIG. 4 a shows a bottom-emitting structure 400 and FIG. 4 b shows a top-emitter 450.
In FIG. 4 a an OLED structure 406 is deposited side-by-side with polysilicon driver circuitry 404 on a glass substrate 402. The driver circuitry 404 incorporates a photodiode 408 to one side of the OLED structure 406. Light 410 is emitted through the bottom (anode) of the substrate.
FIG. 4 b shows a cross section through an alternative structure 450 which emits light 460 from its top (cathode) surface. A glass substrate 452 supports a first layer 454 comprising the driver circuitry and including a photodiode 458. An OLED pixel structure 456 is then deposited over the driver circuitry 454. A passivation or stop layer may be included between layers 454 and 456. Where the driver circuitry is fabricated using (crystalline) silicon rather than polysilicon or amorphous silicon a structure of the type shown in FIG. 4 b is required and substrate 452 is a silicon substrate.
In the structures of FIGS. 4 a and 4 b the pixel driver circuitry may be fabricated by conventional means. The organic LEDs may be fabricated using either ink jet deposition techniques such as those described in EP 880303 to deposit polymer-based materials or evaporative deposition techniques to deposit small molecule materials. Thus, for example, so-called micro-displays with a structure of the type illustrated in FIG. 4 b may be fabricated by ink jet printing OLED materials onto a conventional silicon substrate on which CMOS pixel driver circuitry has previously been fabricated.
The illustrated embodiment of the driver circuit uses PMOS transistors but the circuits may be inverted and NMOS may be employed or, alternatively, a combination of PMOS and NMOS transistors may be used. The transistors may comprise thin film transistors (TFTs) fabricated from amorphous or poly-silicon on a glass or plastic substrate or conventional CMOS circuitry may be used. In other embodiments plastic transistors such as those described in WO 99/54936 may be employed, and the photodiode may comprise a reverse biased OLED to allow the entire circuitry to be fabricated from plastic. Similarly although the circuit has been described with reference to field effect transistors, bipolar transistors may also be used.
The display element driver circuitry has been described with reference to its use for driving organic LEDs but the circuitry may also be employed with other types of electroluminescent display such as inorganic TFEL (Thin Film Electroluminescent) displays, gallium arsenide on silicon displays, porous silicon displays, photoluminescence quenching displays as described in UK patent application no. 0121077.2, and the like. Although the driver circuitry primarily finds applications in active matrix displays it may also be used with other types of display such as segmented displays and hybrid semi-active displays.
The preferred photosensor is a photodiode which may comprise a PN diode in TFT technology or a PIN diode in crystalline silicon. However other photosensitive devices such as photoresistors and photosensitive bipolar transistors and FETs may also be employed, providing they have a characteristic in which a photocurrent is dependent upon their level of illumination.
No doubt many other effective alternatives will occur to the skilled person and it should be understood that the invention is not limited to the described embodiments.

Claims (24)

1. Display element driver circuitry for driving an element of an electro-optic display, the circuitry having first and second control lines and a data line, the circuitry comprising:
a driver to drive the electro-optic display element in accordance with a drive voltage;
a photosensitive device optically coupled to the electro-optic display element to pass a current dependent upon illumination reaching the photosensitive device;
a first control device coupled between the photosensitive device and the data line and responsive to a first control signal on the first control line to couple the photosensitive device to the data line; and
a second control device coupled to provide a switchable current path between the photosensitive device and the driver and responsive to a second control signal on the second control line to couple the photosensitive device to the driver to provide a current path therebetween.
2. Display element driver circuitry as claimed in claim 1, further comprising a storage element coupled to the second control device and to said driver to memorize a drive voltage for the driver; and wherein said second control device is coupled between said storage element and said photosensitive device.
3. Display element driver circuitry as claimed in claim 2, wherein the storage element comprises a capacitor.
4. Display element driver circuitry as claimed in claim 3, wherein the driver comprises a field effect transistor (FET) and the capacitor comprises a gate capacitance of said FET.
5. Display element driver circuitry as claimed in claim 1, wherein said first and second control devices each comprise a FET switch and wherein each of said first and second control lines is coupled to a respective gate connection of said FET switch.
6. An active matrix display comprising a plurality of electro-optic display elements, each display element having associated display element driver circuitry as claimed in claim 1.
7. An active matrix display as claimed in claim 6, further comprising a switch to selectively couple said data line to a data line driver and to a signal sense circuit.
8. An active matrix display as claimed in claim 6, further comprising control circuitry to drive said first and second control lines to operate the display in a plurality of modes.
9. An active matrix display as claimed in claim 8, wherein said modes include an ambient light compensate mode in which said control circuitry controls the display element driver circuitry to measure an ambient light level before writing data to the display.
10. An active matrix display as claimed in claim 8, wherein said modes include a current driver mode in which the brightness of a said display element is set by a reference current on said data line.
11. An active matrix display as claimed in claim 8, wherein said modes include a first voltage drive mode in which the brightness of a said display element is set by a voltage on said data line.
12. An active matrix display as claimed in claim 8, wherein said modes include a second voltage drive mode in which the brightness of a said display element is set by a voltage on said data line and in which said second control line is driven to couple said photosensitive element and said driver whilst said display element is on.
13. An active matrix display as claimed in claim 8, further comprising a pattern sense input mode in which said control circuitry controls the display element driver circuitry to input a light pattern from said display using a plurality of said photosensitive devices associated with a corresponding plurality of said display elements.
14. An active matrix display as claimed in claim 6, wherein said electro-optic display element comprises an organic light emitting diode.
15. Display element driver circuitry as claimed in claim 1, wherein said electro-optic display element comprises an organic light emitting diode.
16. A method of operating display element driver circuitry as claimed in claim 1, the method comprising:
controlling said circuitry to couple said photosensitive device to said data line;
measuring a light level using said photosensitive device;
controlling said circuitry to couple said driver to said data line; and
driving said data line with a signal dependent upon said measuring.
17. A method as claimed in claim 16, further comprising writing an initial dark level signal to the display element or pixel prior to said measuring.
18. A method of operating display element driver circuitry as claimed in claim 1, the method comprising:
controlling said first and second control lines to assert and de-assert said first and second control signals together; and
driving said data line with a reference current to set a brightness for said display element when said driver, said photosensitive device and said data line are all coupled.
19. A method as claimed in claim 18, further comprising:
controlling said circuitry to couple said photosensitive device to said data line;
measuring a light level using said photosensitive device; and
controlling said circuitry to couple said driver to said data line; and
wherein said driving drives said data line with a signal dependent upon said measuring.
20. A method as claimed in claim 19, further comprising writing an initial dark level signal to the display element or pixel prior to said measuring.
21. A method of operating display element driver circuitry as claimed in claim 1, the method comprising:
controlling said first and second control lines to assert and de-assert said first and second control signals together; and
driving said data line with a reference voltage to set a brightness for said display element when said driver, said photosensitive device and said data line are all coupled.
22. A method as claimed in claim 21, further comprising:
controlling said circuitry to couple said photosensitive device to said data line;
measuring a light level using said photosensitive device; and
controlling said circuitry to couple said driver to said data line; and
wherein said driving drives said data line with a signal dependent upon said measuring.
23. A method of operating display element driver circuitry as claimed in claim 1, the method comprising:
controlling said second control line to assert said second control signal to couple the photosensitive device to the driver;
controlling said first control line to couple the photosensitive device to the data line to select the display element;
driving said data line with a reference voltage to set a brightness for said display element when said driver, said photosensitive device and said data line are all coupled; and
controlling said first control line to decouple the photosensitive device from the data line to deselect the display element whilst maintaining said second control signal to maintain the coupling of said photosensitive device to said driver.
24. A method of operating an active matrix display as a light pattern sensor, the active matrix display comprising a plurality of display elements, each display element having associated display element driver circuitry as claimed in claim 1, the method comprising:
controlling the display element driver circuitry of a plurality of said display elements to couple the photosensitive device of each display element to the corresponding data line; and
reading light pattern data from the display using the data line of each photosensitive device.
US10/493,015 2001-10-31 2002-10-23 Display driver circuits Expired - Fee Related US7456812B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
GB0126122.1 2001-10-31
GB0126122A GB2381644A (en) 2001-10-31 2001-10-31 Display drivers
PCT/GB2002/004781 WO2003038798A2 (en) 2001-10-31 2002-10-23 Display driver circuits for electro-optic displays

Publications (2)

Publication Number Publication Date
US20050007353A1 US20050007353A1 (en) 2005-01-13
US7456812B2 true US7456812B2 (en) 2008-11-25

Family

ID=9924864

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/493,015 Expired - Fee Related US7456812B2 (en) 2001-10-31 2002-10-23 Display driver circuits

Country Status (9)

Country Link
US (1) US7456812B2 (en)
EP (1) EP1444683B1 (en)
JP (1) JP4068561B2 (en)
KR (1) KR100936789B1 (en)
CN (2) CN101471034B (en)
AU (1) AU2002334226A1 (en)
GB (1) GB2381644A (en)
HK (1) HK1069000A1 (en)
WO (1) WO2003038798A2 (en)

Cited By (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060139261A1 (en) * 2004-12-24 2006-06-29 Sang-Moo Choi Data driving circuit, organic light emitting diode (OLED) display using the data driving circuit, and method of driving the OLED display
US20080231562A1 (en) * 2007-03-22 2008-09-25 Oh-Kyong Kwon Organic light emitting display and driving method thereof
US20080252568A1 (en) * 2007-04-10 2008-10-16 Oh-Kyong Kwon Organic light emitting display and driving method thereof
US20080252569A1 (en) * 2007-04-10 2008-10-16 Oh-Kyong Kwon Organic light emitting display and driving method thereof
US20080252570A1 (en) * 2007-04-10 2008-10-16 Oh-Kyong Kwon Organic light emitting display and driving method thereof
US20090051628A1 (en) * 2007-08-23 2009-02-26 Oh-Kyong Kwon Organic light emitting display and driving method thereof
US20090184903A1 (en) * 2008-01-18 2009-07-23 Samsung Mobile Display Co., Ltd. Organic light emitting display and driving method thereof
US20090243498A1 (en) * 2003-08-08 2009-10-01 Childs Mark J Electroluminescent display devices
US7773139B2 (en) 2004-04-16 2010-08-10 Apple Inc. Image sensor with photosensitive thin film transistors
US7830461B2 (en) 2002-05-23 2010-11-09 Apple Inc. Light sensitive display
US7872641B2 (en) 2002-02-20 2011-01-18 Apple Inc. Light sensitive display
US20110164010A1 (en) * 2010-01-07 2011-07-07 Sony Corporation Display apparatus, light detection method and electronic apparatus
US8207946B2 (en) 2003-02-20 2012-06-26 Apple Inc. Light sensitive display
US20120228505A1 (en) * 2011-03-09 2012-09-13 Samsung Electronics Co., Ltd. Optical sensor
US20130063792A1 (en) * 2011-09-13 2013-03-14 Masamoto Nakazawa Method and device for driving light source, image reader, and image forming apparatus
US8441422B2 (en) 2002-02-20 2013-05-14 Apple Inc. Light sensitive display with object detection calibration
US8638320B2 (en) 2011-06-22 2014-01-28 Apple Inc. Stylus orientation detection
US8928635B2 (en) 2011-06-22 2015-01-06 Apple Inc. Active stylus
US20150097874A1 (en) * 2003-09-23 2015-04-09 Ignis Innovation Inc. Circuit and method for driving an array of light emitting pixels
US9176604B2 (en) 2012-07-27 2015-11-03 Apple Inc. Stylus device
US9310923B2 (en) 2010-12-03 2016-04-12 Apple Inc. Input device for touch sensitive devices
US9329703B2 (en) 2011-06-22 2016-05-03 Apple Inc. Intelligent stylus
US9330604B2 (en) 2013-07-31 2016-05-03 Boe Technology Group Co., Ltd. Organic light-emitting diode pixel circuit, drive method thereof, and display device
US9557845B2 (en) 2012-07-27 2017-01-31 Apple Inc. Input device for and method of communication with capacitive devices through frequency variation
US9652090B2 (en) 2012-07-27 2017-05-16 Apple Inc. Device for digital communication through capacitive coupling
US9939935B2 (en) 2013-07-31 2018-04-10 Apple Inc. Scan engine for touch controller architecture
US9965063B2 (en) 2013-02-20 2018-05-08 Apple Inc. Display circuitry with reduced pixel parasitic capacitor coupling
US10048775B2 (en) 2013-03-14 2018-08-14 Apple Inc. Stylus detection and demodulation
US10061449B2 (en) 2014-12-04 2018-08-28 Apple Inc. Coarse scan and targeted active mode scan for touch and stylus
US10474277B2 (en) 2016-05-31 2019-11-12 Apple Inc. Position-based stylus communication
WO2019245698A1 (en) * 2018-06-19 2019-12-26 Waymo Llc Shared sample and convert capacitor architecture
US11550068B2 (en) 2020-08-29 2023-01-10 Google Llc Modeling mutable environmental structures
US11785683B2 (en) 2018-06-04 2023-10-10 Merck Patent Gmbh Calibration device for an optical detector and setting device for setting calibration points for the calibration device

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6067594A (en) 1997-09-26 2000-05-23 Rambus, Inc. High frequency bus system
GB2381643A (en) * 2001-10-31 2003-05-07 Cambridge Display Tech Ltd Display drivers
GB2381644A (en) * 2001-10-31 2003-05-07 Cambridge Display Tech Ltd Display drivers
US7348946B2 (en) 2001-12-31 2008-03-25 Intel Corporation Energy sensing light emitting diode display
GB2389952A (en) 2002-06-18 2003-12-24 Cambridge Display Tech Ltd Driver circuits for electroluminescent displays with reduced power consumption
GB2389951A (en) 2002-06-18 2003-12-24 Cambridge Display Tech Ltd Display driver circuits for active matrix OLED displays
JP2004151155A (en) * 2002-10-28 2004-05-27 Toshiba Matsushita Display Technology Co Ltd Display device
US7362320B2 (en) * 2003-06-05 2008-04-22 Hewlett-Packard Development Company, L.P. Electronic device having a light emitting/detecting display screen
JP4207683B2 (en) * 2003-06-27 2009-01-14 カシオ計算機株式会社 EL display device
JP2007501953A (en) * 2003-08-08 2007-02-01 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Electroluminescent display device
KR20060073590A (en) * 2003-08-11 2006-06-28 코닌클리케 필립스 일렉트로닉스 엔.브이. A touch sensitive display
GB0400216D0 (en) * 2004-01-07 2004-02-11 Koninkl Philips Electronics Nv Electroluminescent display devices
GB0401035D0 (en) 2004-01-17 2004-02-18 Koninkl Philips Electronics Nv Active matrix display devices
US20060007248A1 (en) 2004-06-29 2006-01-12 Damoder Reddy Feedback control system and method for operating a high-performance stabilized active-matrix emissive display
GB0406540D0 (en) * 2004-03-24 2004-04-28 Koninkl Philips Electronics Nv Electroluminescent display devices
CN1981318A (en) 2004-04-12 2007-06-13 彩光公司 Low power circuits for active matrix emissive displays and methods of operating the same
US7288753B2 (en) 2004-05-05 2007-10-30 Eastman Kodak Company OLED display with composite photosensor
JP2006091462A (en) * 2004-09-24 2006-04-06 Semiconductor Energy Lab Co Ltd Display device
US8405579B2 (en) * 2004-12-24 2013-03-26 Samsung Display Co., Ltd. Data driver and light emitting diode display device including the same
JP2006279883A (en) 2005-03-30 2006-10-12 Sanyo Electric Co Ltd Driver circuit
US20080203930A1 (en) * 2005-05-19 2008-08-28 Koninklijke Philips Electronics, N.V. Electroluminescent Display Devices
CN100414590C (en) * 2005-06-14 2008-08-27 友达光电股份有限公司 Display faceplate
EP1904997A2 (en) * 2005-06-30 2008-04-02 Koninklijke Philips Electronics N.V. Electroluminescent display devices
KR100762677B1 (en) * 2005-08-08 2007-10-01 삼성에스디아이 주식회사 Organic Light Emitting Diode Display and control method of the same
US20070075935A1 (en) * 2005-09-30 2007-04-05 Ralph Mesmer Flat-panel display with hybrid imaging technology
KR20070083352A (en) * 2006-02-21 2007-08-24 삼성전자주식회사 Display device and driving method thereof
JP4865512B2 (en) * 2006-11-27 2012-02-01 株式会社 日立ディスプレイズ Image display device with screen input function
KR100902219B1 (en) 2007-12-05 2009-06-11 삼성모바일디스플레이주식회사 Organic Light Emitting Display
US20090174676A1 (en) 2008-01-04 2009-07-09 Apple Inc. Motion component dominance factors for motion locking of touch sensor data
KR100957948B1 (en) * 2008-02-19 2010-05-13 삼성모바일디스플레이주식회사 Photo sensor and flat panel display using the same
KR100952111B1 (en) * 2008-03-31 2010-04-13 한양대학교 산학협력단 Organic Light Emitting Diode Pixel Circuit having Optical Sensing Function, And Display Device having Pixel Circuit
US8922521B2 (en) 2009-02-02 2014-12-30 Apple Inc. Switching circuitry for touch sensitive display
US8760412B2 (en) 2009-02-02 2014-06-24 Apple Inc. Dual configuration for display data lines
US20100201275A1 (en) * 2009-02-06 2010-08-12 Cok Ronald S Light sensing in display device
US8593410B2 (en) 2009-04-10 2013-11-26 Apple Inc. Touch sensor panel design
US8957874B2 (en) 2009-06-29 2015-02-17 Apple Inc. Touch sensor panel design
US8854346B2 (en) * 2009-11-06 2014-10-07 Sharp Kabushiki Kaisha Pixel circuit and display device
WO2012014861A1 (en) 2010-07-27 2012-02-02 シャープ株式会社 Display device
TWI436322B (en) 2010-09-14 2014-05-01 Ind Tech Res Inst Photosensitive circuit and system for photosensitive display
US9183779B2 (en) * 2012-02-23 2015-11-10 Broadcom Corporation AMOLED light sensing
US9329723B2 (en) 2012-04-16 2016-05-03 Apple Inc. Reconstruction of original touch image from differential touch image
WO2014129118A1 (en) * 2013-02-21 2014-08-28 パナソニック株式会社 Solid-state image pickup device
CN103280180B (en) * 2013-05-28 2015-05-27 中国科学院上海高等研究院 Active organic light emitting diode-based display circuit and driving method
CN103309507B (en) * 2013-05-30 2016-05-11 京东方科技集团股份有限公司 A kind of display driver circuit, method and display unit of touching
CN103295525B (en) 2013-05-31 2015-09-30 京东方科技集团股份有限公司 Image element circuit and driving method, organic electroluminescence display panel and display device
CN103354080B (en) 2013-06-26 2016-04-20 京东方科技集团股份有限公司 Active matrix organic light-emitting diode pixel unit circuit and display panel
US9459721B2 (en) 2013-06-26 2016-10-04 Chengdu Boe Optoelectronics Technology Co., Ltd. Active matrix organic light emitting diode pixel unit circuit, display panel and electronic product
CN103354078B (en) 2013-06-26 2016-01-06 京东方科技集团股份有限公司 Active matrix organic light-emitting diode pixel unit circuit and display panel
CN103336384B (en) * 2013-06-28 2015-11-25 京东方科技集团股份有限公司 A kind of display panel
CN103325343B (en) 2013-07-01 2016-02-03 京东方科技集团股份有限公司 The driving method of a kind of image element circuit, display device and image element circuit
CN103383837B (en) 2013-07-09 2015-07-01 京东方科技集团股份有限公司 Touch and display drive circuit, drive method and display device
JP6225538B2 (en) * 2013-07-24 2017-11-08 富士通株式会社 Information processing apparatus, system, information providing method, and information providing program
CN103413521B (en) * 2013-07-31 2015-06-10 京东方科技集团股份有限公司 Organic light-emitting diode pixel circuit, method for driving same and display device
US9886141B2 (en) 2013-08-16 2018-02-06 Apple Inc. Mutual and self capacitance touch measurements in touch panel
WO2015178920A1 (en) 2014-05-22 2015-11-26 Onamp Research Llc Panel bootstrapping architectures for in-cell self-capacitance
CN104102382B (en) * 2014-06-05 2017-02-15 京东方科技集团股份有限公司 Touch display driving circuit and touch display device
US10289251B2 (en) 2014-06-27 2019-05-14 Apple Inc. Reducing floating ground effects in pixelated self-capacitance touch screens
CN104201187B (en) * 2014-08-18 2017-07-04 京东方科技集团股份有限公司 A kind of OLED display
US9880655B2 (en) 2014-09-02 2018-01-30 Apple Inc. Method of disambiguating water from a finger touch on a touch sensor panel
US10705658B2 (en) 2014-09-22 2020-07-07 Apple Inc. Ungrounded user signal compensation for pixelated self-capacitance touch sensor panel
CN107077262B (en) 2014-10-27 2020-11-10 苹果公司 Pixelization from capacitive water repellence
CN107209602B (en) 2015-02-02 2020-05-26 苹果公司 Flexible self-capacitance and mutual capacitance touch sensing system architecture
US10488992B2 (en) 2015-03-10 2019-11-26 Apple Inc. Multi-chip touch architecture for scalability
CN104850270B (en) * 2015-06-11 2017-10-03 京东方科技集团股份有限公司 Driving method, drive circuit, touch module, panel and the device of touch module
US10585506B2 (en) * 2015-07-30 2020-03-10 Semiconductor Energy Laboratory Co., Ltd. Display device with high visibility regardless of illuminance of external light
US10365773B2 (en) 2015-09-30 2019-07-30 Apple Inc. Flexible scan plan using coarse mutual capacitance and fully-guarded measurements
WO2017156702A1 (en) * 2016-03-15 2017-09-21 博立多媒体控股有限公司 Composite display device
KR102423861B1 (en) * 2016-04-08 2022-07-22 엘지디스플레이 주식회사 Current Sensing Type Sensing Unit And Organic Light Emitting Display Including The Same
CN105867696B (en) 2016-06-03 2020-11-17 京东方科技集团股份有限公司 Touch display panel, flexible display panel and display device
US10431164B2 (en) * 2016-06-16 2019-10-01 Semiconductor Energy Laboratory Co., Ltd. Display device, display module, and electronic device
AU2017208277B2 (en) 2016-09-06 2018-12-20 Apple Inc. Back of cover touch sensors
US10386965B2 (en) 2017-04-20 2019-08-20 Apple Inc. Finger tracking in wet environment
CN107610647B (en) * 2017-11-07 2020-04-10 京东方科技集团股份有限公司 Driving module and method of pixel circuit and display device
CN110858297B (en) * 2018-08-24 2023-10-24 华为技术有限公司 Optical fingerprint identification circuit
CN109710114A (en) * 2018-12-29 2019-05-03 华勤通讯技术有限公司 Photosensitive touch screen and hand-held intelligent terminal
CN109509428B (en) * 2019-01-07 2021-01-08 京东方科技集团股份有限公司 Pixel driving circuit, pixel driving method and display device
WO2020152556A1 (en) * 2019-01-25 2020-07-30 株式会社半導体エネルギー研究所 Function panel, display device, i/o device, information processing device, and method for driving information processing device
EP3970135A4 (en) * 2019-05-31 2022-04-13 Huawei Technologies Co., Ltd. Pixel circuit and pixel control method
CN110189692B (en) * 2019-05-31 2023-07-04 京东方科技集团股份有限公司 Pixel circuit, pixel driving method, display panel and display device
US11157109B1 (en) 2019-09-06 2021-10-26 Apple Inc. Touch sensing with water rejection
KR20210064483A (en) * 2019-11-25 2021-06-03 삼성디스플레이 주식회사 Display panel having input sensing function and display device
US11662867B1 (en) 2020-05-30 2023-05-30 Apple Inc. Hover detection on a touch sensor panel

Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1210772A (en) 1968-07-01 1970-10-28 Pirelli Improvements in or relating to reinforcing metal cords
US4539507A (en) 1983-03-25 1985-09-03 Eastman Kodak Company Organic electroluminescent devices having improved power conversion efficiencies
WO1990013148A1 (en) 1989-04-20 1990-11-01 Cambridge Research And Innovation Limited Electroluminescent devices
JPH0535207A (en) 1991-08-02 1993-02-12 Fuji Xerox Co Ltd El driving device
US5204661A (en) * 1990-12-13 1993-04-20 Xerox Corporation Input/output pixel circuit and array of such circuits
WO1995006400A1 (en) 1993-08-26 1995-03-02 Cambridge Display Technology Limited Electroluminescent devices
US5485177A (en) * 1990-12-19 1996-01-16 U.S. Philips Corporation Matrix display device with write-in facility
EP0717446A2 (en) 1994-12-14 1996-06-19 Eastman Kodak Company TFT-EL display panel using organic electroluminiscent media
US5838308A (en) * 1991-04-17 1998-11-17 U.S. Philips Corporation Optical touch input device
EP0880303A1 (en) 1996-11-25 1998-11-25 Seiko Epson Corporation Method of producing organic el elements, organic el elements and organic el display device
EP0923067A1 (en) 1997-03-12 1999-06-16 Seiko Epson Corporation Pixel circuit, display device and electronic equipment having current-driven light-emitting device
WO1999042983A1 (en) 1998-02-18 1999-08-26 Cambridge Display Technology Ltd. Electroluminescent devices
WO1999048160A1 (en) 1998-03-13 1999-09-23 Cambridge Display Technology Ltd. Electroluminescent devices
WO1999054936A1 (en) 1998-04-16 1999-10-28 Cambridge Display Technology Ltd. Polymer devices
WO2001020591A1 (en) 1999-09-11 2001-03-22 Koninklijke Philips Electronics N.V. Active matrix electroluminescent display device
EP1096466A1 (en) 1999-10-27 2001-05-02 Agilent Technologies Inc. Active matrix electroluminescent display
EP1117085A2 (en) 2000-01-17 2001-07-18 Sel Semiconductor Energy Laboratory Co., Ltd. EL display system
WO2001099191A1 (en) 2000-06-20 2001-12-27 Koninklijke Philips Electronics N.V. Light-emitting matrix array display devices with light sensing elements
US20020047550A1 (en) 2000-09-19 2002-04-25 Yoshifumi Tanada Self light emitting device and method of driving thereof
JP2002169511A (en) 2000-09-19 2002-06-14 Semiconductor Energy Lab Co Ltd Luminous device and driving method therefor
US6424326B2 (en) * 2000-01-11 2002-07-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor display device having a display portion and a sensor portion
US6720942B2 (en) * 2002-02-12 2004-04-13 Eastman Kodak Company Flat-panel light emitting pixel with luminance feedback

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5994736A (en) * 1982-11-22 1984-05-31 Toshiba Corp Liquid crystal display device
GB9919536D0 (en) * 1999-08-19 1999-10-20 Koninkl Philips Electronics Nv Active matrix electroluminescent display device
US6747638B2 (en) * 2000-01-31 2004-06-08 Semiconductor Energy Laboratory Co., Ltd. Adhesion type area sensor and display device having adhesion type area sensor
WO2001069583A1 (en) * 2000-03-14 2001-09-20 Koninklijke Philips Electronics N.V. Electroluminescent display device with luminance correction in dependence on age and ambient light
GB2381644A (en) * 2001-10-31 2003-05-07 Cambridge Display Tech Ltd Display drivers

Patent Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1210772A (en) 1968-07-01 1970-10-28 Pirelli Improvements in or relating to reinforcing metal cords
US4539507A (en) 1983-03-25 1985-09-03 Eastman Kodak Company Organic electroluminescent devices having improved power conversion efficiencies
WO1990013148A1 (en) 1989-04-20 1990-11-01 Cambridge Research And Innovation Limited Electroluminescent devices
US5204661A (en) * 1990-12-13 1993-04-20 Xerox Corporation Input/output pixel circuit and array of such circuits
US5485177A (en) * 1990-12-19 1996-01-16 U.S. Philips Corporation Matrix display device with write-in facility
US5838308A (en) * 1991-04-17 1998-11-17 U.S. Philips Corporation Optical touch input device
JPH0535207A (en) 1991-08-02 1993-02-12 Fuji Xerox Co Ltd El driving device
WO1995006400A1 (en) 1993-08-26 1995-03-02 Cambridge Display Technology Limited Electroluminescent devices
EP0717446A2 (en) 1994-12-14 1996-06-19 Eastman Kodak Company TFT-EL display panel using organic electroluminiscent media
EP0880303A1 (en) 1996-11-25 1998-11-25 Seiko Epson Corporation Method of producing organic el elements, organic el elements and organic el display device
EP0923067A1 (en) 1997-03-12 1999-06-16 Seiko Epson Corporation Pixel circuit, display device and electronic equipment having current-driven light-emitting device
WO1999042983A1 (en) 1998-02-18 1999-08-26 Cambridge Display Technology Ltd. Electroluminescent devices
WO1999048160A1 (en) 1998-03-13 1999-09-23 Cambridge Display Technology Ltd. Electroluminescent devices
WO1999054936A1 (en) 1998-04-16 1999-10-28 Cambridge Display Technology Ltd. Polymer devices
WO2001020591A1 (en) 1999-09-11 2001-03-22 Koninklijke Philips Electronics N.V. Active matrix electroluminescent display device
EP1096466A1 (en) 1999-10-27 2001-05-02 Agilent Technologies Inc. Active matrix electroluminescent display
US6424326B2 (en) * 2000-01-11 2002-07-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor display device having a display portion and a sensor portion
EP1117085A2 (en) 2000-01-17 2001-07-18 Sel Semiconductor Energy Laboratory Co., Ltd. EL display system
WO2001099191A1 (en) 2000-06-20 2001-12-27 Koninklijke Philips Electronics N.V. Light-emitting matrix array display devices with light sensing elements
US20020047550A1 (en) 2000-09-19 2002-04-25 Yoshifumi Tanada Self light emitting device and method of driving thereof
JP2002169511A (en) 2000-09-19 2002-06-14 Semiconductor Energy Lab Co Ltd Luminous device and driving method therefor
US6720942B2 (en) * 2002-02-12 2004-04-13 Eastman Kodak Company Flat-panel light emitting pixel with luminance feedback

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
International Preliminary Examination Report in PCT/GB02/04781 dated Sep. 3, 2003.
International Search Report in PCT/GB02/04781 dated Apr. 22, 2003.
Search Report in GB 0126122.1 dated Oct. 21, 2003.

Cited By (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7872641B2 (en) 2002-02-20 2011-01-18 Apple Inc. Light sensitive display
US8570449B2 (en) 2002-02-20 2013-10-29 Apple Inc. Light sensitive display with pressure sensor
US9134851B2 (en) 2002-02-20 2015-09-15 Apple Inc. Light sensitive display
US9971456B2 (en) 2002-02-20 2018-05-15 Apple Inc. Light sensitive display with switchable detection modes for detecting a fingerprint
US8441422B2 (en) 2002-02-20 2013-05-14 Apple Inc. Light sensitive display with object detection calibration
US9411470B2 (en) 2002-02-20 2016-08-09 Apple Inc. Light sensitive display with multiple data set object detection
US11073926B2 (en) 2002-02-20 2021-07-27 Apple Inc. Light sensitive display
US7880819B2 (en) 2002-05-23 2011-02-01 Apple Inc. Light sensitive display
US9354735B2 (en) 2002-05-23 2016-05-31 Apple Inc. Light sensitive display
US8044930B2 (en) 2002-05-23 2011-10-25 Apple Inc. Light sensitive display
US7880733B2 (en) 2002-05-23 2011-02-01 Apple Inc. Light sensitive display
US7852417B2 (en) 2002-05-23 2010-12-14 Apple Inc. Light sensitive display
US7830461B2 (en) 2002-05-23 2010-11-09 Apple Inc. Light sensitive display
US8207946B2 (en) 2003-02-20 2012-06-26 Apple Inc. Light sensitive display
US7911464B2 (en) * 2003-08-08 2011-03-22 Koninklijke Philips Electronics N.V. Electroluminescent display devices
US20090243498A1 (en) * 2003-08-08 2009-10-01 Childs Mark J Electroluminescent display devices
US9472139B2 (en) * 2003-09-23 2016-10-18 Ignis Innovation Inc. Circuit and method for driving an array of light emitting pixels
US9852689B2 (en) * 2003-09-23 2017-12-26 Ignis Innovation Inc. Circuit and method for driving an array of light emitting pixels
US20150097874A1 (en) * 2003-09-23 2015-04-09 Ignis Innovation Inc. Circuit and method for driving an array of light emitting pixels
US7773139B2 (en) 2004-04-16 2010-08-10 Apple Inc. Image sensor with photosensitive thin film transistors
US8289429B2 (en) 2004-04-16 2012-10-16 Apple Inc. Image sensor with photosensitive thin film transistors and dark current compensation
US20060139261A1 (en) * 2004-12-24 2006-06-29 Sang-Moo Choi Data driving circuit, organic light emitting diode (OLED) display using the data driving circuit, and method of driving the OLED display
US7649514B2 (en) * 2004-12-24 2010-01-19 Samsung Mobile Display Co., Ltd. Data driving circuit, organic light emitting diode (OLED) display using the data driving circuit, and method of driving the OLED display
US8373687B2 (en) 2007-03-22 2013-02-12 Samsung Display Co., Ltd. Organic light emitting display and driving method thereof
US20080231562A1 (en) * 2007-03-22 2008-09-25 Oh-Kyong Kwon Organic light emitting display and driving method thereof
US8284126B2 (en) * 2007-04-10 2012-10-09 Samsung Mobile Display Co., Ltd Organic light emitting display and driving method thereof
US8319707B2 (en) 2007-04-10 2012-11-27 Samsung Display Co., Ltd. Organic light emitting display and driving method thereof
US20080252568A1 (en) * 2007-04-10 2008-10-16 Oh-Kyong Kwon Organic light emitting display and driving method thereof
US8525756B2 (en) 2007-04-10 2013-09-03 Samsung Display Co., Ltd. Organic light emitting display and driving method thereof to characterize pixel parameter values
US20080252569A1 (en) * 2007-04-10 2008-10-16 Oh-Kyong Kwon Organic light emitting display and driving method thereof
US20080252570A1 (en) * 2007-04-10 2008-10-16 Oh-Kyong Kwon Organic light emitting display and driving method thereof
US8558767B2 (en) 2007-08-23 2013-10-15 Samsung Display Co., Ltd. Organic light emitting display and driving method thereof
US20090051628A1 (en) * 2007-08-23 2009-02-26 Oh-Kyong Kwon Organic light emitting display and driving method thereof
US20090184903A1 (en) * 2008-01-18 2009-07-23 Samsung Mobile Display Co., Ltd. Organic light emitting display and driving method thereof
US8242989B2 (en) 2008-01-18 2012-08-14 Samsung Mobile Display Co., Ltd. Organic light emitting display and driving method thereof
US20110164010A1 (en) * 2010-01-07 2011-07-07 Sony Corporation Display apparatus, light detection method and electronic apparatus
US9310923B2 (en) 2010-12-03 2016-04-12 Apple Inc. Input device for touch sensitive devices
US8796626B2 (en) * 2011-03-09 2014-08-05 Samsung Display Co., Ltd. Optical sensor
US20120228505A1 (en) * 2011-03-09 2012-09-13 Samsung Electronics Co., Ltd. Optical sensor
US8928635B2 (en) 2011-06-22 2015-01-06 Apple Inc. Active stylus
US8638320B2 (en) 2011-06-22 2014-01-28 Apple Inc. Stylus orientation detection
US9329703B2 (en) 2011-06-22 2016-05-03 Apple Inc. Intelligent stylus
US9519361B2 (en) 2011-06-22 2016-12-13 Apple Inc. Active stylus
US9921684B2 (en) 2011-06-22 2018-03-20 Apple Inc. Intelligent stylus
US8786916B2 (en) * 2011-09-13 2014-07-22 Ricoh Company, Limited Method and device for driving light source, image reader, and image forming apparatus
US20130063792A1 (en) * 2011-09-13 2013-03-14 Masamoto Nakazawa Method and device for driving light source, image reader, and image forming apparatus
US9176604B2 (en) 2012-07-27 2015-11-03 Apple Inc. Stylus device
US9557845B2 (en) 2012-07-27 2017-01-31 Apple Inc. Input device for and method of communication with capacitive devices through frequency variation
US9582105B2 (en) 2012-07-27 2017-02-28 Apple Inc. Input device for touch sensitive devices
US9652090B2 (en) 2012-07-27 2017-05-16 Apple Inc. Device for digital communication through capacitive coupling
US9965063B2 (en) 2013-02-20 2018-05-08 Apple Inc. Display circuitry with reduced pixel parasitic capacitor coupling
US10048775B2 (en) 2013-03-14 2018-08-14 Apple Inc. Stylus detection and demodulation
US11687192B2 (en) 2013-07-31 2023-06-27 Apple Inc. Touch controller architecture
US10067580B2 (en) 2013-07-31 2018-09-04 Apple Inc. Active stylus for use with touch controller architecture
US9330604B2 (en) 2013-07-31 2016-05-03 Boe Technology Group Co., Ltd. Organic light-emitting diode pixel circuit, drive method thereof, and display device
US10845901B2 (en) 2013-07-31 2020-11-24 Apple Inc. Touch controller architecture
US9939935B2 (en) 2013-07-31 2018-04-10 Apple Inc. Scan engine for touch controller architecture
US10061449B2 (en) 2014-12-04 2018-08-28 Apple Inc. Coarse scan and targeted active mode scan for touch and stylus
US10061450B2 (en) 2014-12-04 2018-08-28 Apple Inc. Coarse scan and targeted active mode scan for touch
US10067618B2 (en) 2014-12-04 2018-09-04 Apple Inc. Coarse scan and targeted active mode scan for touch
US10664113B2 (en) 2014-12-04 2020-05-26 Apple Inc. Coarse scan and targeted active mode scan for touch and stylus
US10474277B2 (en) 2016-05-31 2019-11-12 Apple Inc. Position-based stylus communication
US11785683B2 (en) 2018-06-04 2023-10-10 Merck Patent Gmbh Calibration device for an optical detector and setting device for setting calibration points for the calibration device
WO2019245698A1 (en) * 2018-06-19 2019-12-26 Waymo Llc Shared sample and convert capacitor architecture
US11693098B2 (en) 2018-06-19 2023-07-04 Waymo Llc Shared sample and convert capacitor architecture
US11067672B2 (en) 2018-06-19 2021-07-20 Waymo Llc Shared sample and convert capacitor architecture
US11550068B2 (en) 2020-08-29 2023-01-10 Google Llc Modeling mutable environmental structures

Also Published As

Publication number Publication date
WO2003038798A3 (en) 2003-06-12
WO2003038798A2 (en) 2003-05-08
US20050007353A1 (en) 2005-01-13
CN101471034B (en) 2011-07-06
GB0126122D0 (en) 2002-01-02
KR20040058015A (en) 2004-07-02
CN1669067A (en) 2005-09-14
GB2381644A (en) 2003-05-07
CN101471034A (en) 2009-07-01
AU2002334226A1 (en) 2003-05-12
KR100936789B1 (en) 2010-01-14
JP2005507512A (en) 2005-03-17
HK1069000A1 (en) 2005-05-06
JP4068561B2 (en) 2008-03-26
EP1444683B1 (en) 2012-12-05
EP1444683A2 (en) 2004-08-11
CN100470623C (en) 2009-03-18

Similar Documents

Publication Publication Date Title
US7456812B2 (en) Display driver circuits
US7239309B2 (en) Display drivers
US7834824B2 (en) Display driver circuits
JP4133339B2 (en) Self-luminous display device
JP5444238B2 (en) Pixel drive circuit
US20090201230A1 (en) Active Matrix Organic Electro-Optic Devices
US20110032232A1 (en) Pixel Circuit
WO2001015232A1 (en) Active matrix electroluminescent display device
KR20060002891A (en) Electroluminescent display devices
KR100967191B1 (en) Display driver circuits

Legal Events

Date Code Title Description
AS Assignment

Owner name: CAMBRIDGE DISPLAY TECHNOLOGY LIMITED, UNITED KINGD

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SMITH, EUAN C.;ROUTLEY, PAUL R.;REEL/FRAME:015697/0362

Effective date: 20040510

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20201125