US7858481B2 - Method for fabricating transistor with thinned channel - Google Patents

Method for fabricating transistor with thinned channel Download PDF

Info

Publication number
US7858481B2
US7858481B2 US11/154,138 US15413805A US7858481B2 US 7858481 B2 US7858481 B2 US 7858481B2 US 15413805 A US15413805 A US 15413805A US 7858481 B2 US7858481 B2 US 7858481B2
Authority
US
United States
Prior art keywords
gate
channel region
mask
layer
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US11/154,138
Other versions
US20060286755A1 (en
Inventor
Justin K. Brask
Robert S. Chau
Suman Datta
Mark L. Doczy
Brian S. Doyle
Jack T. Kavalieros
Amlan Majumdar
Matthew V. Metz
Marko Radosavljevic
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tahoe Research Ltd
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Assigned to INTEL CORPORATION reassignment INTEL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DATTA, SUMAN, DOCZY, MARK L., BRASK, JUSTIN K., CHAU, ROBERT S., DOYLE, BRIAN S., KAVALIEROS, JACK T., MAJUMDAR, AMLAN, METZ, MATTHEW V., RADOSAVLJEVIC, MARKO
Priority to US11/154,138 priority Critical patent/US7858481B2/en
Application filed by Intel Corp filed Critical Intel Corp
Publication of US20060286755A1 publication Critical patent/US20060286755A1/en
Priority to US12/949,696 priority patent/US9337307B2/en
Publication of US7858481B2 publication Critical patent/US7858481B2/en
Application granted granted Critical
Priority to US15/069,726 priority patent/US9806195B2/en
Priority to US15/730,542 priority patent/US10367093B2/en
Priority to US16/526,898 priority patent/US10937907B2/en
Priority to US17/148,330 priority patent/US20210135007A1/en
Assigned to TAHOE RESEARCH, LTD. reassignment TAHOE RESEARCH, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTEL CORPORATION
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7838Field effect transistors with field effect produced by an insulated gate without inversion channel, e.g. buried channel lateral MISFETs, normally-on lateral MISFETs, depletion-mode lateral MISFETs
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/38Transceivers, i.e. devices in which transmitter and receiver form a structural unit and in which at least one part is used for functions of transmitting and receiving
    • H04B1/3827Portable transceivers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/926Dummy metallization

Definitions

  • the invention relates to the field of semiconductor processing for transistors having thin channel regions.
  • CMOS complementary metal-oxide-semiconductor
  • Examples of a transistor having a reduced body which includes the channel region along with a tri-gate structure are shown in US 2004/0036127.
  • Other small channel transistors are delta-doped transistors formed in lightly doped or undoped epitaxial layers grown on a heavily doped substrate. See, for instance, “Metal Gate Transistor with Epitaxial Source and Drain Regions,” application Ser. No. 10/955,669, filed Sep. 29, 2004, assigned to the assignee of the present application.
  • FIG. 1A is a plane view of a prior art transistor taken with a scanning electron microscope.
  • FIG. 1B is a cross-sectional, elevation view of a depletion mode transistor fabricated on a heavily doped substrate. This figure was taken from the patent application cited in the Prior Art and Related Art section of this application.
  • FIG. 2 is a cross-sectional, elevation view of a silicon-on-insulator (SOI) substrate.
  • SOI silicon-on-insulator
  • FIG. 4 illustrates the structure of FIG. 3 , after a dummy gate is fabricated and during a first ion implantation process.
  • FIG. 5 illustrates the structure of FIG. 4 , after spacers are fabricated and during a second ion implantation step.
  • FIG. 6 illustrates the structure of FIG. 5 , after forming a dielectric layer.
  • FIG. 7 illustrates the structure of FIG. 6 , after removal of the dummy gate.
  • FIG. 8 is a cross-sectional, elevation view of the structure of FIG. 7 taken through section line 8 - 8 of FIG. 7 .
  • FIG. 9 illustrates the structure of FIG. 8 , after an etching step which thins the channel region.
  • FIG. 10 illustrates the structure of FIG. 9 , after forming a high-k gate insulating layer and a metal gate layer.
  • FIG. 11 illustrates the structure of FIG. 10 after planarization.
  • FIG. 12 which illustrates alternate processing, is a cross-sectional, elevation view, at a point in the processing similar to FIG. 8 .
  • a hard mask used to define the silicon body, remains atop the channel region.
  • FIG. 13 illustrates the structure of FIG. 12 , as viewed through the section lines 13 - 13 of FIG. 12 .
  • FIG. 14 illustrates the structure of FIG. 13 , after etching which reduced the width of the body in the channel region.
  • FIG. 15 is a cross-sectional, elevation view of a SOI substrate.
  • FIG. 16 illustrates the structure of FIG. 15 , after etching of the silicon layer.
  • FIG. 17 illustrates the structure of FIG. 16 , with epitaxially grown source and drain regions.
  • FIG. 18 illustrates the structure of FIG. 17 , after a dielectric layer is formed around the structure and a gate region is exposed.
  • FIG. 19 illustrates the structure of FIG. 18 , after additional etching.
  • FIG. 20 illustrates the structure of FIG. 19 , after etching, which thins the channel region.
  • FIG. 21 illustrates the structure of FIG. 20 , after forming an insulating, high-k layer, and a metal gate layer.
  • FIG. 22 illustrates the structure of FIG. 21 , after planarization.
  • FIG. 23 is a block diagram, incorporating the above-illustrated thinned channel transistors in a system.
  • CMOS field-effect transistors A process for fabricating CMOS field-effect transistors and the resultant transistors are described.
  • numerous specific details are set forth, such as specific dimensions and chemical regimes, in order to provide a thorough understanding of the present invention. It will be apparent to one skilled in the art that the present invention may be practiced without these specific details. In other instances, well-known processing steps, such as cleaning steps, are not described in detail, in order to not unnecessarily obscure the present invention.
  • FIG. 1A A problem associated with small body devices is illustrated in FIG. 1A .
  • a tri-gate structure 10 is shown traversing a silicon body at the channel region 14 of a transistor.
  • the semiconductor body or fin has been thinned at the gate edges 11 .
  • This thinning is the result of processing used for defining the body, forming spacers, and cleaning of oxides. This processing can so reduce the body such that it may no longer have sufficient silicon seed to support the growth of an epitaxial layer. Often, as much as 20-50% of the body at the edge of the gate can be lost during such processing. In addition to yield loss, this results in higher source/drain resistance and the consequential reduction in transistor performance.
  • FIG. 1B A similar problem is shown in FIG. 1B , where the n type or intrinsic channel region 15 is formed between the relatively thin regions 16 of the source and drain extension regions of a depletion mode planar transistor.
  • the problem of thinning at the gate edges is not limited to tri-gate structures, or for that matter, SOI substrates, but can also occur in a bulk silicon layer or a delta-doped transistor, as shown in FIG. 1B .
  • an epitaxial layer is grown on a heavily doped substrate 14 .
  • An etchant discriminates between the epitaxially grown layer and the substrate 14 , allowing the channel region 15 to be defined.
  • the source and drain regions 17 are grown following the etching of the region 15 .
  • the structure is described in the application referenced in the Prior Art and Related Art section of this application.
  • transistors are fabricated on an oxide layer 20 which is disposed on a silicon substrate 21 shown in FIG. 2 .
  • the transistor bodies are fabricated from a monocrystalline, silicon layer 24 disposed on the oxide layer 20 .
  • This SOI substrate is well-known in the semiconductor industry.
  • the SOI substrate is fabricated by bonding the oxide layer 20 and silicon layer 24 onto the substrate 21 , and then planarizing the layer 24 so that it is relatively thin.
  • Other techniques are known for forming an SOI substrate including, for instance, the implantation of oxygen into the silicon substrate to form a buried oxide layer.
  • Other semiconductor materials, other than silicon, may also be used such as gallium arsenide.
  • the layer 24 may be selectively ion implanted with a p type dopant in regions where n channel transistors are to be fabricated, and with a n type dopant in those regions where p channel devices are to be fabricated. This is used to provide the relatively light doping typically found in the channel regions of MOS devices fabricated in a CMOS integrated circuit.
  • n channel transistor the fabrication of a single n channel transistor is described. As will be appreciated in the typical integrated circuit, both n and p channel devices are fabricated. Also, in the processing for the first embodiment, a protective oxide (not shown) is disposed on the silicon layer 24 followed by the deposition of a silicon nitride layer. The nitride layer acts as a hard mask to define silicon bodies such as the silicon body 25 of FIG. 3 .
  • the silicon body, in the channel region of a field-effect transistor should ideally have a height of 20 nm and a width of 20 nm.
  • the thickness of the silicon layer from which the body is etched would also have a thickness of 20 nm.
  • the layer 24 may initially be thicker than 20 nm, and will subsequently be thinned in the channel region. This thinning only occurs in the channel region, leaving the source and drain regions thicker, thereby reducing the external resistance. This will become more apparent in the description below.
  • a polysilicon layer is formed over the structure of FIG. 3 and etched to define a dummy gate 30 which extends over the body 25 as seen in FIG. 4 .
  • a dummy gate oxide which subsequently acts as an etch stop is not shown.
  • the region of the body 25 below the dummy gate 30 is the channel region for this replacement gate process.
  • phosphorous or arsenic may be implanted into the body 25 in alignment with the dummy gate, as illustrated by the ion implantation 26 . This ion implantation defines the tip or extension source and drain regions frequently used in CMOS transistors.
  • a layer of silicon nitride is conformally deposited over the structure of FIG. 4 , and is used to fabricate the spacers 38 shown in FIG. 5 .
  • Ordinary, well-known, anisotropic etching may be used to fabricate the spacers.
  • a carbon-doped nitride, doped with 5-13% carbon concentration is used for the spacers.
  • an oxide layer present on the body 25 is removed prior to the formation of the nitride layer. This cleaning process is one of the processes that typically reduces the thickness of the body at the edges of the gate.
  • the main part of the source and drain regions are formed through ion implantation 35 shown in FIG. 5 .
  • arsenic or phosphorous is used with an implant dose of up to 1 ⁇ 10 19 -1 ⁇ 10 20 atoms/cm 3 .
  • a dielectric layer 40 is now conformally deposited over the structure of FIG. 5 , as shown in FIG. 6 .
  • This may comprise a silicon dioxide layer which will become an interlayer dielectric (ILD) in an integrated circuit.
  • ILD interlayer dielectric
  • a low-k dielectric or a sacrificial dielectric layer may be used.
  • the layer 40 typically has the mechanical strength to withstand a planarization process such as chemical mechanical polishing (CMP).
  • a wet etch is used to remove the dummy polysilicon gate 30 , leaving the opening 45 , as shown in FIG. 7 .
  • the dummy gate oxide (not shown) is also removed.)
  • the cross-sectional view of FIG. 8 taken through section line 8 - 8 of FIG. 7 better shows the opening 45 .
  • the spacers 38 are recessed at 47 into the body 25 .
  • an oxide layer is removed from the body 25 . This results in the loss of some of the body material and, in part, accounts for the thinning occurring in the prior art structure shown at 11 of FIG. 1A . The removal of this oxide is important in some processes, as will be discussed later.
  • any oxide over the body 25 within the opening 45 is removed in an ordinary cleaning step.
  • the structure of FIG. 8 is placed in a selective silicon bath such as NH 4 OH which reduces the size of the body within the opening 45 .
  • This etching reduces both the height of the body as seen at 50 of FIG. 9 , as well as the width of the body.
  • the target height and thickness of the body 25 in the channel region is 20 ⁇ 20 nm.
  • a thicker and wider body 25 may be initially formed since it is thinned in this etching step.
  • this etching step does not thin the body 25 outside of the channel region.
  • the body 25 is initially thicker and wider, the source and drain regions remain thicker or wider after the channel region has been thinned.
  • the severe thinning shown at 11 of FIG. 1A is avoided.
  • a gate dielectric 60 is formed on exposed surfaces which includes the sides and top of the body 25 lying within the opening 45 .
  • the layer 60 also deposits on the interior sidewalls of the spacers 38 and on the upper surface of the dielectric layer 40 .
  • the gate dielectric in one embodiment, has a high dielectric constant (k), such as a metal oxide dielectric, for instance, HfO 2 or ZrO 2 or other high k dielectrics, such as PZT or BST.
  • the gate dielectric may be formed by any well-known technique such as atomic layer deposition (ALD) or chemical vapor deposition (CVD). Alternately, the gate dielectric may be a grown dielectric.
  • the gate dielectric 60 may be a silicon dioxide film grown with a wet or dry oxidation process to a thickness between 5-50 ⁇ .
  • a gate electrode (metal) layer 61 is formed over the gate dielectric layer 60 .
  • the gate electrode layer 61 may be formed by blanket deposition of a suitable gate electrode material.
  • a gate electrode material comprises a metal film such as tungsten, tantalum, titanium and/or nitrides and alloys thereof.
  • n channel transistors a work function in the range of 3.9 to 4.6 eV may be used.
  • p channel transistors a work function of 4.6 to 5.2 eV may be used. Accordingly, for substrates with both n channel and p channel transistors, two separate metal deposition processes may need to be used.
  • the metal layer 61 is planarized using, for example CMP, and the planarization continues until at least the upper surface of the dielectric layer 40 is exposed, as shown in FIG. 11 .
  • FIGS. 12-14 Alternate processing is next described in conjunction with FIGS. 12-14 .
  • the numbering used in FIG. 12 includes a “0” after the numbers used in FIGS. 2-11 for corresponding layers and members.
  • the spacers 38 of FIG. 11 are shown as spacers 380 in FIG. 12 .
  • a substrate 210 , insulator 200 and body 250 are seen along with the source and drain regions.
  • the processing leading up to FIG. 12 is the same as the processing as in the prior embodiment, with one exception.
  • This difference is that the hard mask defining the bodies or fins, such as body 250 , is not immediately removed after defining the bodies. Rather, the polysilicon dummy gate structure is formed over the hard mask. Then, where the body is not protected by the polysilicon dummy gate, the hard mask is removed. Consequently, the hard mask 260 remains over the channel region, and after the spacers are formed and dummy gate removed, the hard mask 260 is exposed in opening 450 of FIG. 12 .
  • FIG. 12 The structure of FIG. 12 is again illustrated in FIG. 13 from the view taken through the lines 13 - 13 of FIG. 12 .
  • the silicon body 250 and mask 260 are shown with the spacer 380 in the background.
  • the dimension 280 of FIG. 13 is the width of the body 250 in the channel region.
  • wet etching is now used to etch the silicon body 250 with, for example, NH 4 OH. This thins the width of the silicon body without changing its height, as shown in FIG. 14 . Note, in FIG. 14 the remaining body 250 is narrower than its original dimension 280 , while its height has not changed. For some semiconductor processes, better control may be obtained when only the width of the silicon body is etched. Thus, only the width of the body in the channel region is reduced to a predetermined target dimension, without reducing the height or width of the source and drain regions as this occurs.
  • the hard mask 260 is removed, then the high k dielectric and metal gates are formed, as was the case in the previous embodiment.
  • the silicon dioxide layer which typically is present on the silicon body, is removed before the deposition of the spacer material. This was discussed in conjunction with the recess 47 of FIG. 8 . This is done because an undesirable reaction may occur between the oxide layer and the high k dielectric, if a high temperature anneal is used to activate the doping in the source and drain regions after the replacement gate is formed. At least the sides of the oxide layer, if not removed, may contact the high k dielectric and cause this problem. This is not a problem, however, if the annealing of the source and drain regions occurs before the high k dielectric is formed.
  • the thinning of the channel region described above can also be used on a planar, bulk transistor or a transistor formed in a delta-doped substrate.
  • FIGS. 15-21 below describe the formation of a depletion mode transistor with raised source and drain regions, where controlled thinning of the channel region occurs.
  • FIG. 15 illustrates an SOI substrate having a base 100 , oxide layer 102 and a monocrystalline silicon layer 103 .
  • the layer 103 or at least the region where the depletion mode transistor is fabricated, is lightly doped with an n type dopant or is intrinsic silicon, or other semiconductor material.
  • a gate structure is fabricated on a dummy gate oxide layer 110 . This structure comprises a polysilicon dummy gate 104 and spacers 105 .
  • the semiconductor layer 103 is etched isotropically in alignment with the gate structure. This etching undercuts the gate structure as illustrated at undercut 114 . The remaining silicon from layer 103 , is the channel region 119 , seen in FIG. 16 .
  • Raised source and drain regions are then epitaxially grown to establish a shallow, highly doped source/drain tip (extension) that laterally extends the distance under the gate edge to the channel region 119 .
  • Separate processing is used for the p-channel and n-channel transistors with each of the source and drain regions being grown in different processing, both with in-situ doping. This results in the source and drain regions being highly doped, in one case with a p-type dopant, and in the other case with an n-type dopant.
  • the source and drain regions are raised as illustrated. They may be formed by selectively depositing epitaxial boron (B) doped silicon or SiGe with germanium concentrations up to 30%, as an example. Under the processing conditions of 100 sccm of dichlorosilane (DCS), 20 slm H 2 , 750-800° C., 20 Torr, 150-200 sccm HCl, a diborane (B 2 H 6 ) flow of 150-200 sccm and a GeH 4 flow of 150-200 sccm, a highly doped SiGe film with a deposition rate of 20 nm/min, B concentration of 1E20 cm ⁇ 3 and a germanium concentration of 20% is achieved.
  • DCS dichlorosilane
  • B 2 H 6 diborane
  • a low resistivity of 0.7-0.9 mOhm-cm resulting from the high B concentration in the film provides the benefit of high conductivity in the tip source/drain regions and thereby reduced R external .
  • SiGe in the source/drain regions exerts compressive strain on the channel, which in turn results in enhanced mobility and improved transistor performance.
  • the source/drain regions are formed, for instance, using in-situ phosphorous doped silicon deposited selectively under processing conditions of 100 sccm of DCS, 25-50 sccm HCl, 200-300 sccm of 1% PH 3 with a carrier H 2 gas flow of 20 slm at 750° C. and 20 Torr.
  • a phosphorous concentration of 2E20 cm ⁇ 3 with a resistivity of 0.4-0.6 mOhm-cm is achieved in the deposited film.
  • the resultant structure is shown in FIG. 17 .
  • Ion implantation of boron may be used to more heavily dope the source and drain region beyond the edges of the gate structure shown in FIG. 17 .
  • a dielectric layer 130 is now formed over the structure of FIG. 17 .
  • This layer corresponds to the dielectric layers 40 and 400 in the prior embodiments.
  • this layer may be an ILD layer or a sacrificial layer.
  • An etchant is used to etch away the dummy gate, providing an opening 140 seen in FIG. 18 . This exposes the underlying oxide layer 110 .
  • the oxide layer 110 is removed with an ordinary etchant as shown in FIG. 19 , thereby exposing the channel region 119 .
  • the channel region can be etched to reduce its cross section as shown in FIG. 20 .
  • a tetramethylammonium hydroxide (TMAH) or ammonium hydroxide solution with an appropriate pH value is used to selectively etch the exposed n type or intrinsic silicon channel region 119 without affecting the p+ source and drain regions.
  • This etchant is highly selective, and thus leaves in place the tips 150 of the source and drain regions 120 while the thinning of the channel region 119 occurs.
  • the boron-doped silicon has a sufficiently different lattice energy than the phosphorous- or arsenic-doped silicon, thereby allowing this selective etching to occur. In one process, this etching is done at a megasonic energy level of between 600 and 1100 kHz.
  • the tips 150 shown in FIG. 20 thus remain even though the channel region falls below the raised source and drain regions.
  • a high k dielectric gate layer 122 may next be conformally deposited using, for instance, ALD. Following this, metal gate layer 124 is formed. The appropriate work function for the layer 124 is used as discussed above for the layer 61 .
  • FIG. 21 is planarized with, for instance, CMP to provide the structure of FIG. 22 .
  • the final recessed channel 119 thus has raised, source/drain extension regions. This allows the current from the channel inversion layer to spread upward into these extension regions as shown by the lines 125 . In contrast in examining FIG. 1B at 16 , the current can only spread outward and downward, thereby resulting in higher series resistance.
  • FIG. 23 illustrates such a system 600 in accordance with one embodiment.
  • system 600 includes computing device 602 for processing data.
  • Computing device 602 may include a motherboard 604 .
  • Motherboard 604 may include in particular a processor 606 , and a networking interface 608 coupled to a bus 610 .
  • processor 606 may comprise the transistors of FIG. 11 or 22 , as examples, of the above-described transistor.
  • system 600 may include other components, including but are not limited to, volatile and non-volatile memory, a graphics processor, a digital signal processor, a crypto processor, a chipset, mass storage (such as hard disk, compact disk (CD), digital versatile disk (DVD) and so forth), and so forth.
  • system 600 may be a personal digital assistant (PDA), a mobile phone, a tablet computing device, a laptop computing device, a desktop computing device, a set-top box, an entertainment control unit, a digital camera, a digital video recorder, a CD player, a DVD player, or other digital device of the like.
  • PDA personal digital assistant

Abstract

A method of fabricating a MOS transistor having a thinned channel region is described. The channel region is etched following removal of a dummy gate. The source and drain regions have relatively low resistance with the process.

Description

FIELD OF THE INVENTION
The invention relates to the field of semiconductor processing for transistors having thin channel regions.
PRIOR ART AND RELATED ART
The trend in the fabrication of complementary metal-oxide-semiconductor (CMOS) transistors is to have small channel regions. Examples of a transistor having a reduced body which includes the channel region along with a tri-gate structure are shown in US 2004/0036127. Other small channel transistors are delta-doped transistors formed in lightly doped or undoped epitaxial layers grown on a heavily doped substrate. See, for instance, “Metal Gate Transistor with Epitaxial Source and Drain Regions,” application Ser. No. 10/955,669, filed Sep. 29, 2004, assigned to the assignee of the present application.
One problem with some of these devices is the generally high external resistance that comes about from the thinning of the source and drain regions, sometimes at the edges of the gates. Other devices have similar problems that result in higher external resistance, such as limited available cross-sectional area for source and drain regions. These problems are discussed in conjunction with FIGS. 1A and 1B.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1A is a plane view of a prior art transistor taken with a scanning electron microscope.
FIG. 1B is a cross-sectional, elevation view of a depletion mode transistor fabricated on a heavily doped substrate. This figure was taken from the patent application cited in the Prior Art and Related Art section of this application.
FIG. 2 is a cross-sectional, elevation view of a silicon-on-insulator (SOI) substrate.
FIG. 3 is a perspective view of the structure of FIG. 2, after the formation of a silicon body, sometimes referred to as a fin.
FIG. 4 illustrates the structure of FIG. 3, after a dummy gate is fabricated and during a first ion implantation process.
FIG. 5 illustrates the structure of FIG. 4, after spacers are fabricated and during a second ion implantation step.
FIG. 6 illustrates the structure of FIG. 5, after forming a dielectric layer.
FIG. 7 illustrates the structure of FIG. 6, after removal of the dummy gate.
FIG. 8 is a cross-sectional, elevation view of the structure of FIG. 7 taken through section line 8-8 of FIG. 7.
FIG. 9 illustrates the structure of FIG. 8, after an etching step which thins the channel region.
FIG. 10 illustrates the structure of FIG. 9, after forming a high-k gate insulating layer and a metal gate layer.
FIG. 11 illustrates the structure of FIG. 10 after planarization.
FIG. 12, which illustrates alternate processing, is a cross-sectional, elevation view, at a point in the processing similar to FIG. 8. In this alternate processing, a hard mask, used to define the silicon body, remains atop the channel region.
FIG. 13 illustrates the structure of FIG. 12, as viewed through the section lines 13-13 of FIG. 12.
FIG. 14 illustrates the structure of FIG. 13, after etching which reduced the width of the body in the channel region.
FIG. 15 is a cross-sectional, elevation view of a SOI substrate.
FIG. 16 illustrates the structure of FIG. 15, after etching of the silicon layer.
FIG. 17 illustrates the structure of FIG. 16, with epitaxially grown source and drain regions.
FIG. 18 illustrates the structure of FIG. 17, after a dielectric layer is formed around the structure and a gate region is exposed.
FIG. 19 illustrates the structure of FIG. 18, after additional etching.
FIG. 20 illustrates the structure of FIG. 19, after etching, which thins the channel region.
FIG. 21 illustrates the structure of FIG. 20, after forming an insulating, high-k layer, and a metal gate layer.
FIG. 22 illustrates the structure of FIG. 21, after planarization.
FIG. 23 is a block diagram, incorporating the above-illustrated thinned channel transistors in a system.
DETAILED DESCRIPTION
A process for fabricating CMOS field-effect transistors and the resultant transistors are described. In the following description, numerous specific details are set forth, such as specific dimensions and chemical regimes, in order to provide a thorough understanding of the present invention. It will be apparent to one skilled in the art that the present invention may be practiced without these specific details. In other instances, well-known processing steps, such as cleaning steps, are not described in detail, in order to not unnecessarily obscure the present invention.
A problem associated with small body devices is illustrated in FIG. 1A. A tri-gate structure 10 is shown traversing a silicon body at the channel region 14 of a transistor. The semiconductor body or fin has been thinned at the gate edges 11. This thinning is the result of processing used for defining the body, forming spacers, and cleaning of oxides. This processing can so reduce the body such that it may no longer have sufficient silicon seed to support the growth of an epitaxial layer. Often, as much as 20-50% of the body at the edge of the gate can be lost during such processing. In addition to yield loss, this results in higher source/drain resistance and the consequential reduction in transistor performance.
A similar problem is shown in FIG. 1B, where the n type or intrinsic channel region 15 is formed between the relatively thin regions 16 of the source and drain extension regions of a depletion mode planar transistor. Thus, the problem of thinning at the gate edges is not limited to tri-gate structures, or for that matter, SOI substrates, but can also occur in a bulk silicon layer or a delta-doped transistor, as shown in FIG. 1B. In the structure of FIG. 1B, an epitaxial layer is grown on a heavily doped substrate 14. An etchant discriminates between the epitaxially grown layer and the substrate 14, allowing the channel region 15 to be defined. The source and drain regions 17 are grown following the etching of the region 15. The structure is described in the application referenced in the Prior Art and Related Art section of this application.
In a first embodiment, transistors are fabricated on an oxide layer 20 which is disposed on a silicon substrate 21 shown in FIG. 2. The transistor bodies are fabricated from a monocrystalline, silicon layer 24 disposed on the oxide layer 20. This SOI substrate is well-known in the semiconductor industry. By way of example, the SOI substrate is fabricated by bonding the oxide layer 20 and silicon layer 24 onto the substrate 21, and then planarizing the layer 24 so that it is relatively thin. Other techniques are known for forming an SOI substrate including, for instance, the implantation of oxygen into the silicon substrate to form a buried oxide layer. Other semiconductor materials, other than silicon, may also be used such as gallium arsenide.
The layer 24 may be selectively ion implanted with a p type dopant in regions where n channel transistors are to be fabricated, and with a n type dopant in those regions where p channel devices are to be fabricated. This is used to provide the relatively light doping typically found in the channel regions of MOS devices fabricated in a CMOS integrated circuit.
In the description below, for the first embodiment, the fabrication of a single n channel transistor is described. As will be appreciated in the typical integrated circuit, both n and p channel devices are fabricated. Also, in the processing for the first embodiment, a protective oxide (not shown) is disposed on the silicon layer 24 followed by the deposition of a silicon nitride layer. The nitride layer acts as a hard mask to define silicon bodies such as the silicon body 25 of FIG. 3.
Assume for a particular process that the silicon body, in the channel region of a field-effect transistor, should ideally have a height of 20 nm and a width of 20 nm. Using the prior art processing associated with the transistor of FIG. 1, the thickness of the silicon layer from which the body is etched would also have a thickness of 20 nm. As will be seen for the embodiment of FIGS. 2-11, the layer 24 may initially be thicker than 20 nm, and will subsequently be thinned in the channel region. This thinning only occurs in the channel region, leaving the source and drain regions thicker, thereby reducing the external resistance. This will become more apparent in the description below.
A polysilicon layer is formed over the structure of FIG. 3 and etched to define a dummy gate 30 which extends over the body 25 as seen in FIG. 4. (A dummy gate oxide which subsequently acts as an etch stop is not shown.) The region of the body 25 below the dummy gate 30, as will be seen, is the channel region for this replacement gate process. Once the dummy gate 30 has been defined, phosphorous or arsenic may be implanted into the body 25 in alignment with the dummy gate, as illustrated by the ion implantation 26. This ion implantation defines the tip or extension source and drain regions frequently used in CMOS transistors.
Next, a layer of silicon nitride is conformally deposited over the structure of FIG. 4, and is used to fabricate the spacers 38 shown in FIG. 5. Ordinary, well-known, anisotropic etching may be used to fabricate the spacers. In one embodiment, a carbon-doped nitride, doped with 5-13% carbon concentration is used for the spacers. As will be discussed later, prior to the formation of the nitride layer, an oxide layer present on the body 25 is removed. This cleaning process is one of the processes that typically reduces the thickness of the body at the edges of the gate. After the spacer formation, the main part of the source and drain regions are formed through ion implantation 35 shown in FIG. 5. For the n channel device, arsenic or phosphorous is used with an implant dose of up to 1×1019-1×1020 atoms/cm3.
A dielectric layer 40 is now conformally deposited over the structure of FIG. 5, as shown in FIG. 6. This may comprise a silicon dioxide layer which will become an interlayer dielectric (ILD) in an integrated circuit. A low-k dielectric or a sacrificial dielectric layer may be used. In any event, the layer 40 typically has the mechanical strength to withstand a planarization process such as chemical mechanical polishing (CMP).
After the deposition and planarization of the dielectric layer 40, a wet etch is used to remove the dummy polysilicon gate 30, leaving the opening 45, as shown in FIG. 7. (The dummy gate oxide (not shown) is also removed.) The cross-sectional view of FIG. 8 taken through section line 8-8 of FIG. 7, better shows the opening 45. Also better shown in FIG. 8, is that the spacers 38 are recessed at 47 into the body 25. As mentioned earlier, after the source and drain tip implant and before the spacer material is deposited, an oxide layer is removed from the body 25. This results in the loss of some of the body material and, in part, accounts for the thinning occurring in the prior art structure shown at 11 of FIG. 1A. The removal of this oxide is important in some processes, as will be discussed later.
Following the removal of the dummy gate, any oxide over the body 25 within the opening 45 is removed in an ordinary cleaning step. Then, the structure of FIG. 8 is placed in a selective silicon bath such as NH4OH which reduces the size of the body within the opening 45. This etching reduces both the height of the body as seen at 50 of FIG. 9, as well as the width of the body. This allows the channel region of the body 25 to be thinned to a target height and thickness. Again, assume that the target height and thickness of the body 25 in the channel region is 20×20 nm. A thicker and wider body 25 may be initially formed since it is thinned in this etching step. Importantly, this etching step does not thin the body 25 outside of the channel region. Consequently, if the body 25 is initially thicker and wider, the source and drain regions remain thicker or wider after the channel region has been thinned. Thus, by starting with a thicker and wider body, there is more silicon left after the cleaning process, and the severe thinning shown at 11 of FIG. 1A is avoided.
Next, a gate dielectric 60 is formed on exposed surfaces which includes the sides and top of the body 25 lying within the opening 45. The layer 60 also deposits on the interior sidewalls of the spacers 38 and on the upper surface of the dielectric layer 40. The gate dielectric, in one embodiment, has a high dielectric constant (k), such as a metal oxide dielectric, for instance, HfO2 or ZrO2 or other high k dielectrics, such as PZT or BST. The gate dielectric may be formed by any well-known technique such as atomic layer deposition (ALD) or chemical vapor deposition (CVD). Alternately, the gate dielectric may be a grown dielectric. For instance, the gate dielectric 60, may be a silicon dioxide film grown with a wet or dry oxidation process to a thickness between 5-50 Å.
Following this, also as seen in FIG. 10, a gate electrode (metal) layer 61 is formed over the gate dielectric layer 60. The gate electrode layer 61 may be formed by blanket deposition of a suitable gate electrode material. In one embodiment, a gate electrode material comprises a metal film such as tungsten, tantalum, titanium and/or nitrides and alloys thereof. For the n channel transistors, a work function in the range of 3.9 to 4.6 eV may be used. For the p channel transistors, a work function of 4.6 to 5.2 eV may be used. Accordingly, for substrates with both n channel and p channel transistors, two separate metal deposition processes may need to be used.
The metal layer 61 is planarized using, for example CMP, and the planarization continues until at least the upper surface of the dielectric layer 40 is exposed, as shown in FIG. 11.
Standard processing is now used to complete the transistor of FIG. 11.
Alternate processing is next described in conjunction with FIGS. 12-14. The numbering used in FIG. 12 includes a “0” after the numbers used in FIGS. 2-11 for corresponding layers and members. For instance, the spacers 38 of FIG. 11 are shown as spacers 380 in FIG. 12. In FIG. 12, a substrate 210, insulator 200 and body 250 are seen along with the source and drain regions.
The processing leading up to FIG. 12 is the same as the processing as in the prior embodiment, with one exception. This difference is that the hard mask defining the bodies or fins, such as body 250, is not immediately removed after defining the bodies. Rather, the polysilicon dummy gate structure is formed over the hard mask. Then, where the body is not protected by the polysilicon dummy gate, the hard mask is removed. Consequently, the hard mask 260 remains over the channel region, and after the spacers are formed and dummy gate removed, the hard mask 260 is exposed in opening 450 of FIG. 12.
The structure of FIG. 12 is again illustrated in FIG. 13 from the view taken through the lines 13-13 of FIG. 12. In this view, the silicon body 250 and mask 260 are shown with the spacer 380 in the background. The dimension 280 of FIG. 13 is the width of the body 250 in the channel region.
Wet etching is now used to etch the silicon body 250 with, for example, NH4OH. This thins the width of the silicon body without changing its height, as shown in FIG. 14. Note, in FIG. 14 the remaining body 250 is narrower than its original dimension 280, while its height has not changed. For some semiconductor processes, better control may be obtained when only the width of the silicon body is etched. Thus, only the width of the body in the channel region is reduced to a predetermined target dimension, without reducing the height or width of the source and drain regions as this occurs.
After this etching step, the hard mask 260 is removed, then the high k dielectric and metal gates are formed, as was the case in the previous embodiment.
As mentioned earlier, the silicon dioxide layer, which typically is present on the silicon body, is removed before the deposition of the spacer material. This was discussed in conjunction with the recess 47 of FIG. 8. This is done because an undesirable reaction may occur between the oxide layer and the high k dielectric, if a high temperature anneal is used to activate the doping in the source and drain regions after the replacement gate is formed. At least the sides of the oxide layer, if not removed, may contact the high k dielectric and cause this problem. This is not a problem, however, if the annealing of the source and drain regions occurs before the high k dielectric is formed.
The thinning of the channel region described above can also be used on a planar, bulk transistor or a transistor formed in a delta-doped substrate. FIGS. 15-21 below describe the formation of a depletion mode transistor with raised source and drain regions, where controlled thinning of the channel region occurs.
FIG. 15 illustrates an SOI substrate having a base 100, oxide layer 102 and a monocrystalline silicon layer 103. For the depletion mode transistor, the layer 103, or at least the region where the depletion mode transistor is fabricated, is lightly doped with an n type dopant or is intrinsic silicon, or other semiconductor material. A gate structure is fabricated on a dummy gate oxide layer 110. This structure comprises a polysilicon dummy gate 104 and spacers 105.
Following this, as shown in FIG. 16, the semiconductor layer 103 is etched isotropically in alignment with the gate structure. This etching undercuts the gate structure as illustrated at undercut 114. The remaining silicon from layer 103, is the channel region 119, seen in FIG. 16.
Raised source and drain regions are then epitaxially grown to establish a shallow, highly doped source/drain tip (extension) that laterally extends the distance under the gate edge to the channel region 119. Separate processing is used for the p-channel and n-channel transistors with each of the source and drain regions being grown in different processing, both with in-situ doping. This results in the source and drain regions being highly doped, in one case with a p-type dopant, and in the other case with an n-type dopant.
In forming a PMOS transistor, the source and drain regions are raised as illustrated. They may be formed by selectively depositing epitaxial boron (B) doped silicon or SiGe with germanium concentrations up to 30%, as an example. Under the processing conditions of 100 sccm of dichlorosilane (DCS), 20 slm H2, 750-800° C., 20 Torr, 150-200 sccm HCl, a diborane (B2H6) flow of 150-200 sccm and a GeH4 flow of 150-200 sccm, a highly doped SiGe film with a deposition rate of 20 nm/min, B concentration of 1E20 cm−3 and a germanium concentration of 20% is achieved. A low resistivity of 0.7-0.9 mOhm-cm resulting from the high B concentration in the film provides the benefit of high conductivity in the tip source/drain regions and thereby reduced Rexternal. SiGe in the source/drain regions exerts compressive strain on the channel, which in turn results in enhanced mobility and improved transistor performance.
For an NMOS transistor, the source/drain regions are formed, for instance, using in-situ phosphorous doped silicon deposited selectively under processing conditions of 100 sccm of DCS, 25-50 sccm HCl, 200-300 sccm of 1% PH3 with a carrier H2 gas flow of 20 slm at 750° C. and 20 Torr. A phosphorous concentration of 2E20 cm−3 with a resistivity of 0.4-0.6 mOhm-cm is achieved in the deposited film.
The resultant structure is shown in FIG. 17. Ion implantation of boron may be used to more heavily dope the source and drain region beyond the edges of the gate structure shown in FIG. 17.
A dielectric layer 130 is now formed over the structure of FIG. 17. This corresponds to the dielectric layers 40 and 400 in the prior embodiments. Again, this layer may be an ILD layer or a sacrificial layer. An etchant is used to etch away the dummy gate, providing an opening 140 seen in FIG. 18. This exposes the underlying oxide layer 110. The oxide layer 110 is removed with an ordinary etchant as shown in FIG. 19, thereby exposing the channel region 119.
Now, the channel region can be etched to reduce its cross section as shown in FIG. 20. A tetramethylammonium hydroxide (TMAH) or ammonium hydroxide solution with an appropriate pH value is used to selectively etch the exposed n type or intrinsic silicon channel region 119 without affecting the p+ source and drain regions. This etchant is highly selective, and thus leaves in place the tips 150 of the source and drain regions 120 while the thinning of the channel region 119 occurs. The boron-doped silicon has a sufficiently different lattice energy than the phosphorous- or arsenic-doped silicon, thereby allowing this selective etching to occur. In one process, this etching is done at a megasonic energy level of between 600 and 1100 kHz. The tips 150 shown in FIG. 20, thus remain even though the channel region falls below the raised source and drain regions.
A high k dielectric gate layer 122 may next be conformally deposited using, for instance, ALD. Following this, metal gate layer 124 is formed. The appropriate work function for the layer 124 is used as discussed above for the layer 61.
The structure of FIG. 21 is planarized with, for instance, CMP to provide the structure of FIG. 22. In the finished device, the final recessed channel 119 thus has raised, source/drain extension regions. This allows the current from the channel inversion layer to spread upward into these extension regions as shown by the lines 125. In contrast in examining FIG. 1B at 16, the current can only spread outward and downward, thereby resulting in higher series resistance.
The transistor fabricated as described above may be incorporated into an integrated circuit, central processing unit, which in turn is part of a computing device or system. FIG. 23 illustrates such a system 600 in accordance with one embodiment. As illustrated, for the embodiment, system 600 includes computing device 602 for processing data. Computing device 602 may include a motherboard 604. Motherboard 604 may include in particular a processor 606, and a networking interface 608 coupled to a bus 610. More specifically, processor 606 may comprise the transistors of FIG. 11 or 22, as examples, of the above-described transistor.
Depending on the applications, system 600 may include other components, including but are not limited to, volatile and non-volatile memory, a graphics processor, a digital signal processor, a crypto processor, a chipset, mass storage (such as hard disk, compact disk (CD), digital versatile disk (DVD) and so forth), and so forth.
In various embodiments, system 600 may be a personal digital assistant (PDA), a mobile phone, a tablet computing device, a laptop computing device, a desktop computing device, a set-top box, an entertainment control unit, a digital camera, a digital video recorder, a CD player, a DVD player, or other digital device of the like.
Thus, improved processing has been described that allows a channel region to be controllably thinned, and that permits the source/drain regions to have less resistance.

Claims (5)

1. A method for fabricating a transistor comprising:
defining a semiconductor body with a mask, the mask and the entire semiconductor body having essentially the same initial width; and, subsequently,
forming a dummy gate over the body to define a channel region in the body, leaving the mask in place on at least a portion of the body covered by the dummy gate;
implanting the body to form a source and drain region;
surrounding the dummy gate with dielectric material;
removing the dummy gate;
with the mask in place, etching the channel region of the body with an etchant which etches the body without etching the mask so as to reduce the width of the body to a width less than the width of the mask, but not to reduce the height of the body disposed beneath the mask;
removing the mask; and
forming a permanent gate structure on the channel region.
2. The method defined by claim 1, wherein the implanting of the body comprises:
using a first implant in alignment with the dummy gate to form tip source and drain regions;
forming spacers on sides of the dummy gate; and
using a second implant in alignment with the spacers to form main source and drain regions.
3. The method defined by claim 2, wherein the forming of the permanent gate structure comprises forming a high-k insulation over the channel region and forming a metal gate on the insulation.
4. The method defined by claim 3, wherein the metal gate has a work function between 3.9 and 5.2 eV.
5. The method defined by claim 3, wherein the spacers comprise a carbon doped nitride.
US11/154,138 2005-06-15 2005-06-15 Method for fabricating transistor with thinned channel Active 2028-10-03 US7858481B2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US11/154,138 US7858481B2 (en) 2005-06-15 2005-06-15 Method for fabricating transistor with thinned channel
US12/949,696 US9337307B2 (en) 2005-06-15 2010-11-18 Method for fabricating transistor with thinned channel
US15/069,726 US9806195B2 (en) 2005-06-15 2016-03-14 Method for fabricating transistor with thinned channel
US15/730,542 US10367093B2 (en) 2005-06-15 2017-10-11 Method for fabricating transistor with thinned channel
US16/526,898 US10937907B2 (en) 2005-06-15 2019-07-30 Method for fabricating transistor with thinned channel
US17/148,330 US20210135007A1 (en) 2005-06-15 2021-01-13 Method for fabricating transistor with thinned channel

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/154,138 US7858481B2 (en) 2005-06-15 2005-06-15 Method for fabricating transistor with thinned channel

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US12/949,696 Division US9337307B2 (en) 2005-06-15 2010-11-18 Method for fabricating transistor with thinned channel
US12/949,696 Continuation US9337307B2 (en) 2005-06-15 2010-11-18 Method for fabricating transistor with thinned channel

Publications (2)

Publication Number Publication Date
US20060286755A1 US20060286755A1 (en) 2006-12-21
US7858481B2 true US7858481B2 (en) 2010-12-28

Family

ID=37573926

Family Applications (6)

Application Number Title Priority Date Filing Date
US11/154,138 Active 2028-10-03 US7858481B2 (en) 2005-06-15 2005-06-15 Method for fabricating transistor with thinned channel
US12/949,696 Active US9337307B2 (en) 2005-06-15 2010-11-18 Method for fabricating transistor with thinned channel
US15/069,726 Active US9806195B2 (en) 2005-06-15 2016-03-14 Method for fabricating transistor with thinned channel
US15/730,542 Active US10367093B2 (en) 2005-06-15 2017-10-11 Method for fabricating transistor with thinned channel
US16/526,898 Active US10937907B2 (en) 2005-06-15 2019-07-30 Method for fabricating transistor with thinned channel
US17/148,330 Pending US20210135007A1 (en) 2005-06-15 2021-01-13 Method for fabricating transistor with thinned channel

Family Applications After (5)

Application Number Title Priority Date Filing Date
US12/949,696 Active US9337307B2 (en) 2005-06-15 2010-11-18 Method for fabricating transistor with thinned channel
US15/069,726 Active US9806195B2 (en) 2005-06-15 2016-03-14 Method for fabricating transistor with thinned channel
US15/730,542 Active US10367093B2 (en) 2005-06-15 2017-10-11 Method for fabricating transistor with thinned channel
US16/526,898 Active US10937907B2 (en) 2005-06-15 2019-07-30 Method for fabricating transistor with thinned channel
US17/148,330 Pending US20210135007A1 (en) 2005-06-15 2021-01-13 Method for fabricating transistor with thinned channel

Country Status (1)

Country Link
US (6) US7858481B2 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120091540A1 (en) * 2010-10-19 2012-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of a p-type field effect transistor
US8513067B2 (en) * 2011-05-26 2013-08-20 Peking University Fabrication method for surrounding gate silicon nanowire transistor with air as spacers
US20140299936A1 (en) * 2013-04-04 2014-10-09 Stmicroelectronics, Inc. Integrated circuit devices and fabrication techniques
US8941214B2 (en) 2011-12-22 2015-01-27 Intel Corporation Semiconductor device having a necked semiconductor body and method of forming semiconductor bodies of varying width
US9048123B2 (en) 2013-09-19 2015-06-02 International Business Machines Corporation Interdigitated finFETs
US20150236155A1 (en) * 2014-02-14 2015-08-20 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US20150295066A1 (en) * 2012-09-05 2015-10-15 Commissariat A L'energie Atomique Et Aux Ene Alt Process for producing fet transistors
US9331146B2 (en) 2014-06-11 2016-05-03 International Business Machines Corporation Silicon nanowire formation in replacement metal gate process
US9711645B2 (en) 2013-12-26 2017-07-18 International Business Machines Corporation Method and structure for multigate FinFET device epi-extension junction control by hydrogen treatment

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7473947B2 (en) * 2002-07-12 2009-01-06 Intel Corporation Process for ultra-thin body SOI devices that incorporate EPI silicon tips and article made thereby
US7504328B2 (en) * 2004-05-11 2009-03-17 National University Of Singapore Schottky barrier source/drain n-mosfet using ytterbium silicide
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US20090001438A1 (en) * 2007-06-29 2009-01-01 Doyle Brian S Isolation of MIM FIN DRAM capacitor
US20090004868A1 (en) * 2007-06-29 2009-01-01 Doyle Brian S Amorphous silicon oxidation patterning
US8076735B2 (en) * 2009-10-02 2011-12-13 United Microelectronics Corp. Semiconductor device with trench of various widths
US8936976B2 (en) * 2009-12-23 2015-01-20 Intel Corporation Conductivity improvements for III-V semiconductor devices
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8609495B2 (en) * 2010-04-08 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid gate process for fabricating finfet device
DE102010029527B4 (en) * 2010-05-31 2012-04-05 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg A method of fabricating a multi-gate self-aligned transistor on a bulk substrate
WO2012163429A1 (en) 2011-06-03 2012-12-06 Acconeer Ab Process for manufacturing a semiconductor device and an intermediate product for the manufacture of a semiconductor device
CN102891178A (en) * 2011-07-19 2013-01-23 中芯国际集成电路制造(北京)有限公司 Semiconductor device and manufacturing method thereof
US8828813B2 (en) * 2012-04-13 2014-09-09 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement channels
US9105707B2 (en) * 2013-07-24 2015-08-11 International Business Machines Corporation ZRAM heterochannel memory
US20150137237A1 (en) * 2013-11-21 2015-05-21 Globalfoundries Inc. Undoped epitaxial layer for junction isolation in a fin field effect transistor (finfet) device
CN104701149A (en) * 2013-12-04 2015-06-10 中芯国际集成电路制造(上海)有限公司 Semiconductor device and forming method thereof
US9136356B2 (en) * 2014-02-10 2015-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Non-planar field effect transistor having a semiconductor fin and method for manufacturing
US9379185B2 (en) * 2014-04-24 2016-06-28 International Business Machines Corporation Method of forming channel region dopant control in fin field effect transistor
CN105097535B (en) * 2014-05-12 2018-03-13 中国科学院微电子研究所 The manufacture method of FinFet devices
US9299939B1 (en) 2014-12-09 2016-03-29 International Business Machines Corporation Formation of CMOS device using carbon nanotubes
US9502567B2 (en) * 2015-02-13 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin structure with extending gate structure
US9929242B2 (en) 2015-01-12 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20160247888A1 (en) * 2015-02-19 2016-08-25 International Business Machines Corporation Non-uniform gate dielectric for u-shape mosfet
US9362400B1 (en) * 2015-03-06 2016-06-07 International Business Machines Corporation Semiconductor device including dielectrically isolated finFETs and buried stressor
US9972711B2 (en) 2015-06-03 2018-05-15 International Business Machines Corporation Reduced resistance short-channel InGaAs planar MOSFET
US9799654B2 (en) * 2015-06-18 2017-10-24 International Business Machines Corporation FET trench dipole formation
US9917195B2 (en) * 2015-07-29 2018-03-13 International Business Machines Corporation High doped III-V source/drain junctions for field effect transistors
US9978748B2 (en) * 2015-12-09 2018-05-22 International Business Machines Corporation Method of cutting fins to create diffusion breaks for finFETs
US9799649B2 (en) * 2015-12-17 2017-10-24 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and manufacturing method thereof
KR102385567B1 (en) * 2017-08-29 2022-04-12 삼성전자주식회사 Semiconductor devices and method of manufacturing semiconductor devices
DE112017007824T5 (en) * 2017-09-26 2020-04-16 Intel Corporation GROUP III V SEMICONDUCTOR DEVICES WITH GATE ELECTRODES WITH DOUBLE LEAVING
CN108470769A (en) * 2018-03-14 2018-08-31 上海华力集成电路制造有限公司 Fin transistor and its manufacturing method
CN109065610B (en) * 2018-08-21 2021-07-27 电子科技大学 Shielding gate device
US11488978B2 (en) * 2018-09-27 2022-11-01 Intel Corporation Ferroelectric gate oxide based tunnel feFET memory
US11610820B2 (en) * 2019-07-24 2023-03-21 Infineon Technologies LLC Embedded SONOS and high voltage select gate with a high-K metal gate and manufacturing methods of the same

Citations (174)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4711701A (en) * 1986-09-16 1987-12-08 Texas Instruments Incorporated Self-aligned transistor method
US4906589A (en) 1989-02-06 1990-03-06 Industrial Technology Research Institute Inverse-T LDDFET with self-aligned silicide
US4996574A (en) 1988-07-01 1991-02-26 Fujitsu Limited MIS transistor structure for increasing conductance between source and drain regions
US5124777A (en) 1990-07-02 1992-06-23 Samsung Electronics Co., Ltd. Dielectric medium for capacitor of semiconductor device
US5266518A (en) * 1989-09-14 1993-11-30 U.S. Philips Corporation Method of manufacturing a semiconductor body comprising a mesa
US5338959A (en) 1992-03-30 1994-08-16 Samsung Electronics Co., Ltd. Thin film transistor with three dimensional multichannel structure
US5346839A (en) 1991-06-10 1994-09-13 Texas Instruments Incorporated Sidewall doping technique for SOI transistors
EP0623963A1 (en) 1993-05-06 1994-11-09 Siemens Aktiengesellschaft MOSFET on SOI substrate
US5391506A (en) 1992-01-31 1995-02-21 Kawasaki Steel Corporation Manufacturing method for semiconductor devices with source/drain formed in substrate projection.
US5466621A (en) 1988-11-21 1995-11-14 Hitachi, Ltd. Method of manufacturing a semiconductor device having silicon islands
US5521859A (en) 1991-03-20 1996-05-28 Fujitsu Limited Semiconductor memory device having thin film transistor and method of producing the same
US5545586A (en) 1990-11-27 1996-08-13 Nec Corporation Method of making a transistor having easily controllable impurity profile
US5563077A (en) 1992-04-24 1996-10-08 Hyundai Electronics Industries Co., Ltd. Method of fabricating a thin film transistor having vertical channel
US5576227A (en) * 1994-11-02 1996-11-19 United Microelectronics Corp. Process for fabricating a recessed gate MOS device
US5578513A (en) 1993-09-17 1996-11-26 Mitsubishi Denki Kabushiki Kaisha Method of making a semiconductor device having a gate all around type of thin film transistor
US5658806A (en) 1995-10-26 1997-08-19 National Science Council Method for fabricating thin-film transistor with bottom-gate or dual-gate configuration
US5693542A (en) * 1994-12-26 1997-12-02 Hyundai Electronics Industries Co., Ltd. Method for forming a transistor with a trench
US5701016A (en) 1994-11-24 1997-12-23 Kabushiki Kaisha Toshiba Semiconductor device and method for its manufacture
US5716879A (en) 1994-12-15 1998-02-10 Goldstar Electron Company, Ltd. Method of making a thin film transistor
US5814895A (en) 1995-12-22 1998-09-29 Sony Corporation Static random access memory having transistor elements formed on side walls of a trench in a semiconductor substrate
US5814544A (en) * 1994-07-14 1998-09-29 Vlsi Technology, Inc. Forming a MOS transistor with a recessed channel
US5821629A (en) 1994-10-04 1998-10-13 United Microelectronics Corporation Buried structure SRAM cell and methods for fabrication
US5827769A (en) 1996-11-20 1998-10-27 Intel Corporation Method for fabricating a transistor with increased hot carrier resistance by nitridizing and annealing the sidewall oxide of the gate electrode
US5856225A (en) * 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
US5889304A (en) * 1996-06-28 1999-03-30 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US5888309A (en) 1997-12-29 1999-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma
US5905285A (en) 1996-09-12 1999-05-18 Advanced Micro Devices, Inc. Ultra short trench transistors and process for making same
US5908313A (en) * 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
US5985726A (en) * 1998-11-06 1999-11-16 Advanced Micro Devices, Inc. Damascene process for forming ultra-shallow source/drain extensions and pocket in ULSI MOSFET
US6010921A (en) * 1997-05-23 2000-01-04 Sharp Kabushiki Kaisha Method of fabricating a field-effect transistor utilizing an SOI substrate
US6054355A (en) * 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
US6087208A (en) * 1998-03-31 2000-07-11 Advanced Micro Devices, Inc. Method for increasing gate capacitance by using both high and low dielectric gate material
US6093947A (en) * 1998-08-19 2000-07-25 International Business Machines Corporation Recessed-gate MOSFET with out-diffused source/drain extension
US6114206A (en) * 1998-11-06 2000-09-05 Advanced Micro Devices, Inc. Multiple threshold voltage transistor implemented by a damascene process
US6117741A (en) * 1998-01-09 2000-09-12 Texas Instruments Incorporated Method of forming a transistor having an improved sidewall gate structure
US6124177A (en) * 1999-08-13 2000-09-26 Taiwan Semiconductor Manufacturing Company Method for making deep sub-micron mosfet structures having improved electrical characteristics
US6163053A (en) 1996-11-06 2000-12-19 Ricoh Company, Ltd. Semiconductor device having opposite-polarity region under channel
US6200865B1 (en) * 1998-12-04 2001-03-13 Advanced Micro Devices, Inc. Use of sacrificial dielectric structure to form semiconductor device with a self-aligned threshold adjust and overlying low-resistance gate
US6251763B1 (en) * 1997-06-30 2001-06-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
US6252284B1 (en) 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
US6262456B1 (en) * 1998-11-06 2001-07-17 Advanced Micro Devices, Inc. Integrated circuit having transistors with different threshold voltages
US20010026985A1 (en) * 2000-03-29 2001-10-04 Kim Moon Jung Fabrication method of submicron gate using anisotropic etching
US6319807B1 (en) * 2000-02-07 2001-11-20 United Microelectronics Corp. Method for forming a semiconductor device by using reverse-offset spacer process
US20020011612A1 (en) 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6346450B1 (en) * 1996-12-16 2002-02-12 Commissariat A L'energie Atomique Process for manufacturing MIS transistor with self-aligned metal grid
US6358800B1 (en) * 2000-09-18 2002-03-19 Vanguard International Semiconductor Corporation Method of forming a MOSFET with a recessed-gate having a channel length beyond photolithography limit
US20020036290A1 (en) 2000-09-28 2002-03-28 Kabushiki Kaisha Toshiba Semiconductor device having MIS field effect transistors or three-dimensional structure
US20020037619A1 (en) * 2000-09-22 2002-03-28 Kohei Sugihara Semiconductor device and method of producing the same
US6376317B1 (en) 1998-03-30 2002-04-23 Micron Technology, Inc. Methods for dual-gated transistors
EP1202335A2 (en) 2000-10-18 2002-05-02 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6391782B1 (en) 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming multiple active lines and gate-all-around MOSFET
US6396108B1 (en) 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
WO2002043151A1 (en) 2000-11-22 2002-05-30 Hitachi, Ltd Semiconductor device and method for fabricating the same
US6407442B2 (en) 1994-10-28 2002-06-18 Canon Kabushiki Kaisha Semiconductor device, and operating device, signal converter, and signal processing system using the same semiconductor device
US20020081794A1 (en) 2000-12-26 2002-06-27 Nec Corporation Enhanced deposition control in fabricating devices in a semiconductor wafer
US6413877B1 (en) 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US20020142529A1 (en) * 2001-03-29 2002-10-03 Satoshi Matsuda Semiconductor device comprising buried channel region and method for manufacturing the same
US6465290B1 (en) * 2000-03-27 2002-10-15 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device using a polymer film pattern
US6475890B1 (en) 2001-02-12 2002-11-05 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with an upside down T-shaped semiconductor pillar in SOI technology
US6475869B1 (en) 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6479866B1 (en) * 2000-11-14 2002-11-12 Advanced Micro Devices, Inc. SOI device with self-aligned selective damage implant, and method
US20020166838A1 (en) 2001-05-10 2002-11-14 Institute Of Microelectronics Sloped trench etching process
US20020167007A1 (en) 1998-12-29 2002-11-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6483151B2 (en) * 2000-01-21 2002-11-19 Nec Corporation Semiconductor device and method of manufacturing the same
US6483156B1 (en) 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
US20030042542A1 (en) 1996-04-26 2003-03-06 Shigeto Maegawa Semiconductor device having a thin film transistor and manufacturing method thereof
US20030057486A1 (en) 2001-09-27 2003-03-27 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US20030067017A1 (en) 2001-10-05 2003-04-10 Meikei Ieong Variable threshold voltage double gated transistors and method of fabrication
US20030085194A1 (en) 2001-11-07 2003-05-08 Hopkins Dean A. Method for fabricating close spaced mirror arrays
US6562687B1 (en) * 1999-01-15 2003-05-13 Commissariat A L'energie Atomique MIS transistor and method for making same on a semiconductor substrate
US6562665B1 (en) 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
US20030098479A1 (en) * 1999-12-30 2003-05-29 Anand Murthy Novel MOS transistor structure and method of fabrication
US20030098488A1 (en) 2001-11-27 2003-05-29 O'keeffe James Band-structure modulation of nano-structures in an electric field
US20030102497A1 (en) 2001-12-04 2003-06-05 International Business Machines Corporation Multiple-plane finFET CMOS
US20030102518A1 (en) 2001-12-04 2003-06-05 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US20030111686A1 (en) 2001-12-13 2003-06-19 Nowak Edward J. Method for forming asymmetric dual gate transistor
US20030122186A1 (en) 2001-03-13 2003-07-03 Nat'l. Inst. Of Advanced Indust'l Sci. And Tech. Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same
US20030143791A1 (en) 2002-01-29 2003-07-31 Samsung Electronics Co., Ltd. Methods for fabricating MOS transistors with notched gate electrodes
US6605498B1 (en) * 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US20030151077A1 (en) 2002-02-13 2003-08-14 Leo Mathew Method of forming a vertical double gate semiconductor device and structure thereof
US6611029B1 (en) 2002-11-08 2003-08-26 Advanced Micro Devices, Inc. Double gate semiconductor device having separate gates
US20030190766A1 (en) * 2002-04-08 2003-10-09 Micron Technology, Inc. Process for making a silicon-on-insulator ledge and structures achieved thereby
JP2003298051A (en) 2002-01-30 2003-10-17 Soko Lee Double-gate fet device and manufacturing method of the same
US6635909B2 (en) 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6645797B1 (en) 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
US6660598B2 (en) * 2002-02-26 2003-12-09 International Business Machines Corporation Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region
US20030227036A1 (en) 2002-02-22 2003-12-11 Naoharu Sugiyama Semiconductor device
US6680240B1 (en) 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US20040029345A1 (en) * 2000-06-09 2004-02-12 Simon Deleonibus Damascene architecture electronics storage and method for making same
US20040031979A1 (en) 2002-06-07 2004-02-19 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20040036126A1 (en) 2002-08-23 2004-02-26 Chau Robert S. Tri-gate devices and methods of fabrication
US20040036118A1 (en) 2002-08-26 2004-02-26 International Business Machines Corporation Concurrent Fin-FET and thick-body device fabrication
US20040038436A1 (en) * 2002-08-09 2004-02-26 Hitachi, Ltd. Method of manufacturing a semiconductor integrated circuit device
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6709982B1 (en) 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6713396B2 (en) 2002-04-29 2004-03-30 Hewlett-Packard Development Company, L.P. Method of fabricating high density sub-lithographic features on a substrate
US20040063286A1 (en) * 2002-10-01 2004-04-01 Kim Sung-Min Field effect transistors having multiple stacked channels
US20040061178A1 (en) 2002-09-30 2004-04-01 Advanced Micro Devices Inc. Finfet having improved carrier mobility and method of its formation
US6716684B1 (en) 2000-11-13 2004-04-06 Advanced Micro Devices, Inc. Method of making a self-aligned triple gate silicon-on-insulator device
US6716690B1 (en) 2003-03-12 2004-04-06 Advanced Micro Devices, Inc. Uniformly doped source/drain junction in a double-gate MOSFET
US6730964B2 (en) 1997-07-22 2004-05-04 Hitachi, Ltd. Semiconductor device and method of producing the same
US20040092062A1 (en) 2002-11-08 2004-05-13 Ahmed Shibly S. Planarizing gate material to improve gate critical dimension in semiconductor devices
US20040092067A1 (en) 2001-05-24 2004-05-13 International Business Machines Corporation Damascene double-gate MOSFET with vertical channel regions
US20040099903A1 (en) 2002-11-26 2004-05-27 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel multiple-gate transistor
US20040110097A1 (en) 2002-12-06 2004-06-10 Ahmed Shibly S. Double gate semiconductor device having a metal gate
US20040108558A1 (en) * 2002-12-06 2004-06-10 Kwak Byung Il Transistor of semiconductor device, and method for manufacturing the same
US20040119100A1 (en) 2002-12-19 2004-06-24 International Business Machines Corporation Dense dual-plane devices
US6756657B1 (en) 1993-06-25 2004-06-29 Semiconductor Energy Laboratory Co., Ltd. Method of preparing a semiconductor having controlled crystal orientation
US20040124492A1 (en) * 2002-09-12 2004-07-01 Kouji Matsuo Semiconductor device and method of manufacturing the same
WO2004059726A1 (en) 2002-12-20 2004-07-15 International Business Machines Corporation Integrated antifuse structure for finfet and cmos devices
US6764884B1 (en) 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
US20040166642A1 (en) 2003-02-20 2004-08-26 Hao-Yu Chen Semiconductor nano-rod devices
US20040169221A1 (en) * 2003-02-28 2004-09-02 Samsung Electronics Co., Ltd. MOS transistor with elevated source and drain structures and method of fabrication thereof
US6790733B1 (en) 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
US20040180491A1 (en) 2003-03-13 2004-09-16 Nobutoshi Arai Memory function body, particle forming method therefor and, memory device, semiconductor device, and electronic equipment having the memory function body
US6794313B1 (en) 2002-09-20 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation process to improve polysilicon sidewall roughness
US20040191980A1 (en) 2003-03-27 2004-09-30 Rafael Rios Multi-corner FET for better immunity from short channel effects
US6800885B1 (en) 2003-03-12 2004-10-05 Advance Micro Devices, Inc. Asymmetrical double gate or all-around gate MOSFET devices and methods for making same
US20040195624A1 (en) 2003-04-04 2004-10-07 National Taiwan University Strained silicon fin field effect transistor
US20040198003A1 (en) 2003-03-26 2004-10-07 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple-gate transistors with improved gate control
US20040197975A1 (en) 2003-01-23 2004-10-07 Zoran Krivokapic Narrow fin finfet
US20040219780A1 (en) 2003-04-30 2004-11-04 Elpida Memory, Inc Manufacturing method of semiconductor device
US20040227187A1 (en) 2003-02-13 2004-11-18 Zhiyuan Cheng Integrated semiconductor device and method to make same
US20040238887A1 (en) 2001-07-05 2004-12-02 Fumiyuki Nihey Field-effect transistor constituting channel by carbon nano tubes
US6830998B1 (en) * 2003-06-17 2004-12-14 Advanced Micro Devices, Inc. Gate dielectric quality for replacement metal gate transistors
US20040256647A1 (en) 2003-06-23 2004-12-23 Sharp Laboratories Of America Inc. Strained silicon finFET device
US6835618B1 (en) 2003-08-05 2004-12-28 Advanced Micro Devices, Inc. Epitaxially grown fin for FinFET
US20040262699A1 (en) 2003-06-30 2004-12-30 Rafael Rios N-gate transistor
US20040262683A1 (en) 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US6838322B2 (en) 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US20050003612A1 (en) * 2003-07-03 2005-01-06 Hackler Douglas R. Sram cell
US20050017377A1 (en) 2003-07-21 2005-01-27 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
US20050023535A1 (en) * 2001-10-24 2005-02-03 Saptharishi Sriram Methods of fabricating delta doped silicon carbide metal-semiconductor field effect transistors having a gate disposed in a double recess structure
US20050035415A1 (en) 2003-08-13 2005-02-17 Yee-Chia Yeo Multiple-gate transistors formed on bulk substrates
US6864540B1 (en) * 2004-05-21 2005-03-08 International Business Machines Corp. High performance FET with elevated source/drain region
US6867433B2 (en) 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US6869868B2 (en) 2002-12-13 2005-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a MOSFET device with metal containing gate structures
US6884154B2 (en) 2000-02-23 2005-04-26 Shin-Etsu Handotai Co., Ltd. Method for apparatus for polishing outer peripheral chamfered part of wafer
US20050093075A1 (en) * 2003-10-31 2005-05-05 Bentum Ralf V. Advanced technique for forming a transistor having raised drain and source regions
US20050093154A1 (en) 2003-07-25 2005-05-05 Interuniversitair Microelektronica Centrum (Imec Vzw) Multiple gate semiconductor device and method for forming same
US20050118790A1 (en) 2003-12-01 2005-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for dicing semiconductor wafers
US20050127362A1 (en) 2003-12-10 2005-06-16 Ying Zhang Sectional field effect devices and method of fabrication
US20050145941A1 (en) 2004-01-07 2005-07-07 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same
US20050153494A1 (en) * 2004-01-09 2005-07-14 International Business Machines Corporation Method of forming fet silicide gate structures incorporating inner spacers
US20050156202A1 (en) 2004-01-17 2005-07-21 Hwa-Sung Rhee At least penta-sided-channel type of FinFET transistor
US20050156171A1 (en) 2003-12-30 2005-07-21 Brask Justin K. Nonplanar transistors with metal gate electrodes
EP1566844A2 (en) 2004-02-20 2005-08-24 Samsung Electronics Co., Ltd. Multi-gate transistor and method for manufacturing the same
US20050184316A1 (en) 2003-07-23 2005-08-25 Kim Young-Pil Fin field effect transistors having multi-layer fin patterns and methods of forming the same
US20050191795A1 (en) 2004-03-01 2005-09-01 Dureseti Chidambarrao Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
US20050224800A1 (en) 2004-03-31 2005-10-13 Nick Lindert Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050227498A1 (en) 2004-03-31 2005-10-13 International Business Machines Corporation Method for fabricating strained silicon-on-insulator structures and strained silicon-on insulator structures formed thereby
US20050224797A1 (en) 2004-04-01 2005-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS fabricated on different crystallographic orientation substrates
US20050230763A1 (en) 2004-04-15 2005-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a microelectronic device with electrode perturbing sill
US20050266645A1 (en) * 2004-05-25 2005-12-01 Jin-Jun Park Phosphorous doping methods of manufacturing field effect transistors having multiple stacked channels
US20050272192A1 (en) * 2004-06-04 2005-12-08 Chang-Woo Oh Methods of forming fin field effect transistors using oxidation barrier layers and related devices
US6974738B2 (en) 2003-06-27 2005-12-13 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US6975014B1 (en) * 2001-01-09 2005-12-13 Advanced Micro Devices, Inc. Method for making an ultra thin FDSOI device with improved short-channel performance
US20060014338A1 (en) 2004-06-30 2006-01-19 International Business Machines Corporation Method and structure for strained finfet devices
US6998301B1 (en) * 2003-09-03 2006-02-14 Advanced Micro Devices, Inc. Method for forming a tri-gate MOSFET
US6998318B2 (en) * 2002-07-26 2006-02-14 Dongbuanam Semiconductor Inc. Method for forming short-channel transistors
US20060068591A1 (en) * 2004-09-29 2006-03-30 Marko Radosavljevic Fabrication of channel wraparound gate structure for field-effect transistor
US20060091432A1 (en) * 2004-11-02 2006-05-04 International Business Machines Corporation Damascene gate field effect transistor with an internal spacer structure
US7041601B1 (en) * 2003-09-03 2006-05-09 Advanced Micro Devices, Inc. Method of manufacturing metal gate MOSFET with strained channel
US7045407B2 (en) * 2003-12-30 2006-05-16 Intel Corporation Amorphous etch stop for the anisotropic etching of substrates
US7056794B2 (en) * 2004-01-09 2006-06-06 International Business Machines Corporation FET gate structure with metal gate electrode and silicide contact
US20060240622A1 (en) * 2005-04-21 2006-10-26 Samsung Electronics Co., Ltd. Multi-channel semiconductor device and method of manufacturing the same
US20070023795A1 (en) * 2005-07-15 2007-02-01 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US20070108514A1 (en) * 2003-04-28 2007-05-17 Akira Inoue Semiconductor device and method of fabricating the same
US20070148937A1 (en) * 2002-03-29 2007-06-28 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of semiconductor device
US7247547B2 (en) * 2005-01-05 2007-07-24 International Business Machines Corporation Method of fabricating a field effect transistor having improved junctions
US7354817B2 (en) * 2002-08-19 2008-04-08 Fujitsu Limited Semiconductor device, manufacturing method thereof, and CMOS integrated circuit device
US20080102586A1 (en) * 2004-05-25 2008-05-01 Samsung Electronics Co., Ltd. Phosphorous doping methods of manufacturing field effect transistors having multiple stacked channels
US7396730B2 (en) * 2004-02-11 2008-07-08 Samsung Electronics Co., Ltd. Integrated circuit devices including an L-shaped depletion barrier layer adjacent opposite sides of a gate pattern and methods of forming the same

Family Cites Families (331)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4231149A (en) 1978-10-10 1980-11-04 Texas Instruments Incorporated Narrow band-gap semiconductor CCD imaging device and method of fabrication
JPS5673454U (en) 1979-11-09 1981-06-16
JPS5673454A (en) 1979-11-21 1981-06-18 Sumitomo Metal Mining Co Ltd Manufacture of stepped semiconductor substrate
JPS59145538U (en) 1983-03-22 1984-09-28 日本電気株式会社 High-frequency heating high-pressure zone "Ku" molten material synthesis furnace
JPS59145538A (en) 1983-10-21 1984-08-21 Hitachi Ltd Semiconductor integrated circuit device
GB2156149A (en) 1984-03-14 1985-10-02 Philips Electronic Associated Dielectrically-isolated integrated circuit manufacture
US4487652A (en) 1984-03-30 1984-12-11 Motorola, Inc. Slope etch of polyimide
US5514885A (en) 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4818715A (en) 1987-07-09 1989-04-04 Industrial Technology Research Institute Method of fabricating a LDDFET with self-aligned silicide
US4907048A (en) 1987-11-23 1990-03-06 Xerox Corporation Double implanted LDD transistor self-aligned with gate
JPH065856Y2 (en) 1988-03-29 1994-02-16 株式会社名機製作所 Mold clamping device
US4905063A (en) 1988-06-21 1990-02-27 American Telephone And Telegraph Company, At&T Bell Laboratories Floating gate memories
KR910010043B1 (en) 1988-07-28 1991-12-10 한국전기통신공사 Microscopic line forming method for using spacer
JPH0294477A (en) * 1988-09-30 1990-04-05 Toshiba Corp Semiconductor device and manufacture thereof
US4994873A (en) 1988-10-17 1991-02-19 Motorola, Inc. Local interconnect for stacked polysilicon device
JPH02302044A (en) 1989-05-16 1990-12-14 Fujitsu Ltd Manufacture of semiconductor device
JP2553702B2 (en) 1989-05-18 1996-11-13 日産自動車株式会社 Semiconductor device and manufacturing method thereof
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5278102A (en) 1990-08-18 1994-01-11 Fujitsu Limited SOI device and a fabrication process thereof
JP3061406B2 (en) 1990-09-28 2000-07-10 株式会社東芝 Semiconductor device
DE69213539T2 (en) 1991-04-26 1997-02-20 Canon Kk Semiconductor device with improved insulated gate transistor
JPH05152293A (en) 1991-04-30 1993-06-18 Sgs Thomson Microelectron Inc Stepped wall interconnector and manufacture of gate
US5346836A (en) 1991-06-06 1994-09-13 Micron Technology, Inc. Process for forming low resistance contacts between silicide areas and upper level polysilicon interconnects
US5179037A (en) 1991-12-24 1993-01-12 Texas Instruments Incorporated Integration of lateral and vertical quantum well transistors in the same epitaxial stack
JPH05243572A (en) 1992-02-27 1993-09-21 Fujitsu Ltd Semiconductor device
US5405454A (en) 1992-03-19 1995-04-11 Matsushita Electric Industrial Co., Ltd. Electrically insulated silicon structure and producing method therefor
JPH065856A (en) 1992-06-19 1994-01-14 Kawasaki Steel Corp Semiconductor device
JPH06151387A (en) 1992-11-10 1994-05-31 Nippon Telegr & Teleph Corp <Ntt> Precision processing method of silicon
JPH06177089A (en) 1992-12-04 1994-06-24 Fujitsu Ltd Manufacture of semiconductor device
JP3260194B2 (en) 1993-01-21 2002-02-25 新日本製鐵株式会社 MOS field-effect transistor and nonvolatile semiconductor memory device
KR960002088B1 (en) 1993-02-17 1996-02-10 삼성전자주식회사 Making method of semiconductor device with soi structure
US5357119A (en) 1993-02-19 1994-10-18 Board Of Regents Of The University Of California Field effect devices having short period superlattice structures using Si and Ge
JPH06310547A (en) 1993-02-25 1994-11-04 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
US5739544A (en) 1993-05-26 1998-04-14 Matsushita Electric Industrial Co., Ltd. Quantization functional device utilizing a resonance tunneling effect and method for producing the same
GB2282736B (en) 1993-05-28 1996-12-11 Nec Corp Radio base station for a mobile communications system
JP3778581B2 (en) 1993-07-05 2006-05-24 三菱電機株式会社 Semiconductor device and manufacturing method thereof
US5554870A (en) 1994-02-04 1996-09-10 Motorola, Inc. Integrated circuit having both vertical and horizontal devices and process for making the same
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
JP3317582B2 (en) 1994-06-01 2002-08-26 菱電セミコンダクタシステムエンジニアリング株式会社 Method of forming fine pattern
JP3361922B2 (en) 1994-09-13 2003-01-07 株式会社東芝 Semiconductor device
JP3378414B2 (en) 1994-09-14 2003-02-17 株式会社東芝 Semiconductor device
JPH08153880A (en) 1994-09-29 1996-06-11 Toshiba Corp Semiconductor device and fabrication thereof
US5728594A (en) 1994-11-02 1998-03-17 Texas Instruments Incorporated Method of making a multiple transistor integrated circuit with thick copper interconnect
JP3078720B2 (en) 1994-11-02 2000-08-21 三菱電機株式会社 Semiconductor device and manufacturing method thereof
US6366682B1 (en) * 1994-11-28 2002-04-02 Indivos Corporation Tokenless electronic transaction system
JPH08204191A (en) 1995-01-20 1996-08-09 Sony Corp Field-effect transistor and its manufacture
US5665203A (en) 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
JP3303601B2 (en) 1995-05-19 2002-07-22 日産自動車株式会社 Groove type semiconductor device
US5953602A (en) * 1995-05-26 1999-09-14 Lg Semicon Co., Ltd. EEPROM cell and related method of making thereof
KR0165398B1 (en) 1995-05-26 1998-12-15 윤종용 Vertical transistor manufacturing method
JP2845186B2 (en) 1995-12-05 1999-01-13 日本電気株式会社 Semiconductor device and manufacturing method thereof
KR0175119B1 (en) * 1995-12-06 1999-04-01 정지택 Manufacturing Method of Recessed Channel MOSFET Using Reverse Side Wall
TW324101B (en) * 1995-12-21 1998-01-01 Hitachi Ltd Semiconductor integrated circuit and its working method
KR100205442B1 (en) 1995-12-26 1999-07-01 구본준 Thin film transistor and method of fabricating the same
US5595919A (en) 1996-02-20 1997-01-21 Chartered Semiconductor Manufacturing Pte Ltd. Method of making self-aligned halo process for reducing junction capacitance
DE19607209A1 (en) 1996-02-26 1997-08-28 Gregor Kohlruss Cleaning device for cleaning flat objects
EP0802483B1 (en) * 1996-04-18 2002-01-30 STMicroelectronics S.r.l. Semiconductor memory device with row redundancy
US6130602A (en) * 1996-05-13 2000-10-10 Micron Technology, Inc. Radio frequency data communications device
US5793088A (en) 1996-06-18 1998-08-11 Integrated Device Technology, Inc. Structure for controlling threshold voltage of MOSFET
TW548686B (en) 1996-07-11 2003-08-21 Semiconductor Energy Lab CMOS semiconductor device and apparatus using the same
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6063675A (en) 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate with a sidewall dielectric
US6063677A (en) 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate and raised source and drain
JPH10150185A (en) 1996-11-20 1998-06-02 Mitsubishi Electric Corp Semiconductor device and its manufacture
US5773331A (en) 1996-12-17 1998-06-30 International Business Machines Corporation Method for making single and double gate field effect transistors with sidewall source-drain contacts
JP4086926B2 (en) 1997-01-29 2008-05-14 富士通株式会社 Semiconductor device and manufacturing method thereof
US6849557B1 (en) * 1997-04-30 2005-02-01 Micron Technology, Inc. Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide
JPH118390A (en) 1997-06-18 1999-01-12 Mitsubishi Electric Corp Semiconductor device and its manufacture
US5952701A (en) 1997-08-18 1999-09-14 National Semiconductor Corporation Design and fabrication of semiconductor structure having complementary channel-junction insulated-gate field-effect transistors whose gate electrodes have work functions close to mid-gap semiconductor value
US5776821A (en) 1997-08-22 1998-07-07 Vlsi Technology, Inc. Method for forming a reduced width gate electrode
US5852540A (en) * 1997-09-24 1998-12-22 Intel Corporation Circuit for protecting the input/output stage of a low voltage integrated circuit device from a failure of the internal voltage supply or a difference in the power-up sequencing of supply voltage levels
US6066869A (en) 1997-10-06 2000-05-23 Micron Technology, Inc. Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor
US5976767A (en) 1997-10-09 1999-11-02 Micron Technology, Inc. Ammonium hydroxide etch of photoresist masked silicon
US6120846A (en) 1997-12-23 2000-09-19 Advanced Technology Materials, Inc. Method for the selective deposition of bismuth based ferroelectric thin films by chemical vapor deposition
US6294416B1 (en) 1998-01-23 2001-09-25 Texas Instruments-Acer Incorporated Method of fabricating CMOS transistors with self-aligned planarization twin-well by using fewer mask counts
US5994747A (en) * 1998-02-13 1999-11-30 Texas Instruments-Acer Incorporated MOSFETs with recessed self-aligned silicide gradual S/D junction
US6307235B1 (en) 1998-03-30 2001-10-23 Micron Technology, Inc. Another technique for gated lateral bipolar transistors
US6215190B1 (en) 1998-05-12 2001-04-10 International Business Machines Corporation Borderless contact to diffusion with respect to gate conductor and methods for fabricating
US6232641B1 (en) 1998-05-29 2001-05-15 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6114201A (en) 1998-06-01 2000-09-05 Texas Instruments-Acer Incorporated Method of manufacturing a multiple fin-shaped capacitor for high density DRAMs
US6317444B1 (en) 1998-06-12 2001-11-13 Agere System Optoelectronics Guardian Corp. Optical device including carbon-doped contact layers
US6165880A (en) 1998-06-15 2000-12-26 Taiwan Semiconductor Manufacturing Company Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
FR2779849B1 (en) * 1998-06-15 2000-07-28 Schlumberger Ind Sa SECURE INTEGRATED CIRCUIT DEVICE USING COMPLEMENTARY BUS LINES
US6130123A (en) 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
US6130454A (en) * 1998-07-07 2000-10-10 Advanced Micro Devices, Inc. Gate conductor formed within a trench bounded by slanted sidewalls
JP2000037842A (en) 1998-07-27 2000-02-08 Dainippon Printing Co Ltd Electromagnetic wave absorbing decorative material
US6696366B1 (en) 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
JP2000156502A (en) 1998-09-21 2000-06-06 Texas Instr Inc <Ti> Integrated circuit and method
US6153485A (en) 1998-11-09 2000-11-28 Chartered Semiconductor Manufacturing Ltd. Salicide formation on narrow poly lines by pulling back of spacer
US6362111B1 (en) 1998-12-09 2002-03-26 Texas Instruments Incorporated Tunable gate linewidth reduction process
TW406312B (en) 1998-12-18 2000-09-21 United Microelectronics Corp The method of etching doped poly-silicon
TW449919B (en) 1998-12-18 2001-08-11 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US6150222A (en) 1999-01-07 2000-11-21 Advanced Micro Devices, Inc. Method of making a high performance transistor with elevated spacer formation and self-aligned channel regions
US6174820B1 (en) 1999-02-16 2001-01-16 Sandia Corporation Use of silicon oxynitride as a sacrificial material for microelectromechanical devices
JP2000243854A (en) 1999-02-22 2000-09-08 Toshiba Corp Semiconductor device and its manufacture
US6093621A (en) 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US7045468B2 (en) 1999-04-09 2006-05-16 Intel Corporation Isolated junction structure and method of manufacture
US6459123B1 (en) 1999-04-30 2002-10-01 Infineon Technologies Richmond, Lp Double gated transistor
DE60001601T2 (en) 1999-06-18 2003-12-18 Lucent Technologies Inc Manufacturing process for manufacturing a CMOS integrated circuit with vertical transistors
JP2001015704A (en) 1999-06-29 2001-01-19 Hitachi Ltd Semiconductor integrated circuit
US6218309B1 (en) 1999-06-30 2001-04-17 Lam Research Corporation Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
US6501131B1 (en) 1999-07-22 2002-12-31 International Business Machines Corporation Transistors having independently adjustable parameters
TW432594B (en) 1999-07-31 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method for shallow trench isolation
US6259135B1 (en) 1999-09-24 2001-07-10 International Business Machines Corporation MOS transistors structure for reducing the size of pitch limited circuits
FR2799305B1 (en) 1999-10-05 2004-06-18 St Microelectronics Sa METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE WITH ENVELOPING GRID AND DEVICE OBTAINED
EP1091413A3 (en) 1999-10-06 2005-01-12 Lsi Logic Corporation Fully-depleted, fully-inverted, short-length and vertical channel, dual-gate, cmos fet
US6541829B2 (en) 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
KR100311049B1 (en) 1999-12-13 2001-10-12 윤종용 Nonvolatile semiconductor memory device and manufacturing method thereof
US6303479B1 (en) 1999-12-16 2001-10-16 Spinnaker Semiconductor, Inc. Method of manufacturing a short-channel FET with Schottky-barrier source and drain contacts
JP4923318B2 (en) 1999-12-17 2012-04-25 ソニー株式会社 Nonvolatile semiconductor memory device and operation method thereof
JP4194237B2 (en) 1999-12-28 2008-12-10 株式会社リコー Voltage generation circuit and reference voltage source circuit using field effect transistor
US6956263B1 (en) * 1999-12-28 2005-10-18 Intel Corporation Field effect transistor structure with self-aligned raised source/drain extensions
US6352111B1 (en) * 2000-01-11 2002-03-05 Weatherford/Lamb, Inc. Filter for subterranean wells
FR2806832B1 (en) 2000-03-22 2002-10-25 Commissariat Energie Atomique METAL SOURCE AND DRAIN MOS TRANSISTOR, AND METHOD FOR MANUFACTURING SUCH A TRANSISTOR
US6509586B2 (en) * 2000-03-31 2003-01-21 Fujitsu Limited Semiconductor device, method for fabricating the semiconductor device and semiconductor integrated circuit
TW466606B (en) 2000-04-20 2001-12-01 United Microelectronics Corp Manufacturing method for dual metal gate electrode
US6686616B1 (en) * 2000-05-10 2004-02-03 Cree, Inc. Silicon carbide metal-semiconductor field effect transistors
JP2001338987A (en) 2000-05-26 2001-12-07 Nec Microsystems Ltd Forming method of shallow trench isolation region of mos transistor
US6526996B1 (en) 2000-06-12 2003-03-04 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
KR100545706B1 (en) 2000-06-28 2006-01-24 주식회사 하이닉스반도체 Semiconductor device manufacturing method
JP4112358B2 (en) 2000-07-04 2008-07-02 インフィネオン テクノロジーズ アクチエンゲゼルシャフト Field effect transistor
JP2002047034A (en) * 2000-07-31 2002-02-12 Shinetsu Quartz Prod Co Ltd Quarts glass jig for process device utilizing plasma
US6403981B1 (en) 2000-08-07 2002-06-11 Advanced Micro Devices, Inc. Double gate transistor having a silicon/germanium channel region
KR100338778B1 (en) 2000-08-21 2002-05-31 윤종용 Method for fabricating MOS transistor using selective silicide process
US6387820B1 (en) 2000-09-19 2002-05-14 Advanced Micro Devices, Inc. BC13/AR chemistry for metal overetching on a high density plasma etcher
US6645840B2 (en) * 2000-10-19 2003-11-11 Texas Instruments Incorporated Multi-layered polysilicon process
US6472258B1 (en) 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
JP2002198441A (en) 2000-11-16 2002-07-12 Hynix Semiconductor Inc Method for forming dual metal gate of semiconductor element
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US20020100942A1 (en) 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6921947B2 (en) 2000-12-15 2005-07-26 Renesas Technology Corp. Semiconductor device having recessed isolation insulation film
US6537901B2 (en) 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
TW561530B (en) 2001-01-03 2003-11-11 Macronix Int Co Ltd Process for fabricating CMOS transistor of IC devices employing double spacers for preventing short-channel effect
US6359311B1 (en) 2001-01-17 2002-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-surrounding gate and a method of fabricating a silicon-on-insulator semiconductor device with the same
US6787424B1 (en) * 2001-02-09 2004-09-07 Advanced Micro Devices, Inc. Fully depleted SOI transistor with elevated source and drain
US6403434B1 (en) 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US6297117B1 (en) * 2001-02-12 2001-10-02 Advanced Micro Devices, Inc. Formation of confined halo regions in field effect transistor
JP2002246310A (en) 2001-02-14 2002-08-30 Sony Corp Method of forming thin semiconductor film, method of manufacturing semiconductor device, device used for executing the methods, and electro-optic device
TW582071B (en) 2001-03-20 2004-04-01 Macronix Int Co Ltd Method for etching metal in a semiconductor
JP2002298051A (en) 2001-03-30 2002-10-11 Mizuho Bank Ltd Point exchange service system
US6458662B1 (en) 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
KR100414217B1 (en) 2001-04-12 2004-01-07 삼성전자주식회사 Semiconductor device having gate all around type transistor and method of forming the same
US6645861B2 (en) 2001-04-18 2003-11-11 International Business Machines Corporation Self-aligned silicide process for silicon sidewall source and drain contacts
US6551886B1 (en) * 2001-04-27 2003-04-22 Advanced Micro Devices, Inc. Ultra-thin body SOI MOSFET and gate-last fabrication method
US6787402B1 (en) 2001-04-27 2004-09-07 Advanced Micro Devices, Inc. Double-gate vertical MOSFET transistor and fabrication method
US6902947B2 (en) 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US20020171107A1 (en) 2001-05-21 2002-11-21 Baohong Cheng Method for forming a semiconductor device having elevated source and drain regions
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6506692B2 (en) 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
KR100419744B1 (en) * 2001-06-28 2004-02-25 주식회사 하이닉스반도체 Method for manufacturing a transistor
DE10131276B4 (en) 2001-06-28 2007-08-02 Infineon Technologies Ag Field effect transistor and method for its production
US6737333B2 (en) 2001-07-03 2004-05-18 Texas Instruments Incorporated Semiconductor device isolation structure and method of forming
US20030008038A1 (en) * 2001-07-05 2003-01-09 The J.M. Smucker Company, An Ohio Corporation Frozen crustless sliced sandwich and method and apparatus for making same
DE10137217A1 (en) * 2001-07-30 2003-02-27 Infineon Technologies Ag Bridge field effect transistor and method for producing a bridge field effect transistor
US6534807B2 (en) 2001-08-13 2003-03-18 International Business Machines Corporation Local interconnect junction on insulator (JOI) structure
US6501141B1 (en) 2001-08-13 2002-12-31 Taiwan Semiconductor Manufacturing Company, Ltd Self-aligned contact with improved isolation and method for forming
US6764965B2 (en) 2001-08-17 2004-07-20 United Microelectronics Corp. Method for improving the coating capability of low-k dielectric layer
JP2003077283A (en) * 2001-08-31 2003-03-14 Hitachi Ltd Semiconductor integrated circuit, nonvolatile semiconductor memory, memory card, and microcomputer
JP2003100902A (en) 2001-09-21 2003-04-04 Mitsubishi Electric Corp Manufacturing method for semiconductor device
EP1306890A2 (en) * 2001-10-25 2003-05-02 Matsushita Electric Industrial Co., Ltd. Semiconductor substrate and device comprising SiC and method for fabricating the same
US6555879B1 (en) 2002-01-11 2003-04-29 Advanced Micro Devices, Inc. SOI device with metal source/drain and method of fabrication
US6722946B2 (en) 2002-01-17 2004-04-20 Nutool, Inc. Advanced chemical mechanical polishing system with smart endpoint detection
US6583469B1 (en) 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
DE10203998A1 (en) 2002-02-01 2003-08-21 Infineon Technologies Ag Production of a toothed structure in crystal structure in/on substrate used in production of floating gate transistor comprises forming trenches using a mask on the substrate and etching process and the unmasked region of substrate
JP2003229575A (en) 2002-02-04 2003-08-15 Hitachi Ltd Integrated semiconductor device and manufacturing method therefor
US6784071B2 (en) 2003-01-31 2004-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded SOI wafer with <100> device layer and <110> substrate for performance improvement
US6452229B1 (en) * 2002-02-21 2002-09-17 Advanced Micro Devices, Inc. Ultra-thin fully depleted SOI device with T-shaped gate and method of fabrication
JP4370104B2 (en) 2002-03-05 2009-11-25 シャープ株式会社 Semiconductor memory device
US6639827B2 (en) 2002-03-12 2003-10-28 Intel Corporation Low standby power using shadow storage
FR2838237B1 (en) * 2002-04-03 2005-02-25 St Microelectronics Sa METHOD FOR MANUFACTURING AN INSULATED GRID FIELD FIELD EFFECT TRANSISTOR WITH A CONSTANT CHANNEL AND INTEGRATED CIRCUIT COMPRISING SUCH A TRANSISTOR
FR2838238B1 (en) 2002-04-08 2005-04-15 St Microelectronics Sa SEMICONDUCTOR DEVICE WITH ENVELOPING GRID ENCAPSULATED IN AN INSULATING MEDIUM
US6762469B2 (en) 2002-04-19 2004-07-13 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
US6537885B1 (en) 2002-05-09 2003-03-25 Infineon Technologies Ag Transistor and method of manufacturing a transistor having a shallow junction formation using a two step EPI layer
US6642090B1 (en) * 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US7473947B2 (en) * 2002-07-12 2009-01-06 Intel Corporation Process for ultra-thin body SOI devices that incorporate EPI silicon tips and article made thereby
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6974729B2 (en) 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
US6919238B2 (en) 2002-07-29 2005-07-19 Intel Corporation Silicon on insulator (SOI) transistor and methods of fabrication
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
EP1387395B1 (en) 2002-07-31 2016-11-23 Micron Technology, Inc. Method for manufacturing semiconductor integrated circuit structures
US6891234B1 (en) 2004-01-07 2005-05-10 Acorn Technologies, Inc. Transistor with workfunction-induced charge layer
US6833556B2 (en) * 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US6984585B2 (en) 2002-08-12 2006-01-10 Applied Materials Inc Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
JP5179692B2 (en) 2002-08-30 2013-04-10 富士通セミコンダクター株式会社 Semiconductor memory device and manufacturing method thereof
US6770516B2 (en) 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
JP3556651B2 (en) 2002-09-27 2004-08-18 沖電気工業株式会社 Method for manufacturing semiconductor device
JP4294935B2 (en) 2002-10-17 2009-07-15 株式会社ルネサステクノロジ Semiconductor device
US6833588B2 (en) 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US6706581B1 (en) 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US6825506B2 (en) 2002-11-27 2004-11-30 Intel Corporation Field effect transistor and method of fabrication
US6821834B2 (en) 2002-12-04 2004-11-23 Yoshiyuki Ando Ion implantation methods and transistor cell layout for fin type transistors
US7728360B2 (en) 2002-12-06 2010-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple-gate transistor structure
US7214991B2 (en) 2002-12-06 2007-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS inverters configured using multiple-gate transistors
US6867425B2 (en) 2002-12-13 2005-03-15 Intel Corporation Lateral phase change memory and method therefor
US6780694B2 (en) 2003-01-08 2004-08-24 International Business Machines Corporation MOS transistor
US7259425B2 (en) 2003-01-23 2007-08-21 Advanced Micro Devices, Inc. Tri-gate and gate around MOSFET devices and methods for making same
US6803631B2 (en) 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US6885055B2 (en) 2003-02-04 2005-04-26 Lee Jong-Ho Double-gate FinFET device and fabricating method thereof
US7105894B2 (en) 2003-02-27 2006-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts to semiconductor fin devices
US6787854B1 (en) 2003-03-12 2004-09-07 Advanced Micro Devices, Inc. Method for forming a fin in a finFET device
TW582099B (en) 2003-03-13 2004-04-01 Ind Tech Res Inst Method of adhering material layer on transparent substrate and method of forming single crystal silicon on transparent substrate
JP2004296491A (en) * 2003-03-25 2004-10-21 Sanyo Electric Co Ltd Semiconductor device
US6902962B2 (en) 2003-04-04 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
US7173305B2 (en) * 2003-04-08 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact for silicon-on-insulator devices
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
JP2004319704A (en) 2003-04-15 2004-11-11 Seiko Instruments Inc Semiconductor device
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US7074656B2 (en) 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US6909147B2 (en) 2003-05-05 2005-06-21 International Business Machines Corporation Multi-height FinFETS
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
EP1643560A4 (en) 2003-05-30 2007-04-11 Matsushita Electric Ind Co Ltd Semiconductor device and method for manufacturing same
US6911383B2 (en) 2003-06-26 2005-06-28 International Business Machines Corporation Hybrid planar and finFET CMOS devices
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6716686B1 (en) 2003-07-08 2004-04-06 Advanced Micro Devices, Inc. Method for forming channels in a finfet device
US7013447B2 (en) 2003-07-22 2006-03-14 Freescale Semiconductor, Inc. Method for converting a planar transistor design to a vertical double gate transistor design
KR100487567B1 (en) 2003-07-24 2005-05-03 삼성전자주식회사 Method for fabricating a finfet in a semiconductor device
US7301206B2 (en) 2003-08-01 2007-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US6787406B1 (en) 2003-08-12 2004-09-07 Advanced Micro Devices, Inc. Systems and methods for forming dense n-channel and p-channel fins using shadow implanting
KR100496891B1 (en) 2003-08-14 2005-06-23 삼성전자주식회사 Silicon fin for finfet and method for fabricating the same
US7355253B2 (en) 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
WO2005022637A1 (en) 2003-08-28 2005-03-10 Nec Corporation Semiconductor device having fin-type field effect transistors
US6914303B2 (en) * 2003-08-28 2005-07-05 International Business Machines Corporation Ultra thin channel MOSFET
US6876042B1 (en) * 2003-09-03 2005-04-05 Advanced Micro Devices, Inc. Additional gate control for a double-gate MOSFET
US6877728B2 (en) 2003-09-04 2005-04-12 Lakin Manufacturing Corporation Suspension assembly having multiple torsion members which cooperatively provide suspension to a wheel
JP4439358B2 (en) 2003-09-05 2010-03-24 株式会社東芝 Field effect transistor and manufacturing method thereof
US6927104B2 (en) * 2003-09-15 2005-08-09 Chartered Semiconductor Manufacturing Ltd. Method of forming double-gated silicon-on-insulator (SOI) transistors with corner rounding
US7211864B2 (en) * 2003-09-15 2007-05-01 Seliskar John J Fully-depleted castellated gate MOSFET device and method of manufacture thereof
US7170126B2 (en) 2003-09-16 2007-01-30 International Business Machines Corporation Structure of vertical strained silicon devices
US6970373B2 (en) 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
US7612416B2 (en) 2003-10-09 2009-11-03 Nec Corporation Semiconductor device having a conductive portion below an interlayer insulating film and method for producing the same
US20050139860A1 (en) 2003-10-22 2005-06-30 Snyder John P. Dynamic schottky barrier MOSFET device and method of manufacture
US6946377B2 (en) 2003-10-29 2005-09-20 Texas Instruments Incorporated Multiple-gate MOSFET device with lithography independent silicon body thickness and methods for fabricating the same
KR100515061B1 (en) 2003-10-31 2005-09-14 삼성전자주식회사 Semiconductor devices having a fin field effect transistor and methods for forming the same
US7029958B2 (en) * 2003-11-04 2006-04-18 Advanced Micro Devices, Inc. Self aligned damascene gate
US6867460B1 (en) 2003-11-05 2005-03-15 International Business Machines Corporation FinFET SRAM cell with chevron FinFET logic
US6831310B1 (en) 2003-11-10 2004-12-14 Freescale Semiconductor, Inc. Integrated circuit having multiple memory types and method of formation
US6885072B1 (en) 2003-11-18 2005-04-26 Applied Intellectual Properties Co., Ltd. Nonvolatile memory with undercut trapping structure
KR100585111B1 (en) * 2003-11-24 2006-06-01 삼성전자주식회사 Non-planar transistor having germanium channel region and method for forming the same
US7545001B2 (en) 2003-11-25 2009-06-09 Taiwan Semiconductor Manufacturing Company Semiconductor device having high drive current and method of manufacture therefor
US7075150B2 (en) 2003-12-02 2006-07-11 International Business Machines Corporation Ultra-thin Si channel MOSFET using a self-aligned oxygen implant and damascene technique
US7247569B2 (en) * 2003-12-02 2007-07-24 International Business Machines Corporation Ultra-thin Si MOSFET device structure and method of manufacture
JP3962009B2 (en) * 2003-12-05 2007-08-22 株式会社東芝 Manufacturing method of semiconductor device
US7018551B2 (en) 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
JP2005183770A (en) 2003-12-22 2005-07-07 Mitsubishi Electric Corp High frequency semiconductor device
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7569882B2 (en) 2003-12-23 2009-08-04 Interuniversitair Microelektronica Centrum (Imec) Non-volatile multibit memory cell and method of manufacturing thereof
US7223679B2 (en) 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7247578B2 (en) 2003-12-30 2007-07-24 Intel Corporation Method of varying etch selectivities of a film
US7078282B2 (en) 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
KR100552058B1 (en) * 2004-01-06 2006-02-20 삼성전자주식회사 Semiconductor devices having field effect transistors and methods of fabricating the same
US7033869B1 (en) * 2004-01-13 2006-04-25 Advanced Micro Devices Strained silicon semiconductor on insulator MOSFET
US7268058B2 (en) 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
JP2005209782A (en) 2004-01-21 2005-08-04 Toshiba Corp Semiconductor device
US7250645B1 (en) 2004-01-22 2007-07-31 Advanced Micro Devices, Inc. Reversed T-shaped FinFET
US7224029B2 (en) 2004-01-28 2007-05-29 International Business Machines Corporation Method and structure to create multiple device widths in FinFET technology in both bulk and SOI
US20050170104A1 (en) * 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
KR100587672B1 (en) 2004-02-02 2006-06-08 삼성전자주식회사 Method for forming FINFET using damascene process
KR100574971B1 (en) * 2004-02-17 2006-05-02 삼성전자주식회사 Semiconductor device having multi-gate structure and method of manufacturing the same
JP4852694B2 (en) 2004-03-02 2012-01-11 独立行政法人産業技術総合研究所 Semiconductor integrated circuit and manufacturing method thereof
US6921691B1 (en) 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
US6888181B1 (en) * 2004-03-18 2005-05-03 United Microelectronics Corp. Triple gate device having strained-silicon channel
US7701018B2 (en) 2004-03-19 2010-04-20 Nec Corporation Semiconductor device and method for manufacturing same
US6881635B1 (en) * 2004-03-23 2005-04-19 International Business Machines Corporation Strained silicon NMOS devices with embedded source/drain
KR100576361B1 (en) 2004-03-23 2006-05-03 삼성전자주식회사 Three dimensional CMOS field effect transistor and method of fabricating the same
US7141480B2 (en) 2004-03-26 2006-11-28 Texas Instruments Incorporated Tri-gate low power device and method for manufacturing the same
US7176092B2 (en) * 2004-04-16 2007-02-13 Taiwan Semiconductor Manufacturing Company Gate electrode for a semiconductor fin device
KR100642632B1 (en) 2004-04-27 2006-11-10 삼성전자주식회사 Methods of fabricating a semiconductor device and semiconductor devices fabricated thereby
US7084018B1 (en) 2004-05-05 2006-08-01 Advanced Micro Devices, Inc. Sacrificial oxide for minimizing box undercut in damascene FinFET
US20050255642A1 (en) 2004-05-11 2005-11-17 Chi-Wen Liu Method of fabricating inlaid structure
KR100532564B1 (en) * 2004-05-25 2005-12-01 한국전자통신연구원 Multiple-gate MOS transistor and a method for manufacturing the same
US7049200B2 (en) * 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US6955961B1 (en) 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
WO2005122276A1 (en) 2004-06-10 2005-12-22 Nec Corporation Semiconductor device and manufacturing method thereof
US7452778B2 (en) 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
US7018876B2 (en) * 2004-06-18 2006-03-28 Freescale Semiconductor, Inc. Transistor with vertical dielectric structure
US7291886B2 (en) 2004-06-21 2007-11-06 International Business Machines Corporation Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
US7319252B2 (en) * 2004-06-28 2008-01-15 Intel Corporation Methods for forming semiconductor wires and resulting devices
KR100541657B1 (en) 2004-06-29 2006-01-11 삼성전자주식회사 Multi-gate transistor fabrication method and multi-gate transistor fabricated thereby
US7091069B2 (en) * 2004-06-30 2006-08-15 International Business Machines Corporation Ultra thin body fully-depleted SOI MOSFETs
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US20060040054A1 (en) 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
US20060043500A1 (en) 2004-08-24 2006-03-02 Jian Chen Transistor structure with stress modification and capacitive reduction feature in a channel direction and method thereof
US7704833B2 (en) * 2004-08-25 2010-04-27 Intel Corporation Method of forming abrupt source drain metal gate transistors
US7105934B2 (en) 2004-08-30 2006-09-12 International Business Machines Corporation FinFET with low gate capacitance and low extrinsic resistance
US7250367B2 (en) 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US7238601B2 (en) * 2004-09-10 2007-07-03 Freescale Semiconductor, Inc. Semiconductor device having conductive spacers in sidewall regions and method for forming
US7071064B2 (en) 2004-09-23 2006-07-04 Intel Corporation U-gate transistors and methods of fabrication
US7422946B2 (en) * 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) * 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7393733B2 (en) * 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7875547B2 (en) 2005-01-12 2011-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact hole structures and contact structures and fabrication methods thereof
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US7094650B2 (en) * 2005-01-20 2006-08-22 Infineon Technologies Ag Gate electrode for FinFET device
US7071047B1 (en) 2005-01-28 2006-07-04 International Business Machines Corporation Method of forming buried isolation regions in semiconductor substrates and semiconductor devices with buried isolation regions
US7470951B2 (en) 2005-01-31 2008-12-30 Freescale Semiconductor, Inc. Hybrid-FET and its application as SRAM
US20060172480A1 (en) 2005-02-03 2006-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Single metal gate CMOS device design
US20060180859A1 (en) 2005-02-16 2006-08-17 Marko Radosavljevic Metal gate carbon nanotube transistor
DE102005008478B3 (en) 2005-02-24 2006-10-26 Infineon Technologies Ag Process for the preparation of sublithographic structures
US7238564B2 (en) 2005-03-10 2007-07-03 Taiwan Semiconductor Manufacturing Company Method of forming a shallow trench isolation structure
US20060202266A1 (en) * 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
JP4825526B2 (en) 2005-03-28 2011-11-30 株式会社東芝 Fin-type channel transistor and manufacturing method thereof
US7177177B2 (en) 2005-04-07 2007-02-13 International Business Machines Corporation Back-gate controlled read SRAM cell
US7820538B2 (en) * 2005-04-21 2010-10-26 Freescale Semiconductor, Inc. Method of fabricating a MOS device with non-SiO2 gate dielectric
US7446354B2 (en) * 2005-04-25 2008-11-04 Semiconductor Components Industries, L.L.C. Power semiconductor device having improved performance and method
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7319074B2 (en) 2005-06-13 2008-01-15 United Microelectronics Corp. Method of defining polysilicon patterns
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7348642B2 (en) 2005-08-03 2008-03-25 International Business Machines Corporation Fin-type field effect transistor
US7352034B2 (en) 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
US7339241B2 (en) 2005-08-31 2008-03-04 Freescale Semiconductor, Inc. FinFET structure with contacts
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
KR100630767B1 (en) * 2005-09-08 2006-10-04 삼성전자주식회사 Method of fabricating mos transistor having epitaxial region
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US8513066B2 (en) 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor
US7309626B2 (en) * 2005-11-15 2007-12-18 International Business Machines Corporation Quasi self-aligned source/drain FinFET process
US7638843B2 (en) 2006-05-05 2009-12-29 Texas Instruments Incorporated Integrating high performance and low power multi-gate devices
KR100718159B1 (en) 2006-05-18 2007-05-14 삼성전자주식회사 Wire-type semiconductor device and method of fabricating the same
US20080017890A1 (en) 2006-06-30 2008-01-24 Sandisk 3D Llc Highly dense monolithic three dimensional memory array and method for forming
US7456471B2 (en) 2006-09-15 2008-11-25 International Business Machines Corporation Field effect transistor with raised source/drain fin straps
US7646046B2 (en) 2006-11-14 2010-01-12 Infineon Technologies Ag Field effect transistor with a fin structure
CA2669704A1 (en) 2006-11-16 2008-05-22 Allergan, Inc. Sulfoximines as kinase inhibitors
US7678632B2 (en) 2006-11-17 2010-03-16 Infineon Technologies Ag MuGFET with increased thermal mass
US7655989B2 (en) 2006-11-30 2010-02-02 International Business Machines Corporation Triple gate and double gate finFETs with different vertical dimension fins
US20080128797A1 (en) 2006-11-30 2008-06-05 International Business Machines Corporation Structure and method for multiple height finfet devices
US20080212392A1 (en) 2007-03-02 2008-09-04 Infineon Technologies Multiple port mugfet sram
JP4406439B2 (en) 2007-03-29 2010-01-27 株式会社東芝 Manufacturing method of semiconductor device
JP2010021295A (en) * 2008-07-09 2010-01-28 Nec Electronics Corp Semiconductor device and its manufacturing method
US8278164B2 (en) * 2010-02-04 2012-10-02 International Business Machines Corporation Semiconductor structures and methods of manufacturing the same
JP6151387B2 (en) 2016-01-21 2017-06-21 東芝テック株式会社 Product sales data registration processing apparatus and product sales data registration processing program

Patent Citations (192)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4711701A (en) * 1986-09-16 1987-12-08 Texas Instruments Incorporated Self-aligned transistor method
US4996574A (en) 1988-07-01 1991-02-26 Fujitsu Limited MIS transistor structure for increasing conductance between source and drain regions
US5466621A (en) 1988-11-21 1995-11-14 Hitachi, Ltd. Method of manufacturing a semiconductor device having silicon islands
US4906589A (en) 1989-02-06 1990-03-06 Industrial Technology Research Institute Inverse-T LDDFET with self-aligned silicide
US5266518A (en) * 1989-09-14 1993-11-30 U.S. Philips Corporation Method of manufacturing a semiconductor body comprising a mesa
US5124777A (en) 1990-07-02 1992-06-23 Samsung Electronics Co., Ltd. Dielectric medium for capacitor of semiconductor device
US5545586A (en) 1990-11-27 1996-08-13 Nec Corporation Method of making a transistor having easily controllable impurity profile
US5521859A (en) 1991-03-20 1996-05-28 Fujitsu Limited Semiconductor memory device having thin film transistor and method of producing the same
US5346839A (en) 1991-06-10 1994-09-13 Texas Instruments Incorporated Sidewall doping technique for SOI transistors
US5391506A (en) 1992-01-31 1995-02-21 Kawasaki Steel Corporation Manufacturing method for semiconductor devices with source/drain formed in substrate projection.
US5338959A (en) 1992-03-30 1994-08-16 Samsung Electronics Co., Ltd. Thin film transistor with three dimensional multichannel structure
US5563077A (en) 1992-04-24 1996-10-08 Hyundai Electronics Industries Co., Ltd. Method of fabricating a thin film transistor having vertical channel
EP0623963A1 (en) 1993-05-06 1994-11-09 Siemens Aktiengesellschaft MOSFET on SOI substrate
US6756657B1 (en) 1993-06-25 2004-06-29 Semiconductor Energy Laboratory Co., Ltd. Method of preparing a semiconductor having controlled crystal orientation
US5578513A (en) 1993-09-17 1996-11-26 Mitsubishi Denki Kabushiki Kaisha Method of making a semiconductor device having a gate all around type of thin film transistor
US5814544A (en) * 1994-07-14 1998-09-29 Vlsi Technology, Inc. Forming a MOS transistor with a recessed channel
US5821629A (en) 1994-10-04 1998-10-13 United Microelectronics Corporation Buried structure SRAM cell and methods for fabrication
US6407442B2 (en) 1994-10-28 2002-06-18 Canon Kabushiki Kaisha Semiconductor device, and operating device, signal converter, and signal processing system using the same semiconductor device
US5576227A (en) * 1994-11-02 1996-11-19 United Microelectronics Corp. Process for fabricating a recessed gate MOS device
US5701016A (en) 1994-11-24 1997-12-23 Kabushiki Kaisha Toshiba Semiconductor device and method for its manufacture
US5716879A (en) 1994-12-15 1998-02-10 Goldstar Electron Company, Ltd. Method of making a thin film transistor
US5693542A (en) * 1994-12-26 1997-12-02 Hyundai Electronics Industries Co., Ltd. Method for forming a transistor with a trench
US5658806A (en) 1995-10-26 1997-08-19 National Science Council Method for fabricating thin-film transistor with bottom-gate or dual-gate configuration
US5814895A (en) 1995-12-22 1998-09-29 Sony Corporation Static random access memory having transistor elements formed on side walls of a trench in a semiconductor substrate
US20030042542A1 (en) 1996-04-26 2003-03-06 Shigeto Maegawa Semiconductor device having a thin film transistor and manufacturing method thereof
US5889304A (en) * 1996-06-28 1999-03-30 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US5905285A (en) 1996-09-12 1999-05-18 Advanced Micro Devices, Inc. Ultra short trench transistors and process for making same
US6163053A (en) 1996-11-06 2000-12-19 Ricoh Company, Ltd. Semiconductor device having opposite-polarity region under channel
US5827769A (en) 1996-11-20 1998-10-27 Intel Corporation Method for fabricating a transistor with increased hot carrier resistance by nitridizing and annealing the sidewall oxide of the gate electrode
US6346450B1 (en) * 1996-12-16 2002-02-12 Commissariat A L'energie Atomique Process for manufacturing MIS transistor with self-aligned metal grid
US5908313A (en) * 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
US6010921A (en) * 1997-05-23 2000-01-04 Sharp Kabushiki Kaisha Method of fabricating a field-effect transistor utilizing an SOI substrate
US6054355A (en) * 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
US6251763B1 (en) * 1997-06-30 2001-06-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
US6730964B2 (en) 1997-07-22 2004-05-04 Hitachi, Ltd. Semiconductor device and method of producing the same
US5856225A (en) * 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
US5888309A (en) 1997-12-29 1999-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma
US6117741A (en) * 1998-01-09 2000-09-12 Texas Instruments Incorporated Method of forming a transistor having an improved sidewall gate structure
US6376317B1 (en) 1998-03-30 2002-04-23 Micron Technology, Inc. Methods for dual-gated transistors
US6087208A (en) * 1998-03-31 2000-07-11 Advanced Micro Devices, Inc. Method for increasing gate capacitance by using both high and low dielectric gate material
US6093947A (en) * 1998-08-19 2000-07-25 International Business Machines Corporation Recessed-gate MOSFET with out-diffused source/drain extension
US6262456B1 (en) * 1998-11-06 2001-07-17 Advanced Micro Devices, Inc. Integrated circuit having transistors with different threshold voltages
US5985726A (en) * 1998-11-06 1999-11-16 Advanced Micro Devices, Inc. Damascene process for forming ultra-shallow source/drain extensions and pocket in ULSI MOSFET
US6114206A (en) * 1998-11-06 2000-09-05 Advanced Micro Devices, Inc. Multiple threshold voltage transistor implemented by a damascene process
US6200865B1 (en) * 1998-12-04 2001-03-13 Advanced Micro Devices, Inc. Use of sacrificial dielectric structure to form semiconductor device with a self-aligned threshold adjust and overlying low-resistance gate
US20020167007A1 (en) 1998-12-29 2002-11-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6562687B1 (en) * 1999-01-15 2003-05-13 Commissariat A L'energie Atomique MIS transistor and method for making same on a semiconductor substrate
US6124177A (en) * 1999-08-13 2000-09-26 Taiwan Semiconductor Manufacturing Company Method for making deep sub-micron mosfet structures having improved electrical characteristics
US6252284B1 (en) 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
US20030098479A1 (en) * 1999-12-30 2003-05-29 Anand Murthy Novel MOS transistor structure and method of fabrication
US6483151B2 (en) * 2000-01-21 2002-11-19 Nec Corporation Semiconductor device and method of manufacturing the same
US6319807B1 (en) * 2000-02-07 2001-11-20 United Microelectronics Corp. Method for forming a semiconductor device by using reverse-offset spacer process
US6884154B2 (en) 2000-02-23 2005-04-26 Shin-Etsu Handotai Co., Ltd. Method for apparatus for polishing outer peripheral chamfered part of wafer
US6483156B1 (en) 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
US6465290B1 (en) * 2000-03-27 2002-10-15 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device using a polymer film pattern
US20010026985A1 (en) * 2000-03-29 2001-10-04 Kim Moon Jung Fabrication method of submicron gate using anisotropic etching
US20040029345A1 (en) * 2000-06-09 2004-02-12 Simon Deleonibus Damascene architecture electronics storage and method for making same
US6391782B1 (en) 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming multiple active lines and gate-all-around MOSFET
US20020011612A1 (en) 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6358800B1 (en) * 2000-09-18 2002-03-19 Vanguard International Semiconductor Corporation Method of forming a MOSFET with a recessed-gate having a channel length beyond photolithography limit
US6566734B2 (en) * 2000-09-22 2003-05-20 Mitsubishi Denki Kabushiki Kaisha Semiconductor device
US20020037619A1 (en) * 2000-09-22 2002-03-28 Kohei Sugihara Semiconductor device and method of producing the same
US20020036290A1 (en) 2000-09-28 2002-03-28 Kabushiki Kaisha Toshiba Semiconductor device having MIS field effect transistors or three-dimensional structure
US6525403B2 (en) 2000-09-28 2003-02-25 Kabushiki Kaisha Toshiba Semiconductor device having MIS field effect transistors or three-dimensional structure
US6562665B1 (en) 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
EP1202335A2 (en) 2000-10-18 2002-05-02 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6396108B1 (en) 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
US6716684B1 (en) 2000-11-13 2004-04-06 Advanced Micro Devices, Inc. Method of making a self-aligned triple gate silicon-on-insulator device
US6479866B1 (en) * 2000-11-14 2002-11-12 Advanced Micro Devices, Inc. SOI device with self-aligned selective damage implant, and method
WO2002043151A1 (en) 2000-11-22 2002-05-30 Hitachi, Ltd Semiconductor device and method for fabricating the same
US6413877B1 (en) 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
US20020081794A1 (en) 2000-12-26 2002-06-27 Nec Corporation Enhanced deposition control in fabricating devices in a semiconductor wafer
US6975014B1 (en) * 2001-01-09 2005-12-13 Advanced Micro Devices, Inc. Method for making an ultra thin FDSOI device with improved short-channel performance
US6475890B1 (en) 2001-02-12 2002-11-05 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with an upside down T-shaped semiconductor pillar in SOI technology
US6475869B1 (en) 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US20030122186A1 (en) 2001-03-13 2003-07-03 Nat'l. Inst. Of Advanced Indust'l Sci. And Tech. Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same
US20020142529A1 (en) * 2001-03-29 2002-10-03 Satoshi Matsuda Semiconductor device comprising buried channel region and method for manufacturing the same
US20020166838A1 (en) 2001-05-10 2002-11-14 Institute Of Microelectronics Sloped trench etching process
US20040092067A1 (en) 2001-05-24 2004-05-13 International Business Machines Corporation Damascene double-gate MOSFET with vertical channel regions
US20040238887A1 (en) 2001-07-05 2004-12-02 Fumiyuki Nihey Field-effect transistor constituting channel by carbon nano tubes
US20030057486A1 (en) 2001-09-27 2003-03-27 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US20030067017A1 (en) 2001-10-05 2003-04-10 Meikei Ieong Variable threshold voltage double gated transistors and method of fabrication
US20050023535A1 (en) * 2001-10-24 2005-02-03 Saptharishi Sriram Methods of fabricating delta doped silicon carbide metal-semiconductor field effect transistors having a gate disposed in a double recess structure
US20030085194A1 (en) 2001-11-07 2003-05-08 Hopkins Dean A. Method for fabricating close spaced mirror arrays
US20030098488A1 (en) 2001-11-27 2003-05-29 O'keeffe James Band-structure modulation of nano-structures in an electric field
US20030102518A1 (en) 2001-12-04 2003-06-05 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US20030102497A1 (en) 2001-12-04 2003-06-05 International Business Machines Corporation Multiple-plane finFET CMOS
US20030111686A1 (en) 2001-12-13 2003-06-19 Nowak Edward J. Method for forming asymmetric dual gate transistor
US20030143791A1 (en) 2002-01-29 2003-07-31 Samsung Electronics Co., Ltd. Methods for fabricating MOS transistors with notched gate electrodes
JP2003298051A (en) 2002-01-30 2003-10-17 Soko Lee Double-gate fet device and manufacturing method of the same
US20030151077A1 (en) 2002-02-13 2003-08-14 Leo Mathew Method of forming a vertical double gate semiconductor device and structure thereof
US20030227036A1 (en) 2002-02-22 2003-12-11 Naoharu Sugiyama Semiconductor device
US6774390B2 (en) 2002-02-22 2004-08-10 Kabushiki Kaisha Toshiba Semiconductor device
US6660598B2 (en) * 2002-02-26 2003-12-09 International Business Machines Corporation Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region
US6635909B2 (en) 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US20030201458A1 (en) 2002-03-19 2003-10-30 Clark William F. Strained fin fets structure and method
US20070148937A1 (en) * 2002-03-29 2007-06-28 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of semiconductor device
US6605498B1 (en) * 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US6784076B2 (en) * 2002-04-08 2004-08-31 Micron Technology, Inc. Process for making a silicon-on-insulator ledge by implanting ions from silicon source
US20030190766A1 (en) * 2002-04-08 2003-10-09 Micron Technology, Inc. Process for making a silicon-on-insulator ledge and structures achieved thereby
US6713396B2 (en) 2002-04-29 2004-03-30 Hewlett-Packard Development Company, L.P. Method of fabricating high density sub-lithographic features on a substrate
US20040031979A1 (en) 2002-06-07 2004-02-19 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US6680240B1 (en) 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US6998318B2 (en) * 2002-07-26 2006-02-14 Dongbuanam Semiconductor Inc. Method for forming short-channel transistors
US20040038436A1 (en) * 2002-08-09 2004-02-26 Hitachi, Ltd. Method of manufacturing a semiconductor integrated circuit device
US7354817B2 (en) * 2002-08-19 2008-04-08 Fujitsu Limited Semiconductor device, manufacturing method thereof, and CMOS integrated circuit device
US20040036127A1 (en) 2002-08-23 2004-02-26 Chau Robert S. Tri-gate devices and methods of fabrication
US20040094807A1 (en) 2002-08-23 2004-05-20 Chau Robert S. Tri-gate devices and methods of fabrication
US6858478B2 (en) 2002-08-23 2005-02-22 Intel Corporation Tri-gate devices and methods of fabrication
US20040036126A1 (en) 2002-08-23 2004-02-26 Chau Robert S. Tri-gate devices and methods of fabrication
US20040036118A1 (en) 2002-08-26 2004-02-26 International Business Machines Corporation Concurrent Fin-FET and thick-body device fabrication
US6977415B2 (en) * 2002-09-12 2005-12-20 Kabushiki Kaisha Toshiba Semiconductor device including a gate insulating film on a recess and source and drain extension regions
US20040124492A1 (en) * 2002-09-12 2004-07-01 Kouji Matsuo Semiconductor device and method of manufacturing the same
US6794313B1 (en) 2002-09-20 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation process to improve polysilicon sidewall roughness
US20040061178A1 (en) 2002-09-30 2004-04-01 Advanced Micro Devices Inc. Finfet having improved carrier mobility and method of its formation
US20040209463A1 (en) * 2002-10-01 2004-10-21 Kim Sung-Min Methods of fabricating field effect transistors having multiple stacked channels
US20040063286A1 (en) * 2002-10-01 2004-04-01 Kim Sung-Min Field effect transistors having multiple stacked channels
US20050189583A1 (en) * 2002-10-01 2005-09-01 Samsung Electronics Co., Ltd. Field effect transistors having multiple stacked channels
US7615429B2 (en) * 2002-10-01 2009-11-10 Samsung Electronics Co., Ltd. Methods of fabricating field effect transistors having multiple stacked channels
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6611029B1 (en) 2002-11-08 2003-08-26 Advanced Micro Devices, Inc. Double gate semiconductor device having separate gates
US20040092062A1 (en) 2002-11-08 2004-05-13 Ahmed Shibly S. Planarizing gate material to improve gate critical dimension in semiconductor devices
US20040126975A1 (en) 2002-11-08 2004-07-01 Ahmed Shibly S. Double gate semiconductor device having separate gates
US20040099903A1 (en) 2002-11-26 2004-05-27 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel multiple-gate transistor
US6709982B1 (en) 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US20040108558A1 (en) * 2002-12-06 2004-06-10 Kwak Byung Il Transistor of semiconductor device, and method for manufacturing the same
US6645797B1 (en) 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
US20050104055A1 (en) * 2002-12-06 2005-05-19 Hynix Semiconductor Inc. Transistor of semiconductor device, and method for manufacturing the same
US6852559B2 (en) * 2002-12-06 2005-02-08 Hynix Semiconductor Inc. Transistor of semiconductor device, and method for manufacturing the same
US20040110097A1 (en) 2002-12-06 2004-06-10 Ahmed Shibly S. Double gate semiconductor device having a metal gate
US6869868B2 (en) 2002-12-13 2005-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a MOSFET device with metal containing gate structures
US20040119100A1 (en) 2002-12-19 2004-06-24 International Business Machines Corporation Dense dual-plane devices
WO2004059726A1 (en) 2002-12-20 2004-07-15 International Business Machines Corporation Integrated antifuse structure for finfet and cmos devices
US20040197975A1 (en) 2003-01-23 2004-10-07 Zoran Krivokapic Narrow fin finfet
US20040227187A1 (en) 2003-02-13 2004-11-18 Zhiyuan Cheng Integrated semiconductor device and method to make same
US20040166642A1 (en) 2003-02-20 2004-08-26 Hao-Yu Chen Semiconductor nano-rod devices
US20040169221A1 (en) * 2003-02-28 2004-09-02 Samsung Electronics Co., Ltd. MOS transistor with elevated source and drain structures and method of fabrication thereof
US6800885B1 (en) 2003-03-12 2004-10-05 Advance Micro Devices, Inc. Asymmetrical double gate or all-around gate MOSFET devices and methods for making same
US6716690B1 (en) 2003-03-12 2004-04-06 Advanced Micro Devices, Inc. Uniformly doped source/drain junction in a double-gate MOSFET
US20040180491A1 (en) 2003-03-13 2004-09-16 Nobutoshi Arai Memory function body, particle forming method therefor and, memory device, semiconductor device, and electronic equipment having the memory function body
US20040198003A1 (en) 2003-03-26 2004-10-07 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple-gate transistors with improved gate control
US20040191980A1 (en) 2003-03-27 2004-09-30 Rafael Rios Multi-corner FET for better immunity from short channel effects
US6790733B1 (en) 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
US6764884B1 (en) 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
US20040195624A1 (en) 2003-04-04 2004-10-07 National Taiwan University Strained silicon fin field effect transistor
US20070108514A1 (en) * 2003-04-28 2007-05-17 Akira Inoue Semiconductor device and method of fabricating the same
US6867433B2 (en) 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US20040219780A1 (en) 2003-04-30 2004-11-04 Elpida Memory, Inc Manufacturing method of semiconductor device
US20050093067A1 (en) 2003-04-30 2005-05-05 Yee-Chia Yeo Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US6838322B2 (en) 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US6830998B1 (en) * 2003-06-17 2004-12-14 Advanced Micro Devices, Inc. Gate dielectric quality for replacement metal gate transistors
US20040256647A1 (en) 2003-06-23 2004-12-23 Sharp Laboratories Of America Inc. Strained silicon finFET device
US6974738B2 (en) 2003-06-27 2005-12-13 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US20040262683A1 (en) 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US20040262699A1 (en) 2003-06-30 2004-12-30 Rafael Rios N-gate transistor
US20050003612A1 (en) * 2003-07-03 2005-01-06 Hackler Douglas R. Sram cell
US6921982B2 (en) 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
US20050017377A1 (en) 2003-07-21 2005-01-27 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
US20050184316A1 (en) 2003-07-23 2005-08-25 Kim Young-Pil Fin field effect transistors having multi-layer fin patterns and methods of forming the same
US20050093154A1 (en) 2003-07-25 2005-05-05 Interuniversitair Microelektronica Centrum (Imec Vzw) Multiple gate semiconductor device and method for forming same
US6835618B1 (en) 2003-08-05 2004-12-28 Advanced Micro Devices, Inc. Epitaxially grown fin for FinFET
US20050035415A1 (en) 2003-08-13 2005-02-17 Yee-Chia Yeo Multiple-gate transistors formed on bulk substrates
US7041601B1 (en) * 2003-09-03 2006-05-09 Advanced Micro Devices, Inc. Method of manufacturing metal gate MOSFET with strained channel
US6998301B1 (en) * 2003-09-03 2006-02-14 Advanced Micro Devices, Inc. Method for forming a tri-gate MOSFET
US20050093075A1 (en) * 2003-10-31 2005-05-05 Bentum Ralf V. Advanced technique for forming a transistor having raised drain and source regions
US20050118790A1 (en) 2003-12-01 2005-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for dicing semiconductor wafers
US20050127362A1 (en) 2003-12-10 2005-06-16 Ying Zhang Sectional field effect devices and method of fabrication
US7045407B2 (en) * 2003-12-30 2006-05-16 Intel Corporation Amorphous etch stop for the anisotropic etching of substrates
US20050156171A1 (en) 2003-12-30 2005-07-21 Brask Justin K. Nonplanar transistors with metal gate electrodes
US20050145941A1 (en) 2004-01-07 2005-07-07 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same
US20050153494A1 (en) * 2004-01-09 2005-07-14 International Business Machines Corporation Method of forming fet silicide gate structures incorporating inner spacers
US7056794B2 (en) * 2004-01-09 2006-06-06 International Business Machines Corporation FET gate structure with metal gate electrode and silicide contact
US20050156202A1 (en) 2004-01-17 2005-07-21 Hwa-Sung Rhee At least penta-sided-channel type of FinFET transistor
US7396730B2 (en) * 2004-02-11 2008-07-08 Samsung Electronics Co., Ltd. Integrated circuit devices including an L-shaped depletion barrier layer adjacent opposite sides of a gate pattern and methods of forming the same
EP1566844A2 (en) 2004-02-20 2005-08-24 Samsung Electronics Co., Ltd. Multi-gate transistor and method for manufacturing the same
US20050191795A1 (en) 2004-03-01 2005-09-01 Dureseti Chidambarrao Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
US20050227498A1 (en) 2004-03-31 2005-10-13 International Business Machines Corporation Method for fabricating strained silicon-on-insulator structures and strained silicon-on insulator structures formed thereby
US20050224800A1 (en) 2004-03-31 2005-10-13 Nick Lindert Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050224797A1 (en) 2004-04-01 2005-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS fabricated on different crystallographic orientation substrates
US20050230763A1 (en) 2004-04-15 2005-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a microelectronic device with electrode perturbing sill
US6864540B1 (en) * 2004-05-21 2005-03-08 International Business Machines Corp. High performance FET with elevated source/drain region
US20050266645A1 (en) * 2004-05-25 2005-12-01 Jin-Jun Park Phosphorous doping methods of manufacturing field effect transistors having multiple stacked channels
US20080102586A1 (en) * 2004-05-25 2008-05-01 Samsung Electronics Co., Ltd. Phosphorous doping methods of manufacturing field effect transistors having multiple stacked channels
US20050272192A1 (en) * 2004-06-04 2005-12-08 Chang-Woo Oh Methods of forming fin field effect transistors using oxidation barrier layers and related devices
US20060014338A1 (en) 2004-06-30 2006-01-19 International Business Machines Corporation Method and structure for strained finfet devices
US20060068590A1 (en) 2004-09-29 2006-03-30 Nick Lindert Metal gate transistors with epitaxial source and drain regions
US20060068591A1 (en) * 2004-09-29 2006-03-30 Marko Radosavljevic Fabrication of channel wraparound gate structure for field-effect transistor
US20060091432A1 (en) * 2004-11-02 2006-05-04 International Business Machines Corporation Damascene gate field effect transistor with an internal spacer structure
US7247547B2 (en) * 2005-01-05 2007-07-24 International Business Machines Corporation Method of fabricating a field effect transistor having improved junctions
US20060240622A1 (en) * 2005-04-21 2006-10-26 Samsung Electronics Co., Ltd. Multi-channel semiconductor device and method of manufacturing the same
US20070023795A1 (en) * 2005-07-15 2007-02-01 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same

Non-Patent Citations (47)

* Cited by examiner, † Cited by third party
Title
A. Burenkov et al., "Corner Effect in Double and Triple Gate FinFets", IEEE 2003, pp. 135-138.
Ali Javey et al., "High-K Dielectrics for Advanced Carbon-Nanotube Transistors and Logic Gates", Advance Online Publication, Published online, Nov. 17, 2002, pp. 1-6.
Auth et al., "Vertical, Fully-Depleted, Surroundings Gate MOSFETS on Sub 0.1um Thick Silicon Pillars", 1996 54th Annual Device Reseach Conference Digest, (1996) pp. 108-109.
B. Jin et al., "Mobility Enhancement in Compressively Strained SiGe Surface Channel PMOS Transistors with Hf02/TiN Gate Stack", Proceedings of the First Joint International Symposium, 206th Meeting of Electrochemical Society, Oct. 2004, pp. 111-122.
Charles Kuo et al. "A Capacitorless Double Gate DRAM Technology for Sub-100-nm Embedded and Stand-Alone Memory Applications, IEEE Transactions on Electron Devices", vol. 50, No. 12, Dec. 2003, pp. 2408-2416.
Charles Kuo et al., "A Capacitorless Double-Gate DRAM Cell Design for High Density Applications", 2002 IEEE International Electron Devices Meeting Technical Digest, Dec. 2002, pp. 843-846.
David M. Fried et al., "High-Performance P-Type Independent-Gate FinFETs", IEEE Electron Device Letters, vol. 25, No. 4, Apr. 2004, pp. 199-201.
David M. Fried et al., "Improved Independent Gate N-Type FinFET Fabrication and Characterization", IEEE Electron Device Letters, vol. 24, No. 9, Sep. 2003, pp. 592-594.
Digh Hisamoto et al., "FinFet-A Self Aligned Double-Gate MOSFET Scalable to 20nm", IEEE Transactions on Electron Devices, vol. 47, No. 12, Dec. 2000, pp. 2320-2325.
Fu-Liang Yang, et al., "5nm-Gate Nanowire FinFET" 2004 Symposium on VLSI Technology Digest of Technical Papers, 2004 IEEE, pp. 196-197.
Hisamoto et al. "A Folded-Channel MOSFET for Deep-sub-tenth Micron Era", 1998 IEEE International Electron Device Meeting Technical Digest, (1998) pp. 1032-1034.
Hisamoto et al., "A Fully Depleted Lean-Channel Transistor (DELTA)-A Novel Vertical Ultrathin SOI MOSFET", IEEE Electron Device Letters, vol. 11 No. 1, (1990) pp. 36-38.
Huang et al., "Sub 50nm FinFet: PMOS", 1999 IEEE International Electron Device Meeting Technical Digets, (1999) pp. 67-70.
Ieong, M. et al. "Three Dimensional CMOS Devices and Integrated Circuits", IEEE 2003, CICC, San Jose, CA, Sep. 21-24, 2003, pp. 207-214.
International Search Report and Written Opinion PCT/US2006/000378.
International Search Report PCT/US03/26242.
International Search Report PCT/US03/39727.
International Search Report PCT/US03/40320.
International Search Report PCT/US2004/032442.
International Search Report PCT/US2005/000947.
International Search Report PCT/US2005/010505.
International Search Report PCT/US2005/020339.
International Search Report PCT/US2005/033439.
International Search Report PCT/US2005/035380.
International Search Report PCT/US2005/037169.
Jing Guo et al. "Performance Projections for Ballistic Carbon Nanotube Field-Effect Transistors" Applied Physics Letters, vol. 80, No. 17, Apr. 29, 2002, pp. 3192-2194.
Jones, E.C., "Doping Challenges in Exploratory Devices for High Performance Logic", 14th International Conference, Piscataway, NJ, Sep. 22-27, 2002, pp. 1-6.
Jong-Tae Park et al., "Pi-Gate SOI MOSFET" IEEE Electron Device Letters, vol. 22, No. 8, Aug. 2001, pp. 405-406.
Ludwig, T. et al., "FinFET Technology for Future Microprocessors" 2003 IEEE, pp. 33-34.
Nowak, E. J. et al., "Scaling Beyond the 65nm Node with FinFET-DGCMOS", IEEE 2003, CICC, San Jose, CA, Sep. 21-24, 2003, pp. 339-342.
Nowak, E.J. et al., "A Functional FinFET-DGCMOS SRAM Cell", International Electron Devices Meeting 2002, San Francisco, CA, Dec. 8-11, 2002, pp. 411-414.
Park, T. et al. "PMOS Body-Tied FinFET (Omega MOSFET) Characteristics", Device Research Conference, Piscataway, NJ, Jun. 23-25, 2003, IEEE, pp. 33-34.
R. Chau, "Advanced Metal Gate/High-K Dielectric Stacks for High-Performance CMOS Transistors", Proceedings of AVS 5th International Conference of Microelectronics and Interfaces, Mar. 2004, (3 pgs.).
Richard Martel et al., "Carbon Nanotube Field Effect Transistors for Logic Applications" IBM, T.J. Watson Research Center, 2001 IEEE, IEDM 01, pp. 159-162.
S.T. Chang et al., "3-D Simulation of Strained Si/SiGe Heterojunction FinFETs", pp. 176-177.
Seevinck, Evert et al., "Static-Noise Margin Analysis of MOS SRAM Cells" 1987 IEEE, IEEE Journals of Solid-State Circuits, vol. SC-22, No. 5, Oct. 1987.
Stolk, Peter A. et al. "Modeling Statistical Dopant Fluctuations in MOS Transistors", 1998 IEEE, IEEE Transactions on Electron Devices, vol. 45, No. 9, Sep. 19987, pp. 1960-1971.
Sung Min Kim, et al., A Novel Multi-channel Field Effect Transistor (McFET) on Bulk Si for High Performance Sub-80nm Application, IEDM 04-639, 2004 IEEE, pp. 27.4.1-27.4.4.
T. M. Mayer, et al., "Chemical Vapor Deposition of Fluoroalkylsilane Monolayer Films for Adhesion Control in Microelectromechanical Systems" 2000 American Vacuum Society B 18(5), Sep.-Oct. 2000, pp. 2433-2440.
T. Park et al., "Fabrication of Body-Tied FinFETs (Omega MOSFETS) Using Bulk Si Wafers", 2003 Symposia on VLSI Technology Digest of Technical Papers, Jun. 2003, pp. 135-136.
T. Tanaka et al., Scalability Study on a Capacitorless 1T-DRAM: From Single-Gate PD-SOI to Double-Gate FinDram, 2004 IEEE International Electron Devices Meeting Technical Digest, Dec. 2004, (4 pgs.).
Takashi Ohsawa et al., "Memory Design Using a One-Transistor Gain Cell on SOI", IEEE Journal of Solid-State Circuits, vol. 37, No. 11, Nov. 2002, pp. 1510-1522.
V. Subramanian et al. "A Bulk Si-Compatible Ultrathin-Body SOI Technology for Sub-100nm MOSFETS", Proceedings of the 57th Annual Device Reach Conference, (1999) pp. 28-29.
W. Xiong, et al., "Corner Effect in Multiple-Gate SOI MOSFETs" 2003 IEEE, pp. 111-113.
Weize Xiong, et al., "Improvement of FinFET Electrical Characteristics by Hydrogen Annealing" IEEE Electron Device Letters, vol. 25, No. 8, Aug. 2004, XP-001198998, pp. 541-543.
Yang-Kyu Choi et al. "Sub-20nm CMOS FinFET Technologies", IEEE 2001, IEDM 01-421 to 01-424.
Yang-Kyu Choi, et al., "A Spacer Patterning Technology for Nanoscale CMOS" IEEE Transactions on Electron Devices, vol. 49, No. 3, Mar. 2002, pp. 436-441.

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120091540A1 (en) * 2010-10-19 2012-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of a p-type field effect transistor
US9698054B2 (en) * 2010-10-19 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of a p-type field effect transistor
US10727340B2 (en) 2010-10-19 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of a semiconductor device
US11329159B2 (en) 2010-10-19 2022-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of a semiconductor device
US8513067B2 (en) * 2011-05-26 2013-08-20 Peking University Fabrication method for surrounding gate silicon nanowire transistor with air as spacers
US8941214B2 (en) 2011-12-22 2015-01-27 Intel Corporation Semiconductor device having a necked semiconductor body and method of forming semiconductor bodies of varying width
US11164975B2 (en) 2011-12-22 2021-11-02 Intel Corporation Semiconductor device having a necked semiconductor body and method of forming semiconductor bodies of varying width
US10319843B2 (en) 2011-12-22 2019-06-11 Intel Corporation Semiconductor device having a necked semiconductor body and method of forming semiconductor bodies of varying width
US11784257B2 (en) 2011-12-22 2023-10-10 Intel Corporation Semiconductor device having a necked semiconductor body and method of forming semiconductor bodies of varying width
US10651310B2 (en) 2011-12-22 2020-05-12 Intel Corporation Semiconductor device having a necked semiconductor body and method of forming semiconductor bodies of varying width
US9711410B2 (en) 2011-12-22 2017-07-18 Intel Corporation Semiconductor device having a necked semiconductor body and method of forming semiconductor bodies of varying width
US20150295066A1 (en) * 2012-09-05 2015-10-15 Commissariat A L'energie Atomique Et Aux Ene Alt Process for producing fet transistors
US11264479B2 (en) * 2012-09-05 2022-03-01 Commissariat A L'energie Atomique Et Aux Energies Alternatives Process for producing FET transistors
US10937811B2 (en) 2013-04-04 2021-03-02 Stmicroelectronics, Inc. Integrated circuit devices and fabrication techniques
US9825055B2 (en) 2013-04-04 2017-11-21 Stmicroelectronics, Inc. FinFETs suitable for use in a high density SRAM cell
US10325927B2 (en) 2013-04-04 2019-06-18 Stmicroelectronics, Inc. Integrated circuit devices and fabrication techniques
US9111801B2 (en) * 2013-04-04 2015-08-18 Stmicroelectronics, Inc. Integrated circuit devices and fabrication techniques
US11705458B2 (en) 2013-04-04 2023-07-18 Stmicroelectronics, Inc. Integrated circuit devices and fabrication techniques
US20140299936A1 (en) * 2013-04-04 2014-10-09 Stmicroelectronics, Inc. Integrated circuit devices and fabrication techniques
US9048123B2 (en) 2013-09-19 2015-06-02 International Business Machines Corporation Interdigitated finFETs
US9711645B2 (en) 2013-12-26 2017-07-18 International Business Machines Corporation Method and structure for multigate FinFET device epi-extension junction control by hydrogen treatment
US9543410B2 (en) * 2014-02-14 2017-01-10 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US20150236155A1 (en) * 2014-02-14 2015-08-20 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US9331146B2 (en) 2014-06-11 2016-05-03 International Business Machines Corporation Silicon nanowire formation in replacement metal gate process

Also Published As

Publication number Publication date
US9337307B2 (en) 2016-05-10
US20190371940A1 (en) 2019-12-05
US9806195B2 (en) 2017-10-31
US20210135007A1 (en) 2021-05-06
US10937907B2 (en) 2021-03-02
US20160197185A1 (en) 2016-07-07
US20060286755A1 (en) 2006-12-21
US10367093B2 (en) 2019-07-30
US20110062520A1 (en) 2011-03-17
US20180047846A1 (en) 2018-02-15

Similar Documents

Publication Publication Date Title
US10937907B2 (en) Method for fabricating transistor with thinned channel
US7332439B2 (en) Metal gate transistors with epitaxial source and drain regions
US11404574B2 (en) P-type strained channel in a fin field effect transistor (FinFET) device
US9659823B2 (en) Highly scaled tunnel FET with tight pitch and method to fabricate same
US7391087B2 (en) MOS transistor structure and method of fabrication
US6432754B1 (en) Double SOI device with recess etch and epitaxy
US9373695B2 (en) Method for improving selectivity of epi process
US7618853B2 (en) Field effect transistors with dielectric source drain halo regions and reduced miller capacitance
US6806534B2 (en) Damascene method for improved MOS transistor
US20070152266A1 (en) Method and structure for reducing the external resistance of a three-dimensional transistor through use of epitaxial layers
US9337313B2 (en) Spacerless fin device with reduced parasitic resistance and capacitance and method to fabricate same
EP1205980A1 (en) A method for forming a field effect transistor in a semiconductor substrate
US8993445B2 (en) Selective removal of gate structure sidewall(s) to facilitate sidewall spacer protection
CN104538305A (en) Semiconductor device and method for manufacturing the semiconductor device
WO2007105157A2 (en) Source and drain formation

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTEL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BRASK, JUSTIN K.;CHAU, ROBERT S.;DATTA, SUMAN;AND OTHERS;SIGNING DATES FROM 20050516 TO 20050517;REEL/FRAME:016701/0605

Owner name: INTEL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BRASK, JUSTIN K.;CHAU, ROBERT S.;DATTA, SUMAN;AND OTHERS;REEL/FRAME:016701/0605;SIGNING DATES FROM 20050516 TO 20050517

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552)

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12

AS Assignment

Owner name: TAHOE RESEARCH, LTD., IRELAND

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTEL CORPORATION;REEL/FRAME:061175/0176

Effective date: 20220718