WO1984004204A1 - Method of manufacturing a semiconductor device having small dimensions - Google Patents

Method of manufacturing a semiconductor device having small dimensions Download PDF

Info

Publication number
WO1984004204A1
WO1984004204A1 PCT/US1984/000496 US8400496W WO8404204A1 WO 1984004204 A1 WO1984004204 A1 WO 1984004204A1 US 8400496 W US8400496 W US 8400496W WO 8404204 A1 WO8404204 A1 WO 8404204A1
Authority
WO
WIPO (PCT)
Prior art keywords
polysilicon
substrate
conductor
layer
oxide
Prior art date
Application number
PCT/US1984/000496
Other languages
French (fr)
Inventor
Samuel Yue Chiao
Original Assignee
Ncr Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ncr Co filed Critical Ncr Co
Priority to DE8484901561T priority Critical patent/DE3470253D1/en
Priority to DE1984901561 priority patent/DE138978T1/en
Publication of WO1984004204A1 publication Critical patent/WO1984004204A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8236Combination of enhancement and depletion transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66515Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned selective metal deposition simultaneously on the gate and on source or drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • H01L29/66598Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET forming drain [D] and lightly doped drain [LDD] simultaneously, e.g. using implantation through the wings a T-shaped layer, or through a specially shaped layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/911Differential oxidation and etching

Definitions

  • This invention relates to a method of manufacturing a semiconductor device including a substrate having a first metal conductor overlying a polysilicon conductor, and a second metal conductor located adjacent said first metal conductor.
  • One problem encountered in manufacturing small geometry MOS devices relates to electrical shorts between interconnecting conductors such as the electrical conductors contacting the self-aligned gate, source and drain.
  • a metal such as tungsten is selectively deposit ⁇ ed over the polysilicon gate and the source-drain regions of the silicon substrate to provide low re- sistance conductor lines.
  • the metal deposit ⁇ ed in this manner tends to create an electrical short with the metal deposited over the adjacent source- drain regions.
  • a similar shorting problem exists between adjacent closely-spaced polysilicon intercon- nect lines.
  • OMPI WIPO .fr A method of the kind specified is known from U.S. Patent Specification No. 4,330,931, which dis ⁇ closes a process for forming a self-aligned silicon gate n-MOSFET having n- source-drain extensions.
  • arsenic ions are implanted to form the n+ source and drain.
  • lightly doped source-drain extensions are also formed due to blocking of some ions by the nitride overhangs.
  • the structure is then subjected to a high temperature (920 degrees C) oxidation step to grow a 1000 Angstroms thick oxide- over the sides of the polysilicon gate and to thicken an oxide layer on the substrate above the source-drain regions.
  • the oxide over the n + source-drain regions is then damaged by argon ion bombardment.
  • the oxide over the n ⁇ source-drain regions and the sidewalls of the gate is protected by the nitride mask.
  • the damaged oxide and the nitride mask are then removed and a tungsten layer is selectively deposited over the exposed n + source-drain regions and the gate.
  • the known process requires an oxide damaging step which is not only an extra process step, but also requires very careful control lest the source-drain areas may " also be damaged. Both of these requirements are particularly disadvantageous from a high volume manufacturing standpoint.
  • Another disadvantage is that this process requires forming a nitride overhang mask atop the polysilicon by undercutting the polysilicon. This is a critical process step and is very difficult to control. Further, any variation in undercutting the polysilicon may cause possible damage to the sidewall oxide during argon ion bombardment.
  • Yet another disadvantage of the known process is that this process appears to be limited to forming a polysilicon gate sidewall oxide having a thickness of only 1000 Angstroms. Consequently, upon selective deposition of tungsten over the polysilicon gate and the source-drain areas, adjacent tungsten strips may short each other out due to tungsten spiking.
  • a method of the kind specified characterized by the steps of: forming said polysilicon conductor having a masking member there- over from a doped polysilicon layer; thermally oxidiz ⁇ ing the substrate at a temperature in the range 700- 750 degrees C to form a relatively thick oxide layer on a side surface of said polysilicon conductor and a relatively thin oxide layer on an adjacent region of said substrate, removing the masking member from said polysilicon conductor; subjecting the substrate to doping by a dopant; and forming said first and second metal conductors over the exposed surfaces of said polysilicon conductor and said adjacent region.
  • a method according to this aspect of the invention has the further advantage of being suitable for utilization in a process for forming lightly doped drain-source (LDD) devices in a controllable and reproducible manner.
  • a method of the kind specified characterized by the steps of forming said second metal conductor to overlie a further polysilicon conductor; providing masking members over said polysilicon conductors; thermally oxidizing the substrate at a temperature in the range 700-750 de ⁇ grees C to form relatively thick oxide layers on side surfaces of said polysilicon conductors; removing said masking members; and depositing said metal conductors over the exposed upper surfaces of said polysilicon conductors.
  • OMPI Figs. 1-6 are cross-sectional representations of stages in a process for forming an n-MOSFET with polysilicon gate electrode and interconnecting conduc ⁇ tors of a single high conductivity and single impurity type;
  • Figs. 7-9 are cross-sectional representations of stages in manufacturing an n-MOSFET using an alter ⁇ native process according to the present invention.
  • the process of forming a sidewall oxide (or oxide trench) structure for silicon gates and interconnections in accordance with the present inven ⁇ tion will now be described with reference to forming a LDD FET, more specifically, a LDD n-MOSFET. It should be noted that many of the techniques for implementing the various individual steps of the fabrication method are well-known in the art and may be implemented in a number of different ways which are readily apparent to those of ordinary skill in the art. Referring now to Fig. 1, the starting material is a slice of p-type monocrystalline semicon ⁇ ductor grade silicon. The starting material can be either (111) or (100) orientation and has a bulk resistivity typically of about 8-20 ohm-cm.
  • the substrate 10 represents only a very small undivided part of the slice, perhaps less than 15 microns wide for each part.
  • the semi-recessed oxide (commonly referred to as field isolation oxide) regions 11-11 are formed in a conventional manner.
  • the oxide re ⁇ gions 11-11 which are typically about 5,000-15,000 Angstroms (0.5-1.5 microns) thick, define the device active region and provide dielectric isolation between devices formed on the semiconductor slice.
  • One such device active region is shown in Fig. 1 and designated by numeral 12. Active region 12 is then subjected to - b -
  • suitable ion implantation to adjust the threshold voltage of the LDDFET to be formed thereon to the desired value.
  • Oxide layer 13 serves as the gate insulator for the LDDFET and is typically about 100-500 Angstroms (0.01-0.05 microns) thick.
  • a polycrystalline silicon layer 14 of thickness 2,000-5,000 Angstroms (0.2-0.5 mi ⁇ crons) is formed over the entire structure by low pressure chemical vapor deposition (LPCVD) .
  • LPCVD low pressure chemical vapor deposition
  • the term polycrystalline is used to include amorphous or near- amorphous as well as truly polycrystalline structure.
  • the polysilicon layer 14 is then heavily doped by a conventional doping technique.
  • polysilicon 14 doping step is phosphorus oxychloride (POCI3) deposition and thermal diffusion such that the phosphorus concentration in the polysilicon layer 14 is about I ⁇ 20_ ⁇ o21 atoms per cm3.
  • POCI3 phosphorus oxychloride
  • Another example of polysilicon 14 doping is by ion implantation of arse ⁇ nic ions into the polysilicon layer.
  • the sheet resistivity of polysilicon layer 14 will be in the range of 10-14 ohms per square. Doping the polysilicon layer 14 to a high concentration level is essential not only for providing a high conductivity to the polysilicon gates and interconnects that will be formed from layer 14 but also to enable forming a thick oxide sidewall structure abutting the polysilicon gates and interconnects as will be fully discussed heTeinbelow.
  • the next step of the present process is forming a masking layer over the polysilicon 14.
  • One approach to forming this masking layer is conversion
  • a layer of silicon nitride 16 is then formed over the silicon dioxide layer 15 using conventional processing techniques such as CVD using a silicon containing gas and ammonia reactants.
  • the layer of nitride 16 is typically about 1,000-1800 Angstroms (0.1-0.18 microns) thick.
  • the silicon dioxide layer 15 not only serves as a pad between the polysilicon layer 14 and the nitride 16 and prevents damage to the underlying polysilicon 14 by minimizing the stresses created on the polysilicon 14 by the • silicon nitride-polysilicon interface but also aids in the eventual removal of the nitride layer 16.
  • the nitride 16 serves as an oxidation mask during subse ⁇ quent oxidation steps of the present process.
  • Another approach to forming the mask over the polysilicon 14 omits the pad oxide 15 and forms the nitride layer 16 directly over the polysilicon layer 14 by injecting nitrogen atoms into an upper surface layer of polysilicon 14 and thereby converting this surface layer into silicon nitride.
  • a nitrogen dose of about 5 X 10 ⁇ 5 atoms per square cm may be utilized for converting a 300-800 Angstroms (0.03-0.08 microns) thick upper layer of polysilicon 14 into silicon nitride 16.
  • nitride layer 16 oxide layer 15 and polysilicon layer 14 into the desired combination of gate structures and interconnecting conductor struc- • tures.
  • This includes forming a photoresist layer 17 of typical thickness in the range 6,000-10,000 Ang ⁇ stroms (0.6-1 micron) over the entire surface of the nitride 16 and then exposing the photoresist to ultra- violet or similar radiation through a mask which defines the desired pattern of gates and interconnect ⁇ ing conductors.
  • the photoresist is then removed by using a suitable solvent exposing the areas where the nitride is to be etched away.
  • the nitride layer 16 is then etched using the overlying photoresist as a mask by conventional etching techniques such as plasma etch.
  • the oxide 15 and polysilicon 14 are then etched using the overlying photoresist-nitride dual layer and photoresist-nitride-oxide triple layer, respectively, as a mask.
  • a gate structure 18 corresponding to the device active area 12 and two interconnecting conduc ⁇ tor structures on the top of the isolation oxide 11 and designated by numerals 19 and 20 formed in this manner are shown in Fig. 2.
  • the various parts designated by single, double and triple primed numerals represent the portions of the layers designated by the unpri ed numerals (Fig. 1) from which they were formed.
  • the photoresist masks 17', 17' ' and 17 ⁇ r * for the various structures is removed.
  • the oxide layer 12 not masked by the overlying gate structure 14'-15'-16' is removed by dipping the slice in a dilute hydrofluoric acid etchant solution.
  • the oxide layer 13 is removed over the source and drain areas of the transistor and defined into gate oxide 13'.
  • the structure is then subjected to a light doping step using n-type ions to form the lightly doped n-source and drain regions and 22, respectively.
  • This doping step may, typical ⁇ ly, be accomplished by using arsenic ions of energy 70 keV and dose (1-10) X 101 ions per square cm.
  • the lightly doped source and drain regions 21 and 22, respectively, are shallow and typically of junction depth less than 0.1 microns.
  • the next step of the fabrication process is low temperature steam oxidation of the entire struc ⁇ ture.
  • the temperature selected for this oxidation step is in the range of 700-750 degrees C and the time of oxidation is about 1-4 hours.
  • the un ⁇ masked side surfaces of doped polysilicon gate 14' and interconnecting conductors 14' ' and 14* ' ' and the exposed regions of the silicon substrate 10 will be oxidized.
  • sidewall oxide regions 23-23, 24-24 and 25-25 will be formed corresponding to the polysilicon gate 14', interconnecting lines- 14' ' and 14' 11 , respectively.
  • the thickness of the oxide formed on the side surfaces of the polysilicon gate 14' and interconnecting conduc- tors 14' ' " and 14''' will be much greater than that of the oxide layer 26 formed over the source and drain regions of the silicon substrate.
  • the thickness ratio of the oxide grown on the side surfaces of the polysilicon to the oxide grown over the source and drain regions of the substrate is a function of such parameters as the crystal orientation of the silicon substrate (in general, the growth rate for (111) surface is about 10-20% higher than that for (100) surface) , the relative dopant concentrations i ' n the polysilicon and silicon substrate (for example, the thickness of the oxide grown on polysilicon doped to a concentration level of l ⁇ 20_ ⁇ o21 atoms/cm 3 is six to
  • the thicknesses of the polysilicon sidewall oxide 23-23 and the oxide layer 26 formed over the substrate when the structure was subjected to an oxidation step at ambient pressure and temperature of about 750 degrees C for a period of three hours were 2,700 Angstroms (0.27 microns) and 440 Angstroms (0.044 microns), respectively.
  • the thickness of the polysilicon sidewall oxide 23-23 was approximately six times the thickness of the oxide layer 26.
  • the nitride-oxide dual mask 16'-15', 16 , r -15*' and 16' » , -i5 , , « corresponding to the polysilicon gate 14', interconnects 14' ' and 14 1 ' 1 , respectively, and the oxide layer 26 over the source and drain regions of the substrate are etched off by conventional tech ⁇ niques such as plasma etch or concentrated phosphoric acid etch at a temperature of 150 degrees C for remov ⁇ al of the nitride and dilute hydrofluoric acid etch for removal of the oxide.
  • a small portion of the polysilicon sidewall oxide is also removed, but since the sidewall
  • W1PO oxide thickness is quite large to begin with, this will not have any deleterious effect on the final intended structure.
  • an n-type ion implantation step is carried out to form the heavily doped n+ source and drain regions 27 and 28, respec ⁇ tively.
  • arsenic is used as the dopant during this implantation step since it has relatively heavy mass and produces a shallow junction.
  • Typical arsenic ion dose and energy for forming n+ source and drain regions 27 and 28, respectively are 5 X 1015- 1016 ions per square cm and 80 keV, respectively.
  • the oxide sidewalls 23- 23 extending from the polysilicon gate 14* will mask the underlying lightly doped n ⁇ source and drain regions 21A and 22A, respectively.
  • the heavily doped n + source and drain regions 27 and 28 will be spaced away from the channel region by a distance equal to the polysilicon sidewall 23 thick- ness. Electrical connection of the heavily doped source and drain regions 27 and 28 to the channel regions of the substrate is made via the lightly doped source and drain regions 21A and 22A, respectively. In this manner, a self-aligned gate LDD n-MOSFET structure is conveniently formed.
  • the next step in the present fabrication process is a thermal anneal step in nitrogen or argon gas at a temperature of about 1000 degrees C for a period of 1-2.5 hours to drive-in the arsenic ions introduced into the heavily doped source and drain regions 27 and 28, respectively.
  • typical junction depth of the n + regions 27 and 28 will be in the range of 0.1-0.5 microns.
  • the junction depths of the n- regions 21A and 22A will be significantly less than the junction depth of the n+ regions 27 and 28.
  • a metal such as tungsten is selectively deposited, for example, by chemical vapor deposition using gaseous tungsten hexafluoride (WFg) as donor over all the exposed areas of the silicon substrate 10 and the polysilicon mem ⁇ bers 14', 14' ' and 14 , , , to a thickness of about 1000- 2000 Angstroms. It can be appreciated that during this selective deposition step, no tungsten will be formed over the oxide regions.
  • the tungsten conduct- ing members formed in this manner and making electri ⁇ cal contact with the source 21A-27, drain 22A-28, polysilicon gate 14' and interconnects 14" ' and.14 1 ' 1 are shown in Fig. 6 and designated by numerals 29, 30, 31, 32 and 33, respectively.
  • Other metals that are suitable for substitution in place of tungsten are refractory metal suicides such as tungsten silicide.
  • the structure is subjected to a low temperature (700-750 degrees C) oxidation step for a period of 1-4 hours forming the polysilicon sidewalls 34-34, 35-35 and 36-36 corre ⁇ sponding to the gate and interconnects 14', 14' ' and 14' 1 ', respectively (see Fig. 7).
  • a low temperature oxidation step for a period of 1-4 hours forming the polysilicon sidewalls 34-34, 35-35 and 36-36 corre ⁇ sponding to the gate and interconnects 14', 14' ' and 14' 1 ', respectively (see Fig. 7).
  • this low temperature oxidation step the thickness of the oxide layer 13 outside the gate area will increase by about one-sixth of the polysilicon sidewall oxide (e.g. sidewall 34-34) thickness.
  • This oxide layer outside the gate area is designated by numeral 37.
  • openings 38 and 39 are made in a central portion of the oxide layer 37 to expose a portion of the source and drain
  • an n-type ion implantation step is accomplished using, typically, arsenic ions of energy 20 to 80 keV and dose of 1020- 1021 atoms per square centimeter to form a graded dopant profile for source and drain regions designated by numeral pairs 40-41 and 42-43, respectively, each consisting of a shallow n+ region (40, 42) surrounded by a much less shallow n ⁇ region (41, 43) and self- aligned with the gate.
  • the n + regions 40 and 42 are formed due to direct implantation of n-type ions into the substrate through the openings 38 and 39 in the oxide layer 37.
  • the n" regions 41 and 42 are formed by the n-type ions which penetrate the oxide barrier layer 37.
  • the n ⁇ regions are less shallow than the n + regions since a part of the energy of the implanted ions is absorbed by the oxide layer 37, thereby de ⁇ creasing the ions' penetration depth.
  • the graded dopant profile source 40-41 and drain 42-43 formed in this manner is spaced from the gate 14' by the thick ⁇ ness of the oxide sidewall 34-34 since the sidewall 34 prevents any ions from reaching the substrate there ⁇ under during the source-drain ion implantation step.
  • a metal such as tungsten is selec-. tively deposited over the polysilicon gate 14' and interconnects 14'' and 14' M and the exposed source and drain regions of the substrate in a manner dis ⁇ cussed previously in connection with Fig. 6.
  • the metal conducting members formed in this fashion and establishing electrical contact with the source 40-41, drain 42-43, polysilicon gate 14' and interconnects 14 1 ', 14* '' are shown in Fig. 9 under the designation of numerals 44-48, respectively.
  • the processing at this point is substantially complete as far as the novelty of the present inven ⁇ tion is concerned. The balance of the processing is conventionally performed.
  • the present process provides a semiconductor device with improved reliability and yield of produc ⁇ tion. Also, this process is conducive for fabrication of LDD MOSFETs which are free from the debilitating short channel side effects such as drain punchthrough to which scaled down devices are prone.

Abstract

In a method for manufacturing a semiconductor device having small dimensions, a semiconductor substrate (10) is provided with a gate oxide layer (13), a heavily doped polysilicone electrode (14') and an oxide-nitride mask (15', 16'). After a light doping to form doped regions (21, 22) the substrate is subjected to thermal oxidation at a temperature in the range 700-750 degrees to form a relatively thick oxide layer (23) on the polysilicon gate (14') and a relatively oxide layer (26) on the adjacent substrate region. The oxide-nitride mask (15', 16') is then removed and the substrate subjected to further doping, the sidewall oxide layer (23) protecting the underlying substrate from further doping. The sidewall oxide layers (23) serve to prevent electrical shorts between individual tungsten layers (30, 31) provided on the polysilicon gate (14') and adjacent substrate. Sidewall oxide layers (24, 25) are also formed over polysilicon interconnect conductors (14'', 14''') and similarly prevent shorts between tungsten layers (32, 33) provided thereover.

Description

Method of manufacturing a semiconductor device having small dimensions
Technical Field
This invention relates to a method of manufacturing a semiconductor device including a substrate having a first metal conductor overlying a polysilicon conductor, and a second metal conductor located adjacent said first metal conductor.
Background Art
In recent years there has been a continuing trend toward the development of semiconductor devices having extremely small dimensions of the order of 1 micron or less to increase the packing density of devices on an integrated circuit chip. Particularly in the area of MOS memories, gate dimensions and associated interconnect dimensions continue to be scaled down to increase not only the circuit density on the chip, but also to improve the circuit perform¬ ance (by increased operational speeds, etc.).
One problem encountered in manufacturing small geometry MOS devices relates to electrical shorts between interconnecting conductors such as the electrical conductors contacting the self-aligned gate, source and drain. To elaborate on this point, in the fabrication of silicon gate MOS devices after forming the polysilicon gate structure and the source- drain regions in correspondence with the gate struc¬ ture, a metal such as tungsten is selectively deposit¬ ed over the polysilicon gate and the source-drain regions of the silicon substrate to provide low re- sistance conductor lines. However, the metal deposit¬ ed in this manner tends to create an electrical short with the metal deposited over the adjacent source- drain regions. A similar shorting problem exists between adjacent closely-spaced polysilicon intercon- nect lines.
OMPI WIPO .fr A method of the kind specified is known from U.S. Patent Specification No. 4,330,931, which dis¬ closes a process for forming a self-aligned silicon gate n-MOSFET having n- source-drain extensions. In this process after forming the polysilicon gate having a nitride overhang mask, arsenic ions are implanted to form the n+ source and drain. During this implant step, lightly doped source-drain extensions are also formed due to blocking of some ions by the nitride overhangs. The structure is then subjected to a high temperature (920 degrees C) oxidation step to grow a 1000 Angstroms thick oxide- over the sides of the polysilicon gate and to thicken an oxide layer on the substrate above the source-drain regions. The oxide over the n+ source-drain regions is then damaged by argon ion bombardment. During this oxide damaging step, the oxide over the n~ source-drain regions and the sidewalls of the gate is protected by the nitride mask. The damaged oxide and the nitride mask are then removed and a tungsten layer is selectively deposited over the exposed n+ source-drain regions and the gate. The known process requires an oxide damaging step which is not only an extra process step, but also requires very careful control lest the source-drain areas may "also be damaged. Both of these requirements are particularly disadvantageous from a high volume manufacturing standpoint. Another disadvantage is that this process requires forming a nitride overhang mask atop the polysilicon by undercutting the polysilicon. This is a critical process step and is very difficult to control. Further, any variation in undercutting the polysilicon may cause possible damage to the sidewall oxide during argon ion bombardment. Yet another disadvantage of the known process is that this process appears to be limited to forming a polysilicon gate sidewall oxide having a thickness of only 1000 Angstroms. Consequently, upon selective deposition of tungsten over the polysilicon gate and the source-drain areas, adjacent tungsten strips may short each other out due to tungsten spiking.
In an article by H. Sunami et al. in Japanese Journal of Applied Physics, Vol. 18 (1979) , Supplement 18-1, pages 255-260, there is disclosed a method of manufacturing an MOS transistor wherein a substrate provided with a doped polysilicon gate is subjected to wet thermal oxidation at 700 degrees C to form a relatively thick silicon dioxide layer over the entire surface of the polysilicon gate and a relatively thin silicon dioxide layer over the adjacent substrate region. The thin oxide is then removed utilizing a diffusion-defined uniform etching process and the source and drain regions doped by implantation or thermal"diffusion. Contact metallization to the source and drain regions is then performed utilizing aluminum, a refractory metal, or polysilicon. Howev¬ er, this known process does not relate to the forma- tion of metal covered polysilicon conductors.
An article by E. Takeda et al. in IEEE Transactions on Electron Devices, Vol. ED-29, No. 4, pages 611-618, April 1982, discloses a device struc¬ ture for minimizing hot-carrier generation and associ- ated problems in submicrometer MOS devices. According to Fig. 10(c), self-aligned n~ regions are introduced between the channel and n+ regions. The n+ regions are implanted after selective oxide watering of the polysilicon gate electrode, to fabricate offset re- gions. However, this known process also does not relate to the formation of metal covered polysilicon conductors.
Disclosure of the Invention
It is an object of the present invention to provide a method of the kind specified whereby the shorting problem between adjacent metal conductors alleviated in a simple and controllable manner. According to one aspect of the present invention, there is provided a method of the kind specified, characterized by the steps of: forming said polysilicon conductor having a masking member there- over from a doped polysilicon layer; thermally oxidiz¬ ing the substrate at a temperature in the range 700- 750 degrees C to form a relatively thick oxide layer on a side surface of said polysilicon conductor and a relatively thin oxide layer on an adjacent region of said substrate, removing the masking member from said polysilicon conductor; subjecting the substrate to doping by a dopant; and forming said first and second metal conductors over the exposed surfaces of said polysilicon conductor and said adjacent region. A method according to this aspect of the invention has the further advantage of being suitable for utilization in a process for forming lightly doped drain-source (LDD) devices in a controllable and reproducible manner. According to another aspect of the invention, there is provided a method of the kind specified, characterized by the steps of forming said second metal conductor to overlie a further polysilicon conductor; providing masking members over said polysilicon conductors; thermally oxidizing the substrate at a temperature in the range 700-750 de¬ grees C to form relatively thick oxide layers on side surfaces of said polysilicon conductors; removing said masking members; and depositing said metal conductors over the exposed upper surfaces of said polysilicon conductors.
Brief Description of the Drawings
Two embodiments of the present invention will now be described by way of example with reference to the accompanying drawings, in which:
OMPI Figs. 1-6 are cross-sectional representations of stages in a process for forming an n-MOSFET with polysilicon gate electrode and interconnecting conduc¬ tors of a single high conductivity and single impurity type; and
Figs. 7-9 are cross-sectional representations of stages in manufacturing an n-MOSFET using an alter¬ native process according to the present invention.
Best Mode for Carrying Out the Invention The process of forming a sidewall oxide (or oxide trench) structure for silicon gates and interconnections in accordance with the present inven¬ tion will now be described with reference to forming a LDD FET, more specifically, a LDD n-MOSFET. It should be noted that many of the techniques for implementing the various individual steps of the fabrication method are well-known in the art and may be implemented in a number of different ways which are readily apparent to those of ordinary skill in the art. Referring now to Fig. 1, the starting material is a slice of p-type monocrystalline semicon¬ ductor grade silicon. The starting material can be either (111) or (100) orientation and has a bulk resistivity typically of about 8-20 ohm-cm. In the figures, the substrate 10 represents only a very small undivided part of the slice, perhaps less than 15 microns wide for each part. After appropriate clean¬ ing of the slice, the semi-recessed oxide (commonly referred to as field isolation oxide) regions 11-11 are formed in a conventional manner. The oxide re¬ gions 11-11, which are typically about 5,000-15,000 Angstroms (0.5-1.5 microns) thick, define the device active region and provide dielectric isolation between devices formed on the semiconductor slice. One such device active region is shown in Fig. 1 and designated by numeral 12. Active region 12 is then subjected to - b -
suitable ion implantation to adjust the threshold voltage of the LDDFET to be formed thereon to the desired value.
Next, a clean and high-quality silicon dioxide layer 13 is formed over the entire structure by conventional techniques such as oxidation of the substrate 10 in an HCl/02 environment at a temperature of about 900-1000 degrees C. Oxide layer 13 serves as the gate insulator for the LDDFET and is typically about 100-500 Angstroms (0.01-0.05 microns) thick.
Thereafter, a polycrystalline silicon layer 14 of thickness 2,000-5,000 Angstroms (0.2-0.5 mi¬ crons) is formed over the entire structure by low pressure chemical vapor deposition (LPCVD) . The term polycrystalline is used to include amorphous or near- amorphous as well as truly polycrystalline structure. The polysilicon layer 14 is then heavily doped by a conventional doping technique. One example of polysilicon 14 doping step is phosphorus oxychloride (POCI3) deposition and thermal diffusion such that the phosphorus concentration in the polysilicon layer 14 is about Iθ20_ιo21 atoms per cm3. Another example of polysilicon 14 doping is by ion implantation of arse¬ nic ions into the polysilicon layer. As a result of this high dopant concentration profile, the sheet resistivity of polysilicon layer 14 will be in the range of 10-14 ohms per square. Doping the polysilicon layer 14 to a high concentration level is essential not only for providing a high conductivity to the polysilicon gates and interconnects that will be formed from layer 14 but also to enable forming a thick oxide sidewall structure abutting the polysilicon gates and interconnects as will be fully discussed heTeinbelow. The next step of the present process is forming a masking layer over the polysilicon 14. One approach to forming this masking layer is conversion
OMPI of the upper surface of polysilicon layer 14 by ther¬ mal oxidation into a layer of silicon dioxide 15 or deposition of oxide layer 15 onto the upper surface of the polysilicon layer 14 to a thickness of about 150 Angstroms (0.015 microns). A layer of silicon nitride 16 is then formed over the silicon dioxide layer 15 using conventional processing techniques such as CVD using a silicon containing gas and ammonia reactants. The layer of nitride 16 is typically about 1,000-1800 Angstroms (0.1-0.18 microns) thick. The silicon dioxide layer 15 not only serves as a pad between the polysilicon layer 14 and the nitride 16 and prevents damage to the underlying polysilicon 14 by minimizing the stresses created on the polysilicon 14 by the • silicon nitride-polysilicon interface but also aids in the eventual removal of the nitride layer 16. The nitride 16 serves as an oxidation mask during subse¬ quent oxidation steps of the present process.
Another approach to forming the mask over the polysilicon 14 omits the pad oxide 15 and forms the nitride layer 16 directly over the polysilicon layer 14 by injecting nitrogen atoms into an upper surface layer of polysilicon 14 and thereby converting this surface layer into silicon nitride. In this tech- nique, a nitrogen dose of about 5 X 10^5 atoms per square cm may be utilized for converting a 300-800 Angstroms (0.03-0.08 microns) thick upper layer of polysilicon 14 into silicon nitride 16.
Referring to Figs. 1 and 2, conventional photolithographic and etching techniques are then used to pattern the nitride layer 16, oxide layer 15 and polysilicon layer 14 into the desired combination of gate structures and interconnecting conductor struc- tures. This includes forming a photoresist layer 17 of typical thickness in the range 6,000-10,000 Ang¬ stroms (0.6-1 micron) over the entire surface of the nitride 16 and then exposing the photoresist to ultra- violet or similar radiation through a mask which defines the desired pattern of gates and interconnect¬ ing conductors. The photoresist is then removed by using a suitable solvent exposing the areas where the nitride is to be etched away. The nitride layer 16 is then etched using the overlying photoresist as a mask by conventional etching techniques such as plasma etch. The oxide 15 and polysilicon 14 are then etched using the overlying photoresist-nitride dual layer and photoresist-nitride-oxide triple layer, respectively, as a mask. A gate structure 18 corresponding to the device active area 12 and two interconnecting conduc¬ tor structures on the top of the isolation oxide 11 and designated by numerals 19 and 20 formed in this manner are shown in Fig. 2. In structures 18, 19 and 20, the various parts designated by single, double and triple primed numerals represent the portions of the layers designated by the unpri ed numerals (Fig. 1) from which they were formed. For example, 17' ' repre- sents the portion of the photoresist mask layer 17 corresponding to the interconnect structure 19; 14' ' ' represents the polysilicon interconnect formed from the polysilicon layer 14 corresponding to the inter¬ connect structure 20. After patterning the device gate and interconnect structures in the manner indicated above, referring to Fig. 2, the photoresist masks 17', 17' ' and 17ι r* for the various structures is removed. Next, the oxide layer 12 not masked by the overlying gate structure 14'-15'-16' is removed by dipping the slice in a dilute hydrofluoric acid etchant solution. In other words, as shown in Fig. 3, the oxide layer 13 is removed over the source and drain areas of the transistor and defined into gate oxide 13'. As shown in Fig. 3, the structure is then subjected to a light doping step using n-type ions to form the lightly doped n-source and drain regions and 22, respectively. This doping step may, typical¬ ly, be accomplished by using arsenic ions of energy 70 keV and dose (1-10) X 101 ions per square cm. The lightly doped source and drain regions 21 and 22, respectively, are shallow and typically of junction depth less than 0.1 microns.
The next step of the fabrication process is low temperature steam oxidation of the entire struc¬ ture. The temperature selected for this oxidation step is in the range of 700-750 degrees C and the time of oxidation is about 1-4 hours. Referring to Fig. 4, during this low temperature oxidation step, the un¬ masked side surfaces of doped polysilicon gate 14' and interconnecting conductors 14' ' and 14* ' ' and the exposed regions of the silicon substrate 10 will be oxidized. As a result of this oxidation step, sidewall oxide regions 23-23, 24-24 and 25-25 will be formed corresponding to the polysilicon gate 14', interconnecting lines- 14' ' and 14'11, respectively. since the growth rate of silicon dioxide from the heavily doped polysilicon is significantly greater than that of the undoped or lightly doped silicon, the thickness of the oxide formed on the side surfaces of the polysilicon gate 14' and interconnecting conduc- tors 14' ' "and 14''' will be much greater than that of the oxide layer 26 formed over the source and drain regions of the silicon substrate. The thickness ratio of the oxide grown on the side surfaces of the polysilicon to the oxide grown over the source and drain regions of the substrate is a function of such parameters as the crystal orientation of the silicon substrate (in general, the growth rate for (111) surface is about 10-20% higher than that for (100) surface) , the relative dopant concentrations i'n the polysilicon and silicon substrate (for example, the thickness of the oxide grown on polysilicon doped to a concentration level of lθ20_ιo21 atoms/cm3 is six to
O PΪ eight times the thickness of the oxide grown on un¬ doped (Ϊ00) silicon surface) , oxidation temperature (as the oxidation temperature becomes lower the dif¬ ference in oxidation rate between doped polysilicon and undoped silicon increases) and pressure at which the oxidation is carried out (oxidation rate becomes greater with increasing ambient pressure) . In a specific example of the present polysilicon sidewall oxidation technique, using a (100) silicon substrate 10 having a polysilicon gate 14' of dopant (phos¬ phorus) concentration of lθ20-ιo21 atoms/cm3 and n~ regions 21 and 22 of dopant (arsenic) concentration of 1016-1018 atoms/cm3, the thicknesses of the polysilicon sidewall oxide 23-23 and the oxide layer 26 formed over the substrate when the structure was subjected to an oxidation step at ambient pressure and temperature of about 750 degrees C for a period of three hours were 2,700 Angstroms (0.27 microns) and 440 Angstroms (0.044 microns), respectively. In other words, the thickness of the polysilicon sidewall oxide 23-23 was approximately six times the thickness of the oxide layer 26.
Referring to Fig. 4, following the low temperature oxidation step to form the oxide sidewalls extending from the unmasked side surfaces of the polysilicon gate 14' and interconnects 14' ' and 14''*, the nitride-oxide dual mask 16'-15', 16, r-15*' and 16' » ,-i5, , « corresponding to the polysilicon gate 14', interconnects 14' ' and 141'1, respectively, and the oxide layer 26 over the source and drain regions of the substrate are etched off by conventional tech¬ niques such as plasma etch or concentrated phosphoric acid etch at a temperature of 150 degrees C for remov¬ al of the nitride and dilute hydrofluoric acid etch for removal of the oxide. During the just-mentioned oxide etch step a small portion of the polysilicon sidewall oxide is also removed, but since the sidewall
W1PO oxide thickness is quite large to begin with, this will not have any deleterious effect on the final intended structure.
Next, referring to Fig. 5, an n-type ion implantation step is carried out to form the heavily doped n+ source and drain regions 27 and 28, respec¬ tively. Preferably, arsenic is used as the dopant during this implantation step since it has relatively heavy mass and produces a shallow junction. Typical arsenic ion dose and energy for forming n+ source and drain regions 27 and 28, respectively, are 5 X 1015- 1016 ions per square cm and 80 keV, respectively. During this implantation step, the oxide sidewalls 23- 23 extending from the polysilicon gate 14* will mask the underlying lightly doped n~ source and drain regions 21A and 22A, respectively. In other words, the heavily doped n+ source and drain regions 27 and 28 will be spaced away from the channel region by a distance equal to the polysilicon sidewall 23 thick- ness. Electrical connection of the heavily doped source and drain regions 27 and 28 to the channel regions of the substrate is made via the lightly doped source and drain regions 21A and 22A, respectively. In this manner, a self-aligned gate LDD n-MOSFET structure is conveniently formed.
The next step in the present fabrication process is a thermal anneal step in nitrogen or argon gas at a temperature of about 1000 degrees C for a period of 1-2.5 hours to drive-in the arsenic ions introduced into the heavily doped source and drain regions 27 and 28, respectively. At the completion of this anneal step, typical junction depth of the n+ regions 27 and 28 will be in the range of 0.1-0.5 microns. The junction depths of the n- regions 21A and 22A will be significantly less than the junction depth of the n+ regions 27 and 28. Next, referring to Fig. 6, a metal such as tungsten is selectively deposited, for example, by chemical vapor deposition using gaseous tungsten hexafluoride (WFg) as donor over all the exposed areas of the silicon substrate 10 and the polysilicon mem¬ bers 14', 14' ' and 14, , , to a thickness of about 1000- 2000 Angstroms. It can be appreciated that during this selective deposition step, no tungsten will be formed over the oxide regions. The tungsten conduct- ing members formed in this manner and making electri¬ cal contact with the source 21A-27, drain 22A-28, polysilicon gate 14' and interconnects 14" ' and.141'1 are shown in Fig. 6 and designated by numerals 29, 30, 31, 32 and 33, respectively. Other metals that are suitable for substitution in place of tungsten are refractory metal suicides such as tungsten silicide.
An alternative method of forming a LDD n-MOSFET in accordance with the present invention is illustrated in Figs. 1-2 and 7-9. The steps of this alternative method are as follows:
Referring to Fig. 2, after removing the photoresist mask members 17', 17' ' and 17' ' * corre¬ sponding to the polysilicon gate and interconnects 14*, 14' ' and 14'11, respectively, the structure is subjected to a low temperature (700-750 degrees C) oxidation step for a period of 1-4 hours forming the polysilicon sidewalls 34-34, 35-35 and 36-36 corre¬ sponding to the gate and interconnects 14', 14' ' and 14'1', respectively (see Fig. 7). During this low temperature oxidation step the thickness of the oxide layer 13 outside the gate area will increase by about one-sixth of the polysilicon sidewall oxide (e.g. sidewall 34-34) thickness. This oxide layer outside the gate area is designated by numeral 37. Thereafter, referring to Fig. 8, openings 38 and 39 are made in a central portion of the oxide layer 37 to expose a portion of the source and drain
OMH regions of the underlying substrate. Next, the ni¬ tride-oxide members 16«-15', 16' '-15'' and Iβ'"-^111 over the polysilicon gate 14' and interconnects 14' ' and 14' ' are removed. Referring to Fig. 8, then an n-type ion implantation step is accomplished using, typically, arsenic ions of energy 20 to 80 keV and dose of 1020- 1021 atoms per square centimeter to form a graded dopant profile for source and drain regions designated by numeral pairs 40-41 and 42-43, respectively, each consisting of a shallow n+ region (40, 42) surrounded by a much less shallow n~ region (41, 43) and self- aligned with the gate. The n+ regions 40 and 42 are formed due to direct implantation of n-type ions into the substrate through the openings 38 and 39 in the oxide layer 37. The n" regions 41 and 42 are formed by the n-type ions which penetrate the oxide barrier layer 37. The n~ regions are less shallow than the n+ regions since a part of the energy of the implanted ions is absorbed by the oxide layer 37, thereby de¬ creasing the ions' penetration depth. The graded dopant profile source 40-41 and drain 42-43 formed in this manner is spaced from the gate 14' by the thick¬ ness of the oxide sidewall 34-34 since the sidewall 34 prevents any ions from reaching the substrate there¬ under during the source-drain ion implantation step.
Referring to Fig. 9, after forming the source and drain regions, a metal such as tungsten is selec-. tively deposited over the polysilicon gate 14' and interconnects 14'' and 14' M and the exposed source and drain regions of the substrate in a manner dis¬ cussed previously in connection with Fig. 6. The metal conducting members formed in this fashion and establishing electrical contact with the source 40-41, drain 42-43, polysilicon gate 14' and interconnects 141', 14* '' are shown in Fig. 9 under the designation of numerals 44-48, respectively. The processing at this point is substantially complete as far as the novelty of the present inven¬ tion is concerned. The balance of the processing is conventionally performed. By using the above process it is possible to fabricate semiconductor MOS-type devices having polysilicon gates and interconnects having a width in the range of 1-5 microns or less and source-drain regions as small as 1-3 microns on a side. Since the various polysilicon conductors are effectively insu¬ lated by thick oxide sidewall or trench structure, short-circuiting between adjacent conductors is pre¬ vented. The relative closeness of the interconnecting conductors is determined by the photolithographic limitations, using conventional optical lithography in combination with the present process it is possible to space the interconnects as close as 1 micron apart. By using X-ray lithography in conjunction with the present process, the spacing between the interconnects can be reduced to much below 1 micron. Since the short-circuiting between adjacent conductors is pre¬ vented, the present process provides a semiconductor device with improved reliability and yield of produc¬ tion. Also, this process is conducive for fabrication of LDD MOSFETs which are free from the debilitating short channel side effects such as drain punchthrough to which scaled down devices are prone.

Claims

CLAIMS:
1. A method of manufacturing a semiconductor device including a substrate (10) having a first metal conductor (31) overlying a polysilicon conductor (14'), and a second metal conductor (30) located adjacent said first metal conductor (31) , characterized by the steps of: forming said polysilicon conductor (14') having a masking member (15', 16') thereover from a doped polysilicon layer (14) ; thermally oxidizing the substrate (10) at a temperature in the range 700-750 degrees C to form a relatively thick oxide layer (23) on a side surface of said polysilicon conductor (14') and a relatively thin oxide layer (26) on an adjacent region of said substrate (10), removing the masking member (15', 16') from said polysilicon conductor (14'); subjecting the substrate to doping by a dopant; and forming said first and second metal conductors (30, 31) over the exposed surfaces of said polysilicon conductor (14') and said adjacent region.
2. A method according to claim 1, characterized in that the said dopant concentration in said polysilicon conductor (14') is at a relatively high level compared with the dopant concentration in said adjacent region.
3. A method according to claim 1, characterized by the step of removing the relatively thin oxide layer (26) from said adjacent region prior to subjecting the substrate (10) to said doping.
4. A method according to claim 1, characterized in that said masking member includes a layer of silicon nitride (161).
5. A method according to claim 4, characterized in that said masking member includes a layer of silicon dioxide (15') located between said polysilicon conductor (14') and said layer of silicon nitride (16') .
6. A method according to claim 1, characterized by the step of subjecting the substrate to an initial, relatively light doping after forming said polysilicon conductor (14r) and prior to thermal- ly oxidizing the substrate (10) .
7. A method according to claim 1, characterized in that said metal conductors (30, 31) consist essentially of tungsten.
8. A method of manufacturing a semiconductor device including a substrate (10) having a first metal conductor (32) overlying a polysilicon conductor (14'') and a second metal conductor (33) located adjacent said first metal conductor (32) , characterized by the steps of forming said second metal conductor (33) to overlie a further polysilicon conductor (14'1'); providing masking members (15'', 16' '; 15* •~', 16'*1) over said polysilicon conductors (14r ι, 14'*'); thermally oxidizing the substrate (10) at a temperature in the range 700-750 degrees C to form relatively thick oxide layers (24, 25) on side surfaces of said polysilicon conductors (14*', 14'1'); removing said masking members (15*', 16' '; 15'1', 16'''); and depositing said metal conductors (32, 33) over the exposed upper surfaces of said polysilicon conductors (14", 14'").
PCT/US1984/000496 1983-04-18 1984-04-03 Method of manufacturing a semiconductor device having small dimensions WO1984004204A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE8484901561T DE3470253D1 (en) 1983-04-18 1984-04-03 Method of manufacturing a semiconductor device having small dimensions
DE1984901561 DE138978T1 (en) 1983-04-18 1984-04-03 METHOD FOR PRODUCING A SEMICONDUCTOR ARRANGEMENT WITH SMALL DIMENSIONS.

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US06/486,275 US4503601A (en) 1983-04-18 1983-04-18 Oxide trench structure for polysilicon gates and interconnects

Publications (1)

Publication Number Publication Date
WO1984004204A1 true WO1984004204A1 (en) 1984-10-25

Family

ID=23931253

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1984/000496 WO1984004204A1 (en) 1983-04-18 1984-04-03 Method of manufacturing a semiconductor device having small dimensions

Country Status (5)

Country Link
US (1) US4503601A (en)
EP (1) EP0138978B1 (en)
JP (1) JP2605008B2 (en)
DE (1) DE3470253D1 (en)
WO (1) WO1984004204A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0193992A2 (en) * 1985-03-04 1986-09-10 Koninklijke Philips Electronics N.V. Method of manufacturing an insulated gate field effect device
EP0197738A2 (en) * 1985-03-29 1986-10-15 Kabushiki Kaisha Toshiba Method for manufacturing an LDD semiconductor device
WO1987004564A1 (en) * 1986-01-24 1987-07-30 Ncr Corporation Process for forming cmos structures
EP0315229A2 (en) * 1987-10-05 1989-05-10 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device with insulated-gate structure

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59188974A (en) * 1983-04-11 1984-10-26 Nec Corp Manufacture of semiconductor device
US4698899A (en) * 1983-10-19 1987-10-13 Gould Inc. Field effect transistor
US4587710A (en) * 1984-06-15 1986-05-13 Gould Inc. Method of fabricating a Schottky barrier field effect transistor
US4604789A (en) * 1985-01-31 1986-08-12 Inmos Corporation Process for fabricating polysilicon resistor in polycide line
US4649638A (en) * 1985-04-17 1987-03-17 International Business Machines Corp. Construction of short-length electrode in semiconductor device
US4648175A (en) * 1985-06-12 1987-03-10 Ncr Corporation Use of selectively deposited tungsten for contact formation and shunting metallization
US4630357A (en) * 1985-08-02 1986-12-23 Ncr Corporation Method for forming improved contacts between interconnect layers of an integrated circuit
US4660276A (en) * 1985-08-12 1987-04-28 Rca Corporation Method of making a MOS field effect transistor in an integrated circuit
US4824795A (en) * 1985-12-19 1989-04-25 Siliconix Incorporated Method for obtaining regions of dielectrically isolated single crystal silicon
US4701423A (en) * 1985-12-20 1987-10-20 Ncr Corporation Totally self-aligned CMOS process
US4753897A (en) * 1986-03-14 1988-06-28 Motorola Inc. Method for providing contact separation in silicided devices using false gate
US4908688A (en) * 1986-03-14 1990-03-13 Motorola, Inc. Means and method for providing contact separation in silicided devices
KR900005871B1 (en) * 1987-09-21 1990-08-13 삼성전자 주식회사 Etching method of semiconductor device
US4907048A (en) * 1987-11-23 1990-03-06 Xerox Corporation Double implanted LDD transistor self-aligned with gate
JP2624736B2 (en) * 1988-01-14 1997-06-25 株式会社東芝 Method for manufacturing semiconductor device
US5212400A (en) * 1988-02-18 1993-05-18 International Business Machines Corporation Method of depositing tungsten on silicon in a non-self-limiting CVD process and semiconductor device manufactured thereby
US5071788A (en) * 1988-02-18 1991-12-10 International Business Machines Corporation Method for depositing tungsten on silicon in a non-self-limiting CVD process and semiconductor device manufactured thereby
US4998152A (en) * 1988-03-22 1991-03-05 International Business Machines Corporation Thin film transistor
US4927777A (en) * 1989-01-24 1990-05-22 Harris Corporation Method of making a MOS transistor
US4978627A (en) * 1989-02-22 1990-12-18 Advanced Micro Devices, Inc. Method of detecting the width of lightly doped drain regions
US5010029A (en) * 1989-02-22 1991-04-23 Advanced Micro Devices, Inc. Method of detecting the width of spacers and lightly doped drain regions
US4874713A (en) * 1989-05-01 1989-10-17 Ncr Corporation Method of making asymmetrically optimized CMOS field effect transistors
US5153145A (en) * 1989-10-17 1992-10-06 At&T Bell Laboratories Fet with gate spacer
JPH0448640A (en) * 1990-06-14 1992-02-18 Oki Electric Ind Co Ltd Manufacture of mos transistor
KR920003461A (en) * 1990-07-30 1992-02-29 김광호 Method for forming contact region and manufacturing method of semiconductor device using same
DE4038990C1 (en) * 1990-12-06 1992-04-09 Siemens Ag, 8000 Muenchen, De
KR960000225B1 (en) * 1991-08-26 1996-01-03 가부시키가이샤 한도오따이 에네루기 겐큐쇼 Making method of insulated gate type semiconductor device
JP3437863B2 (en) * 1993-01-18 2003-08-18 株式会社半導体エネルギー研究所 Method for manufacturing MIS type semiconductor device
TW297142B (en) 1993-09-20 1997-02-01 Handotai Energy Kenkyusho Kk
JP3030368B2 (en) 1993-10-01 2000-04-10 株式会社半導体エネルギー研究所 Semiconductor device and manufacturing method thereof
US6777763B1 (en) 1993-10-01 2004-08-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for fabricating the same
US5747882A (en) * 1996-11-07 1998-05-05 Advanced Micro Devices, Inc. Device including means for preventing tungsten silicide lifting, and method of fabrication thereof
US6025242A (en) * 1999-01-25 2000-02-15 International Business Machines Corporation Fabrication of semiconductor device having shallow junctions including an insulating spacer by thermal oxidation creating taper-shaped isolation
US5998248A (en) * 1999-01-25 1999-12-07 International Business Machines Corporation Fabrication of semiconductor device having shallow junctions with tapered spacer in isolation region
US6022771A (en) * 1999-01-25 2000-02-08 International Business Machines Corporation Fabrication of semiconductor device having shallow junctions and sidewall spacers creating taper-shaped isolation where the source and drain regions meet the gate regions
US5998273A (en) * 1999-01-25 1999-12-07 International Business Machines Corporation Fabrication of semiconductor device having shallow junctions
US6370502B1 (en) * 1999-05-27 2002-04-09 America Online, Inc. Method and system for reduction of quantization-induced block-discontinuities and general purpose audio codec
US6274905B1 (en) 1999-06-30 2001-08-14 Fairchild Semiconductor Corporation Trench structure substantially filled with high-conductivity material
US6150670A (en) * 1999-11-30 2000-11-21 International Business Machines Corporation Process for fabricating a uniform gate oxide of a vertical transistor
US6486064B1 (en) * 2000-09-26 2002-11-26 Lsi Logic Corporation Shallow junction formation
US6716734B2 (en) 2001-09-28 2004-04-06 Infineon Technologies Ag Low temperature sidewall oxidation of W/WN/poly-gatestack
US7078296B2 (en) 2002-01-16 2006-07-18 Fairchild Semiconductor Corporation Self-aligned trench MOSFETs and methods for making the same
KR100564795B1 (en) * 2002-12-30 2006-03-27 동부아남반도체 주식회사 Fabricating method of semiconductor device
US20050059260A1 (en) * 2003-09-15 2005-03-17 Haowen Bu CMOS transistors and methods of forming same
US20100013009A1 (en) * 2007-12-14 2010-01-21 James Pan Structure and Method for Forming Trench Gate Transistors with Low Gate Resistance
US8912083B2 (en) 2011-01-31 2014-12-16 Nanogram Corporation Silicon substrates with doped surface contacts formed from doped silicon inks and corresponding processes
US20130105806A1 (en) * 2011-11-01 2013-05-02 Guojun Liu Structures incorporating silicon nanoparticle inks, densified silicon materials from nanoparticle silicon deposits and corresponding methods
US9660053B2 (en) * 2013-07-12 2017-05-23 Power Integrations, Inc. High-voltage field-effect transistor having multiple implanted layers
CN106252234A (en) * 2016-08-26 2016-12-21 武汉华星光电技术有限公司 Nmos pass transistor and preparation method thereof, CMOS transistor

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2021863A (en) * 1978-05-26 1979-12-05 Rockwell International Corp Method of making integrated circuits
GB2024505A (en) * 1978-05-26 1980-01-09 Rockwell International Corp Manufacture of Integrated Circuits
FR2433833A1 (en) * 1978-07-19 1980-03-14 Nippon Telegraph & Telephone SEMICONDUCTOR HAVING SILICON REGIONS IN THE FORM OF SPECIFICALLY PROFILE PROJECTIONS AND ITS MANUFACTURING METHOD
GB2090062A (en) * 1980-12-23 1982-06-30 Philips Nv Igfet manufacture
WO1982003945A1 (en) * 1981-04-27 1982-11-11 Ncr Co Process for manufacturing cmos semiconductor devices

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5214379A (en) * 1975-07-25 1977-02-03 Hitachi Ltd Method for production of insulated gate semiconductor integrated circuit device
NL7510903A (en) * 1975-09-17 1977-03-21 Philips Nv PROCESS FOR MANUFACTURING A SEMI-GUIDE DEVICE, AND DEVICE MANUFACTURED ACCORDING TO THE PROCESS.
JPS6025028B2 (en) * 1977-07-13 1985-06-15 株式会社日立製作所 Manufacturing method of semiconductor device
US4234362A (en) * 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
JPS55125649A (en) * 1979-03-22 1980-09-27 Nec Corp Production of semiconductor integrated circuit
US4344222A (en) * 1979-05-21 1982-08-17 Ibm Corporation Bipolar compatible electrically alterable read-only memory
JPH0237093B2 (en) * 1981-01-26 1990-08-22 Tokyo Shibaura Electric Co HANDOTAISOCHINOSEIZOHOHO
US4366613A (en) * 1980-12-17 1983-01-04 Ibm Corporation Method of fabricating an MOS dynamic RAM with lightly doped drain
JPS57126147A (en) * 1981-01-28 1982-08-05 Fujitsu Ltd Manufacture of semiconductor device
US4330931A (en) * 1981-02-03 1982-05-25 Intel Corporation Process for forming metal plated regions and lines in MOS circuits
US4441247A (en) * 1981-06-29 1984-04-10 Intel Corporation Method of making MOS device by forming self-aligned polysilicon and tungsten composite gate
JPS586163A (en) * 1981-07-03 1983-01-13 Toshiba Corp Manufacture of semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2021863A (en) * 1978-05-26 1979-12-05 Rockwell International Corp Method of making integrated circuits
GB2024505A (en) * 1978-05-26 1980-01-09 Rockwell International Corp Manufacture of Integrated Circuits
FR2433833A1 (en) * 1978-07-19 1980-03-14 Nippon Telegraph & Telephone SEMICONDUCTOR HAVING SILICON REGIONS IN THE FORM OF SPECIFICALLY PROFILE PROJECTIONS AND ITS MANUFACTURING METHOD
GB2090062A (en) * 1980-12-23 1982-06-30 Philips Nv Igfet manufacture
WO1982003945A1 (en) * 1981-04-27 1982-11-11 Ncr Co Process for manufacturing cmos semiconductor devices

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0193992A2 (en) * 1985-03-04 1986-09-10 Koninklijke Philips Electronics N.V. Method of manufacturing an insulated gate field effect device
EP0193992A3 (en) * 1985-03-04 1988-03-23 N.V. Philips' Gloeilampenfabrieken Method of manufacturing an insulated gate field effect device and device manufactured by the method
EP0197738A2 (en) * 1985-03-29 1986-10-15 Kabushiki Kaisha Toshiba Method for manufacturing an LDD semiconductor device
EP0197738A3 (en) * 1985-03-29 1986-12-30 Kabushiki Kaisha Toshiba Ldd semiconductor device and method for manufacturing thereof
WO1987004564A1 (en) * 1986-01-24 1987-07-30 Ncr Corporation Process for forming cmos structures
EP0315229A2 (en) * 1987-10-05 1989-05-10 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device with insulated-gate structure
EP0315229A3 (en) * 1987-10-05 1991-04-17 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device with insulated-gate structure

Also Published As

Publication number Publication date
US4503601A (en) 1985-03-12
JP2605008B2 (en) 1997-04-30
EP0138978B1 (en) 1988-03-30
DE3470253D1 (en) 1988-05-05
EP0138978A1 (en) 1985-05-02
JPS60501083A (en) 1985-07-11

Similar Documents

Publication Publication Date Title
EP0138978B1 (en) Method of manufacturing a semiconductor device having small dimensions
EP0118513B1 (en) Process for forming a cmos structure
US4703551A (en) Process for forming LDD MOS/CMOS structures
US4433468A (en) Method for making semiconductor device having improved thermal stress characteristics
US4818715A (en) Method of fabricating a LDDFET with self-aligned silicide
EP0088922B1 (en) A method of forming electrodes and wiring strips on a semiconductor device
US4749441A (en) Semiconductor mushroom structure fabrication
US5393690A (en) Method of making semiconductor having improved interlevel conductor insulation
US4422885A (en) Polysilicon-doped-first CMOS process
EP0258396B1 (en) Fabrication process for stacked mos devices
US4356040A (en) Semiconductor device having improved interlevel conductor insulation
US20020037619A1 (en) Semiconductor device and method of producing the same
EP0083088A2 (en) Method of producing field effect transistors having very short channel length
US4682404A (en) MOSFET process using implantation through silicon
US4679299A (en) Formation of self-aligned stacked CMOS structures by lift-off
US4853342A (en) Method of manufacturing semiconductor integrated circuit device having transistor
US4402128A (en) Method of forming closely spaced lines or contacts in semiconductor devices
US5028554A (en) Process of fabricating an MIS FET
US4698127A (en) Process for fabricating a self-aligned bipolar transistor
US4201603A (en) Method of fabricating improved short channel MOS devices utilizing selective etching and counterdoping of polycrystalline silicon
US4716128A (en) Method of fabricating silicon-on-insulator like devices
JPH0727915B2 (en) Method for manufacturing semiconductor device
US4462151A (en) Method of making high density complementary transistors
US4948744A (en) Process of fabricating a MISFET
US5115296A (en) Preferential oxidization self-aligned contact technology

Legal Events

Date Code Title Description
AK Designated states

Designated state(s): JP

AL Designated countries for regional patents

Designated state(s): DE GB NL

WWE Wipo information: entry into national phase

Ref document number: 1984901561

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1984901561

Country of ref document: EP

WWG Wipo information: grant in national office

Ref document number: 1984901561

Country of ref document: EP