WO1990014629A2 - Parallel multithreaded data processing system - Google Patents

Parallel multithreaded data processing system Download PDF

Info

Publication number
WO1990014629A2
WO1990014629A2 PCT/US1990/002958 US9002958W WO9014629A2 WO 1990014629 A2 WO1990014629 A2 WO 1990014629A2 US 9002958 W US9002958 W US 9002958W WO 9014629 A2 WO9014629 A2 WO 9014629A2
Authority
WO
WIPO (PCT)
Prior art keywords
thread
instruction
processing element
memory
processing
Prior art date
Application number
PCT/US1990/002958
Other languages
French (fr)
Other versions
WO1990014629A3 (en
Inventor
Rishiyur S. Nikhil
Arvind
Original Assignee
Massachusetts Institute Of Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US07/527,122 external-priority patent/US5353418A/en
Application filed by Massachusetts Institute Of Technology filed Critical Massachusetts Institute Of Technology
Publication of WO1990014629A2 publication Critical patent/WO1990014629A2/en
Publication of WO1990014629A3 publication Critical patent/WO1990014629A3/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/44Arrangements for executing specific programs
    • G06F9/448Execution paradigms, e.g. implementations of programming paradigms
    • G06F9/4494Execution paradigms, e.g. implementations of programming paradigms data driven

Definitions

  • a central feature of this model is the instruction pointer. It points to the instruction that is currently executing. Each instruction has a unique successor which is usually at the following address that immediately follows the current instruction pointer address. To execute the next instruction in a sequence, the instruction pointer is usually incremented. Execution of that next instruction is then imperative.
  • the sequence of ' instructions executed in a program is often referred to as a thread of computation.
  • Parallel processing machines are not limited to performing one thread at a time. They perform a number of threads simultaneously. They achieve this because they comprise multiple sequential von Neumann machines, each of which performs a single thread of computation.
  • At least one data processing element is included in a data processing system. Multiple processing elements are preferred to provide added parallel processing capability. Each data processing element is itself capable of processing individual imperative control flow instructions in performing plural threads of computation. Successive instructions executed by a data processing element may be from different threads of computation. Each data processing element is preferably pipelined for high processing speed. These processing elements are preferably reduced instruction set processing elements so as to provide for easy implementation and high processing speed.
  • Each processing element includes a thread descriptor storage such as a FIFO queue for storing thread descriptors. Each thread descriptor (also referred to as a token or a continuation) identifies the next instruction to be processed in a particular thread by noting an instruction pointer value. It also identifies a frame of storage locations on which the next instruction acts. This frame is indicated by a frame pointer value that points to the beginning of the frame. Multiple thread descriptors may refer to the same frame.
  • the data processing system responds to load instructions to retrieve data from global memory by generating a request message.
  • the load instructions are executed regardless of the current state of the global memory location.
  • This request message includes a thread descriptor that need not be retained by the processing element.
  • the request message is sent to a memory controller that generates a response message.
  • the response message includes the data requested and a thread descriptor.
  • the thread descriptor is stored in the thread descriptor storage for further processing.
  • the response messages for multiple load requests may be returned to the processor in an order different from the order in which the corresponding requests are issued, and multiple requests may be issued before a response is received.
  • One of the instructions of the at least one processing element is preferably the fork instruction.
  • the fork instruction produces two thread descriptors from a single thread of computation.
  • a joining instruction is provided to generate a single thread descriptor from two threads so as to join two threads into a single thread.
  • the joining instruction specifies a memory location. The first of the two threads that reaches the join instruction marks the specified location full and stops computing. The other thread marks that location empty when it encounters a join instruction and continues computation.
  • a working memory is provided.
  • This working memory is preferably a cache. It is comprised of storage locations upon which the at least one processing element operates. Frames of storage held in local memory are transferred to the working memory so as to be processed. The size of the frames of storage is not fixed a priori.
  • a clocking means is preferably included to clock thread descriptors out of the thread descriptor storage into the pipeline.
  • the clock may also be used to clock successive stages of the pipeline such that each stage of the pipeline may operate on a separate thread of computation.
  • FIG 1 illustrates the general structure of the data processing system of the present invention.
  • Figure 2 illustrates the organization of a processing element.
  • Figure 3 illustrates the frames held in local memory and the cache for said frames.
  • Figure 4 illustrates how token descriptors are used in instruction execution.
  • Figure 5 illustrates the operation of a load instruction.
  • Figure 6 illustrates the operation of a load and continue instruction.
  • Figure 7 illustrates the operation of a store instruction.
  • Figure 8 illustrates the effect of both a fork instruction and a join instruction.
  • Figure 9 illustrates a flow chart of the steps performed in a join instruction.
  • Figure 10 illustrates a sample program using a fork instruction and a join instruction.
  • Figure 11 illustrates a sample data flow diagram
  • Figure 12 illustrates the operation of a start instruction.
  • the preferred embodiment of the present invention concerns a multithreaded data processing system.
  • This data processing system can operate on a plurality of threads independently.
  • the basic structure of this system is shown in Figure 1.
  • the system employs a plurality of processing elements 10.
  • each processing element acts in parallel with the other processing elements 10.
  • Global heap memory elements 20 are provided as a global memory space.
  • the numerous processing elements and heap memory elements are connected via an innerconnection network 14.
  • the interconnection network 14 routes messages amongst processing elements 10 and heap memory elements 20.
  • a memory controller 17 is provided for controlling access to each heap memory element.
  • the processing elements 10 are preferably reduced instruction set (RISC) processors.
  • RISC reduced instruction set
  • the use of RISC processors allows for quick operation, as well as for a simplified hardware architecture.
  • the reduced instruction set of the present invention is not that which is typically found in RISC processors, rather it is an extended set of instructions comprised of the traditional set of instructions plus additional more powerful instructions.
  • the additional instructions comprise the fork, join and start instructions discussed below. Further, the load instruction is different from traditional reduced instruction sets.
  • FIG. 2 shows the basic structure of a processing element 10.
  • Each processing element 10 is capable of processing a plurality of threads of computation independently. It is able to achieve this independence by using a pipelining structure 36.
  • the pipeline 36 is comprised of four stages. The first stage is an instruction fetch stage 32. As its name implies, the basic task of this stage is to
  • the operand fetch stage 30 is the second stage of this pipeline 36. It fetches operands that are necessary to perform the fetched instruction.
  • the operand fetch stage 30 access frames of memory locations 24 where the operands are found. If the fetched instruction is a load, store or start instruction, the fetched instruction is processed specially at 38.
  • Frames 24 serve the role formerly served by register sets in early architectures. Specifically, operands are stored in the frames of storage 24 for
  • the frames 24, however, are superior to registers because they are not limited to a fixed size.
  • the size of a frame can be adapted to fit the needs of the threads that access it. Further, employing a frame pointer to specify the frame
  • 25 location provides the potential for allocation of a seemingly limitless number of frames having varying sizes. Hence, the number and sizes of frames available is not limited by the number of register sets .
  • the total frame memory 24 is likely too large to provide for sufficiently rapid memory access; thus, it is desirable to employ a cache 3 such as shown in Figure 3.
  • the size of the cache 3 may vary but should hold at least a couple of frames. Frames can be loaded into the cache 3 when needed.
  • the cache 3 should be situated as shown in Figure 3 between local frame storage 24 and the pipeline 36.
  • the processing of the particular instruction continues in the third stage of the pipeline, the function units stage 28.
  • This stage represents the traditional arithmetic and logical unit (ALU) of a conventional processor.
  • ALU arithmetic and logical unit
  • Each processing element 10 also includes a token queue 22.
  • the token queue 22 stores thread descriptors (also known as tokens) for threads of computation to be performed by the processing element 10.
  • thread descriptors held in the token queue 22 are placed into the processing pipeline 36, the next instruction in the thread of computation is performed.
  • the processing elements 10 of the preferred embodiment of the present invention operate by feeding thread descriptors into the pipeline 36 every clock cycle. Clock cycles are generated by a processing element clock 101. Independence in processing of threads is achieved by having different threads of computation being placed into the pipeline 36 on successive clock cycles.
  • Each token is comprised of two pointers: an instruction pointer (42B in Figure 4) and a frame pointer 42A.
  • the instruction pointer 42B points to a particular instruction held in the code section 26 of the local memory of the processing element.
  • the frame pointer 42A points to the beginning of a particular frame of memory locations in the frames section 24 of a processor element local memory.
  • Figure 4 shows how the tokens are used by the processing pipeline 36. When the token 42 enters the pipeline 36, its instruction pointer 42B is used to locate a particular instruction 44 held in the code section 26 of local memory.
  • the data processing system of the present invention operates on an imperative set of instructions. In other words, whether an instruction executes is determined solely by whether the instruction pointer 42B points to it. There is no state of an operand which controls execution, only a value which Is operated upon.
  • Another way of characterizing this aspect of the present invention is to classify the present invention as a control flow system as opposed to a data flow system. The control mechanism, not the data, dicates whether execution of an instruction takes place. The present system, thus, may be contrasted with data flow systems wherein the state of the data and the validity of the data is typically taken into account.
  • the execution of instructions in the present system is dictated by the value of the instruction pointer. Once an instruction is fetched, execution is imperative. Having already located the instruction, the processing pipeline 36 next seeks to obtain the appropriate operands for that instruction. To locate the operands, the frame pointer 42A of the token 42 is used to locate a particular frame 46 held in the frames portion 24 of local memory. As noted above, the frame pointer 42A points to the beginning of the specified frame 46. The addresses held in the operand field of the fetched instruction 44 are used as offsets to locate the memory locations where the desired operands are held.
  • the a and b fields of that instruction 44 are used as offsets to locate the two operands that are to be added.
  • the first operand is held at an address equal to the address at the beginning of the specified frame 46 plus a.
  • the second operand to be added is, likewise, located in the same manner. It is located at the beginning address of the specified frame 46 plus an offset of b.
  • the processing pipeline 36 next performs the desired function specified by the instruction 44.
  • the two operands are added.
  • the addition specified by the instruction of the example case takes place in the third stage of the pipeline 36, the function units stage 28.
  • This reduced instruction set includes typical arithmetic and logic operations, such as, addition, subtraction, multiplication, etc.
  • all arithmetic logical operations are three address operations.
  • the operands specify three addresses.
  • the plus instruction used as an example in Figure 4, falls within this class of instructions. The three
  • addresses specified by that instruction are the addresses of the two operands to be added and the l l -
  • control instructions control the order and flow of program execution.
  • the continuation emerging from the processing pipeline 36 is the instruction pointer value specified as an operand in the jump instruction and the current frame pointer.
  • a conditional jump instruction a location specified as an operand in the instruction is tested for some condition, and, depending on the outcome, one of two possible continuations emerges out of the processing pipeline 36. It contains either the current instruction pointer plus 1 or a new instruction pointer specified as an operand in the instruction. In either case, it contains the current frame pointer.
  • the frames are typically stored, as previously noted, in a frames portion 24 of local processing element memory.
  • a difficulty arises, however, when data values held in a frame need to be shared by more than one processing element.
  • the preferred embodiment of the present invention utilizes the global memory available in the heap memory elements 20 ( Figure 1).
  • shared data values are stored in the global heap memory elements 20.
  • the processing elements 10 must first bring the data values into local frame storage 24. This can be done using a load instruction. Results of these operations may be returned to the global heap memory elements 20 using a store instruction.
  • the load and store instructions are the only instructions for moving data in and out of local memory of processing elements 10. They do not perform any arithmetic operations. They move data between frames 24 of local memory and heap memory elements 20.
  • FIG. 5 shows the load instruction in more detail.
  • the processing element 10 is executing a given thread of computation 48. Included within this thread 48 is a local instruction to which the instruction pointer (IP) points.
  • IP instruction pointer
  • the processing element 10 attempts to execute it.
  • the instruction specified in Figure 5 tells the processing element to load a value held in a heap memory element 20 location to a memory location held within a local frame 24.
  • the processing element 10 looks for the heap memory location address by looking at the memory location specified by the frame pointer plus a. That location contains a pointer to a specific heap memory element 20 location. Processing then continues outside the pipeline 36 at the load or store component 38 of the processing element 10. This component generates a message 50 that is sent through the network 14 to the heap memory elements 20.
  • the message 50 is comprised of several fields. Specifically, a first field tells the memory controller 17 that a read is desired of the heap memory element 20 location specified in the second field of the message 50. This second field includes the address taken from the frame as previously discussed. In the example case of Figure 5, the pointer was held at frame pointer plus a. The third field of the message specifies a continuation which is a thread to be initiated after the read value has been loaded. The fourth and final field denoted as "d" specifies the offset of the memory location within the frame 24 where the read value is to be loaded.
  • the memory controller 17 of the heap memory element responds to this message by sending a re- sponse message to the processing element 10.
  • the response message 52 is also comprised of several fields.
  • the first field specifies that this is a start message.
  • the second field is the value taken from address [a] of the heap memory to be loaded into the memory location at frame pointer plus d in the processing element 10.
  • the third field is the continuation that was passed in the request message 50.
  • the fourth field is the offset field which also was sent in the request message 50.
  • the processing element 10 When the processing element 10 receives this message 52, it does two things. First, it loads the value read from heap memory element 20 to the memory location specified by the frame pointer plus the offset. In Figure 5, the value V is loaded into the memory location pointed to by the frame pointer plus d. Second, it forwards the thread descriptor specified in the third field of the response message 52 to the token queue 22.
  • loadc terminates the current thread in which it is contained (i.e. no continuation is issued).
  • a special load and continue Instruction is included to allow the thread to continue operating after the load instruction is performed.
  • the operation of the load and continue instruction (denoted as loadc) is Illustrated in Figure 6. It generates the same request message 50 as the load instruction, and the memory controller 17 responds with the same response message 52.
  • the loadc instruction differs from the load instruction in two ways. First, the instruction pointer in the request that is sent to memory Is not the current instruction pointer plus 1 but a different instruction pointer (designated as IP in Figure 6) that is an operand of the loadc instruction.
  • the loadc instruction allows a continuation of the thread such as 48A shown in Figure 6.
  • the load and loadc instructions defer the task of retrieving the heap memory element data to the memory controller 17. By doing this, they overcome the delay that usually occurs waiting for access to memory. In typical data processing systems (both sequential and parallel) , the processor must wait during the time period in which memory is accessed. The waiting time period is usually quite large relative to the time required to perform other processor operations. This memory latency is overcome with the load and loadc instructions by not requiring a processor to idle while memory is being accessed.
  • the processor After deferring the task of accessing memory, the processor takes another continuation from the token queue 22 to process so that it does not idle.
  • the load instruction thereby allows the processor to switch to another thread of computation if it would idle otherwise.
  • the token queue 22 assures that another thread will generally be available and thus, facilitates maximum use of the processor despite memory latency.
  • loads can be considered as split-phase transactions comprised of a request phase and a response phase. Performing the loads in this manner enables the preferred embodiment of the present invention to issue multiple load requests before receiving any response to a particular one of these requests. Moreover, responses can be generated in an order different from the order in which requests are made. A continuation for the thread to which the response is targeted is specified in the response message 52.
  • a store instruction is similar to a load instruction except that the data held in the local frame of memory 24 is written into the heap memory element location 20.
  • Figure 7 illustrates a sample operation of a store instruction. The store instruction has two operands.
  • the first operand "a" specifies an address in local memory where a value to be stored is held
  • the second operand "x" specifies an address in local memory that contains the heap memory element address where the value is to be stored.
  • the value is stored at the local memory address specified by the frame pointer plus a.
  • the heap memory location address is stored at a local memory address specified by the frame pointer plus x.
  • the request message 54 specifies that a write is requested. It notes the heap memory element address where write will take place and the value to be stored there. In response to this message, the memory controller 17 writes the value into the heap memory element address.
  • the processing element 10 after issuing this message 54, issues a continuation designated by the instruction pointer plus one and the frame pointer. No response message is generated.
  • New control instructions in the present invention are the fork, join and start instructions which control operation of the processing system.
  • a fork instruction produces two continuations from a current operating thread.
  • One continuation is the next instruction in the thread in which the fork instruction is executed.
  • the other continuation represents an entirely different thread that operates on the same frame.
  • two concurrent thread descriptors are passed to the token queue 22.
  • the first thread descriptor is associated with A[i] 62 which contains the next instruction to be executed in the current thread.
  • the other thread descriptor is associated with B[i] 64 which contains an instruction of an entirely different thread of computation.
  • the fork instruction thus provides an ability to initiate a new thread that can execute concurrently with the current thread. Any synchronization that needs to be achieved between the threads can be instituted using a join instruction.
  • the join instruction (66 in Figure 8) performs the opposite operation of the fork instruction. It causes two independently running threads of computation to produce only a single continuation. In other words, it takes two threads and produces a single thread from it.
  • Figure 9 shows a flow chart of how the join operation joins together two threads.
  • the syntax of the join operation is "join w" , where w specifies a memory location.
  • the single join instruction must be executed by both threads in order for a join to occur.
  • the join instruction acts on the specified memory location w which Is marked as either all ones or all zeroes.
  • the value at location w is toggled to the other state of ones or zeroes that is opposite the current state (Step 68). Thus, for instance, If w were all ones, it would be switched to all zeroes and vice versa.
  • a thread is the first thread to execute the join instruction for memory location w, the memory location w is marked as all ones .
  • Step 70 The system then looks to see if the memory location is marked all ones (Step 70) . If the memory location is already all ones, the thread that caused it to be marked all ones issues no continuation (Step 74). On the other hand, if the thread is not the first thread to execute the join instruction but is instead the second thread to execute the join instruction, then, the memory location w is marked all zeroes, and a continuation issues so that the processing of a new thread is initiated beginning at the next instruction (Step 76). Hence, the rule of the join operation is that both threads terminate and a new thread is initiated after the arrival of the second one .
  • Figure 10 shows an example of a program where fork instructions and join instructions are used.
  • the instruction pointer starts off with a value of IP.
  • a fork to IP is performed.
  • expressions el and e2 will subsequently be performed independently in two separate threads that share the same frame pointer.
  • the thread having an instruction pointer value of IP next executes a jump to the instruction specified by IP. . .
  • the second thread accordingly next executes join the instruction specified by IP. . .
  • This join instruction 98 is a join instruction specifying memory location r. It does not matter which thread
  • J 3 executes the join first.
  • the one that executes it first will issue no continuation.
  • the other will, in contrast, issue a continuation.
  • the thread that issues a continuation specifies e3 as the next instruction 100 to be executed.
  • the join assures that both el and e2 have been performed by the two threads respectively prior to e3 being performed.
  • the start instruction is used to terminate a thread of computation in the current frame and to initiate a new thread of computation in a different frame.
  • a start instruction has three operands v, c and d where v, c and d specify memory locations in the current frame.
  • the location designated by c contains a new continuation, that is, a new frame pointer and a new instruction pointer.
  • the location designated by d specifies an offset in the frame designated by the new frame pointer.
  • the new continuation may be on a different data processing element or it may be on the same one.
  • Figure 12 shows the execution of the start instruction in more detail.
  • start v c d the start instruction "start v c d" is placed in the processing pipeline 36 of data processing element A 200.
  • the three operands [v] , [c] and [d] are read from the current frame.
  • Processing then continues in the load/store component 38.
  • a message 202 is generated and sent to data processing element B 201.
  • the format of the message 202 is " ⁇ start, [v] , [c] , [d]>" .
  • the format of the message is identical to the format of the previously described response messages 52 from a memory controller to a data processing element.
  • Data processing element A 200 may continue to perform instructions from other threads taken from its thread descriptor storage 22.
  • the message arrives at the store component 40 of data processing element B 201, it is treated in exactly the same way as the previously described response messages 52 from a memory controller.
  • the value [v] is stored at offset [d] in the frame specified In the continuation [c] , and the continuation [c] is placed in the token queue 22.
  • data processing element B 201 may then execute instructions from the thread designated by the continuation [c] .
  • the start instruction provides a way to simultaneously do two things: deliver a data value from one data processing element (A) to another (B), and initiate a thread of computation In B.
  • the present invention can also be used in a producer/consumer environment. In that case it may be desirable to implement the heap memory elements as I-structures .
  • the I-structure organization of the heap memory elements 20 prevents potential conflicts for memory locations that could occur otherwise.
  • two new types of messages are desirable for the load and store instructions, respectively. Instead of merely sending a read request when loading, an I-read request is sent.
  • the I-read behaves like an ordinary read in most respects. However, if the value sought is not available, the
  • memory controller 17 stores the request message in the heap memory element 20 location where the value is supposed to be. If other read requests arrive while the value is still not available, messages indicating such requests are placed on a deferred
  • the memory controller 17 stores the value in the heap memory element 20 location, removes the message and generates a response message containing the value and l_ continuation to the appropriate processing element 10.
  • an I-write request is sent.
  • the data value is
  • FIG. 11 shows a sample data flow graph which the present invention can execute.
  • the data flow graph shown in Figure 11 corresponds to the 0 equation (x + 1) x 3 + (x + l)/4.
  • the instruction performed at node 110 is implemented using an add instruction.
  • the syntax of that instruction is add x,l,y. It adds x + 1 and store it at location y. Once the add is performed, a fork instruction Is performed.
  • the computations performed at node 112 and 114 are performed concurrently.
  • the computation performed at node 114 is performed as a continuation of the same thread in which the fork instruction was executed.
  • An instruction to multiply y and 3 and store it in a location performs the task by node 114.
  • an instruction to divide the value held in location y by 4 and to store the resulting produce in a register r performs the operation specified by node 112.
  • an instruction to join at location j is executed after the multiplication instruction.
  • the instruction to join location j is performed.
  • the second thread Presuming that the second thread completes its calculation after the first thread, it initiates the thread that performs the adding operation specified by node 116 of adding the values held at location a and location r and storing them in a location queue.
  • the first thread In contrast, halts operation after executing the join, without initiating any thread.
  • the preferred embodiment of the present invention can adequately execute data flow graphs. It is, thus, a sort of hybrid which executes data flow graphs on a Von Neumann architecture. Moreover it can execute these data flow graphs independently and in parallel.
  • the reduced Instruction set nature of the processing element further heightens performance by allowing computations to be formed quickly with non-complex architectural hardware. Further, the unique nature of the loading instructions prevents wasted processor idle time attributable to memory latency.
  • a particularly attractive aspect of the present invention is that, since its instruction set is a proper extension of the instruction set of a conventional reduced instruction set processor, compatibility with existing software can be maintained.

Abstract

A multithreaded parallel data processing system has at least one processing element for processing multiple threads of computation. Threads are described by thread descriptors which are stored while waiting to be processed in a thread descriptor storage. Thread descriptors are comprised of an instruction pointer and a frame pointer. The instruction pointer points to the next instruction to be executed, and the frame pointer points to a frame of memory locations that the next instruction will operate on. Included within the instruction set of the at least one processing element is a load instruction that loads global data into local processing element memory that is performed to two phases: a request phase and a response phase. Also included are instructions to fork a thread into two threads and to join two threads into a single thread.

Description

PARALLEL_MULTiTHREADED_DATA_PROCE ING_SY^TEM
^£_SSΣ£HIl^__-2-i_^ϊl£_l.ΞX£S_ϊi£Ξ
In the traditional von Neumann model of computing, instructions are executed one at a time in sequence. A central feature of this model is the instruction pointer. It points to the instruction that is currently executing. Each instruction has a unique successor which is usually at the following address that immediately follows the current instruction pointer address. To execute the next instruction in a sequence, the instruction pointer is usually incremented. Execution of that next instruction is then imperative. The sequence of' instructions executed in a program is often referred to as a thread of computation.
Parallel processing machines are not limited to performing one thread at a time. They perform a number of threads simultaneously. They achieve this because they comprise multiple sequential von Neumann machines, each of which performs a single thread of computation.
>ummar_r_£f_th _lrtventi£n
In accordance with one aspect of the present invention, at least one data processing element is included in a data processing system. Multiple processing elements are preferred to provide added parallel processing capability. Each data processing element is itself capable of processing individual imperative control flow instructions in performing plural threads of computation. Successive instructions executed by a data processing element may be from different threads of computation. Each data processing element is preferably pipelined for high processing speed. These processing elements are preferably reduced instruction set processing elements so as to provide for easy implementation and high processing speed. Each processing element includes a thread descriptor storage such as a FIFO queue for storing thread descriptors. Each thread descriptor (also referred to as a token or a continuation) identifies the next instruction to be processed in a particular thread by noting an instruction pointer value. It also identifies a frame of storage locations on which the next instruction acts. This frame is indicated by a frame pointer value that points to the beginning of the frame. Multiple thread descriptors may refer to the same frame.
The data processing system responds to load instructions to retrieve data from global memory by generating a request message. The load instructions are executed regardless of the current state of the global memory location. This request message includes a thread descriptor that need not be retained by the processing element. The request message is sent to a memory controller that generates a response message. The response message includes the data requested and a thread descriptor. The thread descriptor is stored in the thread descriptor storage for further processing. The response messages for multiple load requests may be returned to the processor in an order different from the order in which the corresponding requests are issued, and multiple requests may be issued before a response is received. One of the instructions of the at least one processing element is preferably the fork instruction. The fork instruction produces two thread descriptors from a single thread of computation. A joining instruction is provided to generate a single thread descriptor from two threads so as to join two threads into a single thread. The joining instruction specifies a memory location. The first of the two threads that reaches the join instruction marks the specified location full and stops computing. The other thread marks that location empty when it encounters a join instruction and continues computation.
In accordance with another aspect of the invention, a working memory is provided. This working memory is preferably a cache. It is comprised of storage locations upon which the at least one processing element operates. Frames of storage held in local memory are transferred to the working memory so as to be processed. The size of the frames of storage is not fixed a priori.
When the processing elements are pipelined, a clocking means is preferably included to clock thread descriptors out of the thread descriptor storage into the pipeline. The clock may also be used to clock successive stages of the pipeline such that each stage of the pipeline may operate on a separate thread of computation.
Br^ f_De c^i£ti n_ f_the_Dra j.njj
Figure 1 illustrates the general structure of the data processing system of the present invention.
Figure 2 illustrates the organization of a processing element.
Figure 3 illustrates the frames held in local memory and the cache for said frames. Figure 4 illustrates how token descriptors are used in instruction execution.
Figure 5 illustrates the operation of a load instruction.
Figure 6 illustrates the operation of a load and continue instruction.
Figure 7 illustrates the operation of a store instruction.
Figure 8 illustrates the effect of both a fork instruction and a join instruction. Figure 9 illustrates a flow chart of the steps performed in a join instruction.
Figure 10 illustrates a sample program using a fork instruction and a join instruction.
Figure 11 illustrates a sample data flow diagram.
Figure 12 illustrates the operation of a start instruction.
Detailed Description of the Preferred Embodiment The preferred embodiment of the present invention concerns a multithreaded data processing system. This data processing system can operate on a plurality of threads independently. The basic structure of this system is shown in Figure 1. As can be seen in that figure, the system employs a plurality of processing elements 10. As will be described in more detail below, each processing element acts in parallel with the other processing elements 10. Global heap memory elements 20 are provided as a global memory space. The numerous processing elements and heap memory elements are connected via an innerconnection network 14. The interconnection network 14 routes messages amongst processing elements 10 and heap memory elements 20. A memory controller 17 is provided for controlling access to each heap memory element.
The processing elements 10 are preferably reduced instruction set (RISC) processors. The use of RISC processors allows for quick operation, as well as for a simplified hardware architecture. The reduced instruction set of the present invention, however, is not that which is typically found in RISC processors, rather it is an extended set of instructions comprised of the traditional set of instructions plus additional more powerful instructions. The additional instructions comprise the fork, join and start instructions discussed below. Further, the load instruction is different from traditional reduced instruction sets.
Figure 2 shows the basic structure of a processing element 10. Each processing element 10 is capable of processing a plurality of threads of computation independently. It is able to achieve this independence by using a pipelining structure 36. The pipeline 36 is comprised of four stages. The first stage is an instruction fetch stage 32. As its name implies, the basic task of this stage is to
** retrieve instructions from code section 26 held in local processing element memory.
The operand fetch stage 30 is the second stage of this pipeline 36. It fetches operands that are necessary to perform the fetched instruction. To
10 fetch these operands, it is necessary for the operand fetch stage 30 to access frames of memory locations 24 where the operands are found. If the fetched instruction is a load, store or start instruction, the fetched instruction is processed specially at 38.
15 Load, store and start instructions will be discussed in more detail below.
Frames 24 serve the role formerly served by register sets in early architectures. Specifically, operands are stored in the frames of storage 24 for
20 ready access. The frames 24, however, are superior to registers because they are not limited to a fixed size. The size of a frame can be adapted to fit the needs of the threads that access it. Further, employing a frame pointer to specify the frame
25 location provides the potential for allocation of a seemingly limitless number of frames having varying sizes. Hence, the number and sizes of frames available is not limited by the number of register sets .
30 The total frame memory 24 is likely too large to provide for sufficiently rapid memory access; thus, it is desirable to employ a cache 3 such as shown in Figure 3. The size of the cache 3 may vary but should hold at least a couple of frames. Frames can be loaded into the cache 3 when needed. The cache 3 should be situated as shown in Figure 3 between local frame storage 24 and the pipeline 36.
If the instruction is neither a load, store nor start instruction, then the processing of the particular instruction continues in the third stage of the pipeline, the function units stage 28. This stage represents the traditional arithmetic and logical unit (ALU) of a conventional processor. Once the desired functions have been performed in the functions unit stage 28, the processing of the instruction passes to the fourth stage of the pipeline 36, the operand store stage 34. This stage stores in the frames 24 the results of computations performed by the function units 28 when instructed by the fetched instruction.
Each processing element 10 also includes a token queue 22. The token queue 22 stores thread descriptors (also known as tokens) for threads of computation to be performed by the processing element 10. When thread descriptors held in the token queue 22 are placed into the processing pipeline 36, the next instruction in the thread of computation is performed. Hence, the processing elements 10 of the preferred embodiment of the present invention operate by feeding thread descriptors into the pipeline 36 every clock cycle. Clock cycles are generated by a processing element clock 101. Independence in processing of threads is achieved by having different threads of computation being placed into the pipeline 36 on successive clock cycles.
The thread descriptors held in the token queue 22 and processed by the pipeline 36 uniquely identify each thread of computation. They are referred to as tokens or continuations. Each token is comprised of two pointers: an instruction pointer (42B in Figure 4) and a frame pointer 42A. The instruction pointer 42B points to a particular instruction held in the code section 26 of the local memory of the processing element. The frame pointer 42A, on the other hand, points to the beginning of a particular frame of memory locations in the frames section 24 of a processor element local memory. Figure 4 shows how the tokens are used by the processing pipeline 36. When the token 42 enters the pipeline 36, its instruction pointer 42B is used to locate a particular instruction 44 held in the code section 26 of local memory. This is performed in the previously described instruction fetch stage 32 of the processing pipeline 36. The fetched instruction is subsequently executed by the pipeline 36 as will be described below. As such, the data processing system of the present invention operates on an imperative set of instructions. In other words, whether an instruction executes is determined solely by whether the instruction pointer 42B points to it. There is no state of an operand which controls execution, only a value which Is operated upon. Another way of characterizing this aspect of the present invention is to classify the present invention as a control flow system as opposed to a data flow system. The control mechanism, not the data, dicates whether execution of an instruction takes place. The present system, thus, may be contrasted with data flow systems wherein the state of the data and the validity of the data is typically taken into account. The execution of instructions in the present system is dictated by the value of the instruction pointer. Once an instruction is fetched, execution is imperative. Having already located the instruction, the processing pipeline 36 next seeks to obtain the appropriate operands for that instruction. To locate the operands, the frame pointer 42A of the token 42 is used to locate a particular frame 46 held in the frames portion 24 of local memory. As noted above, the frame pointer 42A points to the beginning of the specified frame 46. The addresses held in the operand field of the fetched instruction 44 are used as offsets to locate the memory locations where the desired operands are held.
For example, for the addition instruction 44 shown in Figure 4, the a and b fields of that instruction 44 are used as offsets to locate the two operands that are to be added. The first operand is held at an address equal to the address at the beginning of the specified frame 46 plus a. The second operand to be added is, likewise, located in the same manner. It is located at the beginning address of the specified frame 46 plus an offset of b.
The processing pipeline 36 next performs the desired function specified by the instruction 44. In the example case, the two operands are added. The addition specified by the instruction of the example case takes place in the third stage of the pipeline 36, the function units stage 28. Thus, in the
* example illustrated in Figure 4 the function unit stage 28 adds 20 and 30. The resulting sum (i.e. 50) then, must be stored in the appropriate frame memory location. The operand store stage 34 of the pipeline 36 performs this storage. It locates the appropriate
10 memory location by first using the frame pointer 42A to point to the beginning of the specified frame 46 and then adding the offset indicated by the instruction. In the example of Figure 4, the value of "50" is stored at location c from the beginning of
15 the specified frame 46. After the operand is stored, a new continuation for the same thread is placed in the token queue 22. This continuation is comprised of the current instruction pointer plus 1 (IP+1) and the current frame pointer (FP) .
20 As already discussed, it is preferable for the processing elements 10 to execute a reduced instruction set. This reduced instruction set includes typical arithmetic and logic operations, such as, addition, subtraction, multiplication, etc.
25 In this preferred implementation, all arithmetic logical operations are three address operations. In other words, the operands specify three addresses. The plus instruction, used as an example in Figure 4, falls within this class of instructions. The three
30 addresses specified by that instruction are the addresses of the two operands to be added and the l l -
address where the sum of these operands is to be stored. Similarly, an instruction such as "compare si s2 d" compares the values at the addresses specified by the frame pointer plus si and the frame pointer plus s2. It then stores a condition code at the address specified by the frame pointer plus d.
Conventional control instructions such as jump and conditional jump instructions are also included within the reduced instruction set of the processing elements. Control instructions control the order and flow of program execution. For a jump instruction, the continuation emerging from the processing pipeline 36 is the instruction pointer value specified as an operand in the jump instruction and the current frame pointer. For a conditional jump instruction, a location specified as an operand in the instruction is tested for some condition, and, depending on the outcome, one of two possible continuations emerges out of the processing pipeline 36. It contains either the current instruction pointer plus 1 or a new instruction pointer specified as an operand in the instruction. In either case, it contains the current frame pointer.
The frames are typically stored, as previously noted, in a frames portion 24 of local processing element memory. A difficulty arises, however, when data values held in a frame need to be shared by more than one processing element. To overcome this difficulty, the preferred embodiment of the present invention utilizes the global memory available in the heap memory elements 20 (Figure 1). In particular, shared data values are stored in the global heap memory elements 20. To operate on these shared data values, the processing elements 10 must first bring the data values into local frame storage 24. This can be done using a load instruction. Results of these operations may be returned to the global heap memory elements 20 using a store instruction.
The load and store instructions are the only instructions for moving data in and out of local memory of processing elements 10. They do not perform any arithmetic operations. They move data between frames 24 of local memory and heap memory elements 20.
Figure 5 shows the load instruction in more detail. For illustrative purposes, suppose that the processing element 10 is executing a given thread of computation 48. Included within this thread 48 is a local instruction to which the instruction pointer (IP) points. When the load instruction is placed into the processing pipeline 36, the processing element 10 attempts to execute it. The instruction specified in Figure 5 tells the processing element to load a value held in a heap memory element 20 location to a memory location held within a local frame 24. In the operand fetch stage 30 of the processing pipeline 36, the processing element 10 looks for the heap memory location address by looking at the memory location specified by the frame pointer plus a. That location contains a pointer to a specific heap memory element 20 location. Processing then continues outside the pipeline 36 at the load or store component 38 of the processing element 10. This component generates a message 50 that is sent through the network 14 to the heap memory elements 20.
The message 50 is comprised of several fields. Specifically, a first field tells the memory controller 17 that a read is desired of the heap memory element 20 location specified in the second field of the message 50. This second field includes the address taken from the frame as previously discussed. In the example case of Figure 5, the pointer was held at frame pointer plus a. The third field of the message specifies a continuation which is a thread to be initiated after the read value has been loaded. The fourth and final field denoted as "d" specifies the offset of the memory location within the frame 24 where the read value is to be loaded.
The memory controller 17 of the heap memory element responds to this message by sending a re- sponse message to the processing element 10. The response message 52 is also comprised of several fields. In particular, the first field specifies that this is a start message. The second field is the value taken from address [a] of the heap memory to be loaded into the memory location at frame pointer plus d in the processing element 10. The third field is the continuation that was passed in the request message 50. The fourth field is the offset field which also was sent in the request message 50.
When the processing element 10 receives this message 52, it does two things. First, it loads the value read from heap memory element 20 to the memory location specified by the frame pointer plus the offset. In Figure 5, the value V is loaded into the memory location pointed to by the frame pointer plus d. Second, it forwards the thread descriptor specified in the third field of the response message 52 to the token queue 22.
An interesting feature of the load instruction is that it terminates the current thread in which it is contained (i.e. no continuation is issued). A special load and continue Instruction is included to allow the thread to continue operating after the load instruction is performed. The operation of the load and continue instruction (denoted as loadc) is Illustrated in Figure 6. It generates the same request message 50 as the load instruction, and the memory controller 17 responds with the same response message 52. The loadc instruction differs from the load instruction in two ways. First, the instruction pointer in the request that is sent to memory Is not the current instruction pointer plus 1 but a different instruction pointer (designated as IP in Figure 6) that is an operand of the loadc instruction. Second, instead of issuing no continuation, it issues a continuation designated as the current instruction pointer plus 1 and the current frame pointer. As such, the loadc instruction allows a continuation of the thread such as 48A shown in Figure 6. The load and loadc instructions defer the task of retrieving the heap memory element data to the memory controller 17. By doing this, they overcome the delay that usually occurs waiting for access to memory. In typical data processing systems (both sequential and parallel) , the processor must wait during the time period in which memory is accessed. The waiting time period is usually quite large relative to the time required to perform other processor operations. This memory latency is overcome with the load and loadc instructions by not requiring a processor to idle while memory is being accessed. After deferring the task of accessing memory, the processor takes another continuation from the token queue 22 to process so that it does not idle. The load instruction thereby allows the processor to switch to another thread of computation if it would idle otherwise. The token queue 22 assures that another thread will generally be available and thus, facilitates maximum use of the processor despite memory latency.
There is no requirement of a temporal correlation between the request for a read from the heap memory elements 20 and a response generated from the request. Rather, loads can be considered as split-phase transactions comprised of a request phase and a response phase. Performing the loads in this manner enables the preferred embodiment of the present invention to issue multiple load requests before receiving any response to a particular one of these requests. Moreover, responses can be generated in an order different from the order in which requests are made. A continuation for the thread to which the response is targeted is specified in the response message 52. A store instruction is similar to a load instruction except that the data held in the local frame of memory 24 is written into the heap memory element location 20. Figure 7 illustrates a sample operation of a store instruction. The store instruction has two operands. The first operand "a" specifies an address in local memory where a value to be stored is held, and the second operand "x" specifies an address in local memory that contains the heap memory element address where the value is to be stored. In the example of Figure 7, the value is stored at the local memory address specified by the frame pointer plus a. The heap memory location address is stored at a local memory address specified by the frame pointer plus x. Once the specified value and heap memory element address are found, the processing element issues a request message 54 to the memory controller 17.
The request message 54 specifies that a write is requested. It notes the heap memory element address where write will take place and the value to be stored there. In response to this message, the memory controller 17 writes the value into the heap memory element address. The processing element 10, after issuing this message 54, issues a continuation designated by the instruction pointer plus one and the frame pointer. No response message is generated.
New control instructions in the present invention are the fork, join and start instructions which control operation of the processing system. A fork instruction produces two continuations from a current operating thread. One continuation is the next instruction in the thread in which the fork instruction is executed. The other continuation represents an entirely different thread that operates on the same frame. Hence, if the boxes shown in Figure 8 represent instructions associated with certain threads of computation, when a fork 60 occurs, the continuation is not a straight path as would be found absent the fork. Instead, two concurrent thread descriptors are passed to the token queue 22. The first thread descriptor is associated with A[i] 62 which contains the next instruction to be executed in the current thread. The other thread descriptor is associated with B[i] 64 which contains an instruction of an entirely different thread of computation.
The fork instruction thus provides an ability to initiate a new thread that can execute concurrently with the current thread. Any synchronization that needs to be achieved between the threads can be instituted using a join instruction.
The join instruction (66 in Figure 8) performs the opposite operation of the fork instruction. It causes two independently running threads of computation to produce only a single continuation. In other words, it takes two threads and produces a single thread from it.
Figure 9 shows a flow chart of how the join operation joins together two threads. The syntax of the join operation is "join w" , where w specifies a memory location. The single join instruction must be executed by both threads in order for a join to occur. The join instruction acts on the specified memory location w which Is marked as either all ones or all zeroes. When the join instruction is executed, the value at location w is toggled to the other state of ones or zeroes that is opposite the current state (Step 68). Thus, for instance, If w were all ones, it would be switched to all zeroes and vice versa. If a thread is the first thread to execute the join instruction for memory location w, the memory location w is marked as all ones . The system then looks to see if the memory location is marked all ones (Step 70) . If the memory location is already all ones, the thread that caused it to be marked all ones issues no continuation (Step 74). On the other hand, if the thread is not the first thread to execute the join instruction but is instead the second thread to execute the join instruction, then, the memory location w is marked all zeroes, and a continuation issues so that the processing of a new thread is initiated beginning at the next instruction (Step 76). Hence, the rule of the join operation is that both threads terminate and a new thread is initiated after the arrival of the second one .
Figure 10 shows an example of a program where fork instructions and join instructions are used. In particular, presume the instruction pointer starts off with a value of IP. At instruction 90, a fork to IP is performed. Thus, expressions el and e2 will subsequently be performed independently in two separate threads that share the same frame pointer. The thread having an instruction pointer value of IP next executes a jump to the instruction specified by IP. . . The second thread accordingly next executes join the instruction specified by IP. . .
J oin
The other thread which executed el 92 proceeds to perform the instruction at IP. . . This join instruction 98 is a join instruction specifying memory location r. It does not matter which thread
J 3 executes the join first. The one that executes it first will issue no continuation. The other will, in contrast, issue a continuation. The thread that issues a continuation specifies e3 as the next instruction 100 to be executed. The join assures that both el and e2 have been performed by the two threads respectively prior to e3 being performed. The start instruction is used to terminate a thread of computation in the current frame and to initiate a new thread of computation in a different frame. A start instruction has three operands v, c and d where v, c and d specify memory locations in the current frame. The location designated by c contains a new continuation, that is, a new frame pointer and a new instruction pointer. The location designated by d specifies an offset in the frame designated by the new frame pointer. The new continuation may be on a different data processing element or it may be on the same one.
Figure 12 shows the execution of the start instruction in more detail. For illustrative purposes, suppose that a start instruction "start v c d" is placed in the processing pipeline 36 of data processing element A 200. In the operand fetch stage 38, the three operands [v] , [c] and [d] are read from the current frame. Processing then continues in the load/store component 38. Here, a message 202 is generated and sent to data processing element B 201. The format of the message 202 is "<start, [v] , [c] , [d]>" . The format of the message is identical to the format of the previously described response messages 52 from a memory controller to a data processing element. Data processing element A 200 may continue to perform instructions from other threads taken from its thread descriptor storage 22. When the message arrives at the store component 40 of data processing element B 201, it is treated in exactly the same way as the previously described response messages 52 from a memory controller. In data processing element B 201, the value [v] is stored at offset [d] in the frame specified In the continuation [c] , and the continuation [c] is placed in the token queue 22. As usual, data processing element B 201 may then execute instructions from the thread designated by the continuation [c] . The start instruction provides a way to simultaneously do two things: deliver a data value from one data processing element (A) to another (B), and initiate a thread of computation In B.
The present invention can also be used in a producer/consumer environment. In that case it may be desirable to implement the heap memory elements as I-structures . The I-structure organization of the heap memory elements 20 prevents potential conflicts for memory locations that could occur otherwise. When the heap memory elements 20 are organized as such, two new types of messages are desirable for the load and store instructions, respectively. Instead of merely sending a read request when loading, an I-read request is sent. The I-read behaves like an ordinary read in most respects. However, if the value sought is not available, the
5 memory controller 17 stores the request message in the heap memory element 20 location where the value is supposed to be. If other read requests arrive while the value is still not available, messages indicating such requests are placed on a deferred
10 list pointed to by the heap memory element 20 location. Once the value arrives, the memory controller 17 stores the value in the heap memory element 20 location, removes the message and generates a response message containing the value and l_ continuation to the appropriate processing element 10.
Similarly, instead of merely sending a write request when storing data, an I-write request is sent. As in a write request, the data value is
20 stored by the memory controller 17 in the designated heap memory element 20 location. In addition, if that location contains a deferred list of read requests, then the memory controller 17 also sends response messages for all those read requests. 5 An advantage of the preferred embodiment of the present invention is that it can execute data flow graphs. Figure 11 shows a sample data flow graph which the present invention can execute. The data flow graph shown in Figure 11 corresponds to the 0 equation (x + 1) x 3 + (x + l)/4. The instruction performed at node 110 is implemented using an add instruction. The syntax of that instruction is add x,l,y. It adds x + 1 and store it at location y. Once the add is performed, a fork instruction Is performed. This causes the computation to fork into the two branches 78 and 80 shown in Figure 11. The computations performed at node 112 and 114 are performed concurrently. In particular, the computation performed at node 114 is performed as a continuation of the same thread in which the fork instruction was executed. An instruction to multiply y and 3 and store it in a location performs the task by node 114. In a separate thread, an instruction to divide the value held in location y by 4 and to store the resulting produce in a register r performs the operation specified by node 112. Returning to the first thread, an instruction to join at location j is executed after the multiplication instruction. Similarly, in the second thread the instruction to join location j is performed. Presuming that the second thread completes its calculation after the first thread, it initiates the thread that performs the adding operation specified by node 116 of adding the values held at location a and location r and storing them in a location queue. The first thread, In contrast, halts operation after executing the join, without initiating any thread.
As the above example shows, the preferred embodiment of the present invention can adequately execute data flow graphs. It is, thus, a sort of hybrid which executes data flow graphs on a Von Neumann architecture. Moreover it can execute these data flow graphs independently and in parallel. The reduced Instruction set nature of the processing element further heightens performance by allowing computations to be formed quickly with non-complex architectural hardware. Further, the unique nature of the loading instructions prevents wasted processor idle time attributable to memory latency. A particularly attractive aspect of the present invention is that, since its instruction set is a proper extension of the instruction set of a conventional reduced instruction set processor, compatibility with existing software can be maintained.

Claims

1. A data processing system comprising: at least one processing element for processing individual imperative control flow instructions of each of plural threads of computation; a working memory for each processing element comprising storage locations on which the at least one processing element operates in processing instructions; a thread descriptor storage for each processing element for storing thread descriptors which identify a next instruction to be processed in a thread and which identify a frame of storage elements residing in a local processor element memory to be transferred to working memory for processing by the processing element.
2. A data processing system as recited in Claim 1 comprising: plural processing elements; a memory for storing data and instructions; a memory controller for responding to request messages for memory access to the memory by returning a response message including requested data to a processing element and also including a thread descriptor; wherein each of the plural processing elements responds to load instruction to retrieve data from the memory by generating a request message comprised of a thread descriptor that is not retained by said processing element and by transmitting said request message to the memory controller which, in turn, generates a response message that is stored in a thread descriptor storage of a processing element for further processing of a thread specified by the thread descriptor of the response message.
3. A data processing system as recited in Claim 2 wherein each processing element generates and sends start instruction to other processing elements to simultaneously deliver a data value to and initiate a new thread of computation in the other processing elements.
4. A data processing system as recited in Claim 1 wherein each processing element executes forking instructions that generate two threads of computation from a single thread of computation.
5. A data processing system as recited in Claim 1 wherein each processing element executes joining instructions that merge multiple threads of computation into a single of computation.
6. A data processing system as recited in Claim 1 wherein the at least one processing element begins processing a next thread in the thread descriptor storage if continuing processing of a current thread would cause the at least one processing element to idle.
7. A data processing system as recited in Claim 1 wherein the at least one processing element is a reduced instruction set processing element.
8. A data processing system as recited in Claim 1 wherein the thread descriptors are comprised of two parts: a frame pointer that identifies the frame of storage elements residing in local memory to be transferred to working memory for processing by the processing element and an instruction pointer which identifies an instruction which operates on multiple memory locations .
9. A data processing system as recited in Claim 1 wherein the working memory comprises a cache.
10. A data processing system as recited in Claim 1 wherein the at least one processing element is a reduced instruction set processing element.
11. In a multithreaded data processing system, a method of executing instructions to load data from a global memory location to a local memory location of local memory regardless of a current state of the global memory location, the local memory being local to a processing element of the data processing system, the method comprising for each load instruction the steps of a) generating a request message from the processing element in the data processing system to a memory controller to read the data held at the global memory location; b) generating a response message to respond to the request message using the memory controller wherein the response message comprises the data from the global memory location such that the response message is generated independent of current activity of the processing element.
12. A method as recited in Claim 11 the response message further comprising a thread descriptor for an additional thread of computation to be processed.
13. A method as recited in Claim 11 wherein multiple request messages generated for distinct load instructions are sent before a single corresponding response message is returned.
14. In a pipelined data processing system, a method of joining two independently operating threads of computation, comprising the steps of: a) specifying a memory location; b) marking the memory location after a join instruction is performed in a first of the two threads and performing no further computations for the first thread; c) marking the memory location empty after a join instruction is performed in a second of the two threads and continuing processing for the second thread.
15. In a data processing system, a method for one processing element to deliver a value to and initiate a thread in another processing element using a start instruction comprising the steps of: a) specifying memory locations containing a data value, a descriptor of a thread in the other processing element and the address of a location in the other processing element; b) sending a start message to the other processing element containing the data value, the thread descriptor and the location address; c) receiving the start message at the other processing element where the data value is stored at the designated location, and the thread descriptor is used to initiate a thread.
PCT/US1990/002958 1989-05-26 1990-05-25 Parallel multithreaded data processing system WO1990014629A2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US35893789A 1989-05-26 1989-05-26
US358,937 1989-05-26
US527,122 1990-05-21
US07/527,122 US5353418A (en) 1989-05-26 1990-05-21 System storing thread descriptor identifying one of plural threads of computation in storage only when all data for operating on thread is ready and independently of resultant imperative processing of thread

Publications (2)

Publication Number Publication Date
WO1990014629A2 true WO1990014629A2 (en) 1990-11-29
WO1990014629A3 WO1990014629A3 (en) 1991-02-07

Family

ID=27000260

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1990/002958 WO1990014629A2 (en) 1989-05-26 1990-05-25 Parallel multithreaded data processing system

Country Status (3)

Country Link
US (1) US5499349A (en)
EP (1) EP0473714A1 (en)
WO (1) WO1990014629A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001065367A2 (en) * 2000-02-28 2001-09-07 Sun Microsystems, Inc. Supporting inter-process communication through a conditional trap instruction

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5812811A (en) * 1995-02-03 1998-09-22 International Business Machines Corporation Executing speculative parallel instructions threads with forking and inter-thread communication
US5710923A (en) * 1995-04-25 1998-01-20 Unisys Corporation Methods and apparatus for exchanging active messages in a parallel processing computer system
US6343309B1 (en) * 1996-09-30 2002-01-29 International Business Machines Corporaton Method and apparatus for parallelizing a graphics pipeline
US5842003A (en) * 1997-03-26 1998-11-24 Unisys Corporation Auxiliary message arbitrator for digital message transfer system in network of hardware modules
US6064818A (en) * 1997-04-10 2000-05-16 International Business Machines Corporation Straight path optimization for compilers
US6182177B1 (en) * 1997-06-13 2001-01-30 Intel Corporation Method and apparatus for maintaining one or more queues of elements such as commands using one or more token queues
US6092155A (en) 1997-07-10 2000-07-18 International Business Machines Corporation Cache coherent network adapter for scalable shared memory processing systems
US6044438A (en) 1997-07-10 2000-03-28 International Business Machiness Corporation Memory controller for controlling memory accesses across networks in distributed shared memory processing systems
US6105051A (en) * 1997-10-23 2000-08-15 International Business Machines Corporation Apparatus and method to guarantee forward progress in execution of threads in a multithreaded processor
US6697935B1 (en) * 1997-10-23 2004-02-24 International Business Machines Corporation Method and apparatus for selecting thread switch events in a multithreaded processor
US6212544B1 (en) 1997-10-23 2001-04-03 International Business Machines Corporation Altering thread priorities in a multithreaded processor
US6567839B1 (en) 1997-10-23 2003-05-20 International Business Machines Corporation Thread switch control in a multithreaded processor system
US6076157A (en) * 1997-10-23 2000-06-13 International Business Machines Corporation Method and apparatus to force a thread switch in a multithreaded processor
US6061710A (en) * 1997-10-29 2000-05-09 International Business Machines Corporation Multithreaded processor incorporating a thread latch register for interrupt service new pending threads
US6256775B1 (en) 1997-12-11 2001-07-03 International Business Machines Corporation Facilities for detailed software performance analysis in a multithreaded processor
US6018759A (en) * 1997-12-22 2000-01-25 International Business Machines Corporation Thread switch tuning tool for optimal performance in a computer processor
US6341338B1 (en) * 1999-02-04 2002-01-22 Sun Microsystems, Inc. Protocol for coordinating the distribution of shared memory
US6378066B1 (en) 1999-02-04 2002-04-23 Sun Microsystems, Inc. Method, apparatus, and article of manufacture for developing and executing data flow programs, and optimizing user input specifications
US6434714B1 (en) 1999-02-04 2002-08-13 Sun Microsystems, Inc. Methods, systems, and articles of manufacture for analyzing performance of application programs
US6535905B1 (en) * 1999-04-29 2003-03-18 Intel Corporation Method and apparatus for thread switching within a multithreaded processor
US6542921B1 (en) 1999-07-08 2003-04-01 Intel Corporation Method and apparatus for controlling the processing priority between multiple threads in a multithreaded processor
US6629236B1 (en) * 1999-11-12 2003-09-30 International Business Machines Corporation Master-slave latch circuit for multithreaded processing
US6357016B1 (en) 1999-12-09 2002-03-12 Intel Corporation Method and apparatus for disabling a clock signal within a multithreaded processor
US6889319B1 (en) * 1999-12-09 2005-05-03 Intel Corporation Method and apparatus for entering and exiting multiple threads within a multithreaded processor
US6496925B1 (en) 1999-12-09 2002-12-17 Intel Corporation Method and apparatus for processing an event occurrence within a multithreaded processor
US7051329B1 (en) 1999-12-28 2006-05-23 Intel Corporation Method and apparatus for managing resources in a multithreaded processor
US7035989B1 (en) 2000-02-16 2006-04-25 Sun Microsystems, Inc. Adaptive memory allocation
US7856633B1 (en) 2000-03-24 2010-12-21 Intel Corporation LRU cache replacement for a partitioned set associative cache
US7093109B1 (en) * 2000-04-04 2006-08-15 International Business Machines Corporation Network processor which makes thread execution control decisions based on latency event lengths
US6546359B1 (en) 2000-04-24 2003-04-08 Sun Microsystems, Inc. Method and apparatus for multiplexing hardware performance indicators
US6647546B1 (en) 2000-05-03 2003-11-11 Sun Microsystems, Inc. Avoiding gather and scatter when calling Fortran 77 code from Fortran 90 code
US6802057B1 (en) 2000-05-03 2004-10-05 Sun Microsystems, Inc. Automatic generation of fortran 90 interfaces to fortran 77 code
US6986130B1 (en) 2000-07-28 2006-01-10 Sun Microsystems, Inc. Methods and apparatus for compiling computer programs using partial function inlining
US6910107B1 (en) 2000-08-23 2005-06-21 Sun Microsystems, Inc. Method and apparatus for invalidation of data in computer systems
US6957208B1 (en) 2000-10-31 2005-10-18 Sun Microsystems, Inc. Method, apparatus, and article of manufacture for performance analysis using semantic knowledge
US7320065B2 (en) 2001-04-26 2008-01-15 Eleven Engineering Incorporated Multithread embedded processor with input/output capability
US7047395B2 (en) * 2001-11-13 2006-05-16 Intel Corporation Reordering serial data in a system with parallel processing flows
CN1212567C (en) * 2002-03-28 2005-07-27 徐肇昌 Structure and method for software simulation of N+1 parallel program in sequence network
US7200738B2 (en) 2002-04-18 2007-04-03 Micron Technology, Inc. Reducing data hazards in pipelined processors to provide high processor utilization
US8024735B2 (en) * 2002-06-14 2011-09-20 Intel Corporation Method and apparatus for ensuring fairness and forward progress when executing multiple threads of execution
US7849297B2 (en) 2003-08-28 2010-12-07 Mips Technologies, Inc. Software emulation of directed exceptions in a multithreading processor
WO2005022385A1 (en) * 2003-08-28 2005-03-10 Mips Technologies, Inc. Mechanisms for dynamic configuration of virtual processor resources
DE602004017879D1 (en) 2003-08-28 2009-01-02 Mips Tech Inc INTEGRATED MECHANISM FOR SUSPENDING AND FINAL PROCESSOR
US7711931B2 (en) * 2003-08-28 2010-05-04 Mips Technologies, Inc. Synchronized storage providing multiple synchronization semantics
US7418585B2 (en) 2003-08-28 2008-08-26 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US20050050305A1 (en) * 2003-08-28 2005-03-03 Kissell Kevin D. Integrated mechanism for suspension and deallocation of computational threads of execution in a processor
US9032404B2 (en) * 2003-08-28 2015-05-12 Mips Technologies, Inc. Preemptive multitasking employing software emulation of directed exceptions in a multithreading processor
US7836450B2 (en) 2003-08-28 2010-11-16 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US7376954B2 (en) * 2003-08-28 2008-05-20 Mips Technologies, Inc. Mechanisms for assuring quality of service for programs executing on a multithreaded processor
US7870553B2 (en) * 2003-08-28 2011-01-11 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US7594089B2 (en) * 2003-08-28 2009-09-22 Mips Technologies, Inc. Smart memory based synchronization controller for a multi-threaded multiprocessor SoC
US20080052687A1 (en) * 2003-11-03 2008-02-28 Agustin Gonzales-Tuchmann Development environment for data transformation applications
US8230423B2 (en) 2005-04-07 2012-07-24 International Business Machines Corporation Multithreaded processor architecture with operational latency hiding
US20060230409A1 (en) * 2005-04-07 2006-10-12 Matteo Frigo Multithreaded processor architecture with implicit granularity adaptation
EP1783604A3 (en) * 2005-11-07 2007-10-03 Slawomir Adam Janczewski Object-oriented, parallel language, method of programming and multi-processor computer
GB2474521B (en) * 2009-10-19 2014-10-15 Ublox Ag Program flow control
US8230410B2 (en) 2009-10-26 2012-07-24 International Business Machines Corporation Utilizing a bidding model in a microparallel processor architecture to allocate additional registers and execution units for short to intermediate stretches of code identified as opportunities for microparallelization
US9672132B2 (en) * 2009-11-19 2017-06-06 Qualcomm Incorporated Methods and apparatus for measuring performance of a multi-thread processor
US9996403B2 (en) * 2011-09-30 2018-06-12 Oracle International Corporation System and method for providing message queues for multinode applications in a middleware machine environment
US9195516B2 (en) 2011-12-01 2015-11-24 International Business Machines Corporation Determining collective barrier operation skew in a parallel computer
US8924763B2 (en) * 2011-12-15 2014-12-30 International Business Machines Corporation Synchronizing compute node time bases in a parallel computer
US9747108B2 (en) * 2015-03-27 2017-08-29 Intel Corporation User-level fork and join processors, methods, systems, and instructions
US20160381050A1 (en) 2015-06-26 2016-12-29 Intel Corporation Processors, methods, systems, and instructions to protect shadow stacks
US10394556B2 (en) * 2015-12-20 2019-08-27 Intel Corporation Hardware apparatuses and methods to switch shadow stack pointers
US10430580B2 (en) 2016-02-04 2019-10-01 Intel Corporation Processor extensions to protect stacks during ring transitions

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3614745A (en) * 1969-09-15 1971-10-19 Ibm Apparatus and method in a multiple operand stream computing system for identifying the specification of multitasks situations and controlling the execution thereof
US4229790A (en) * 1978-10-16 1980-10-21 Denelcor, Inc. Concurrent task and instruction processor and method
US4481573A (en) * 1980-11-17 1984-11-06 Hitachi, Ltd. Shared virtual address translation unit for a multiprocessor system
US4530051A (en) * 1982-09-10 1985-07-16 At&T Bell Laboratories Program process execution in a distributed multiprocessor system
DE3751503T2 (en) * 1986-03-26 1996-05-09 Hitachi Ltd Data processor in pipeline structure with the ability to decode and execute multiple instructions in parallel.
US4819155A (en) * 1987-06-01 1989-04-04 Wulf William A Apparatus for reading to and writing from memory streams of data while concurrently executing a plurality of data processing operations
US4943908A (en) * 1987-12-02 1990-07-24 International Business Machines Corporation Multiple branch analyzer for prefetching cache lines
US5050070A (en) * 1988-02-29 1991-09-17 Convex Computer Corporation Multi-processor computer system having self-allocating processors
US5050068A (en) * 1988-10-03 1991-09-17 Duke University Method and apparatus for using extracted program flow information to prepare for execution multiple instruction streams
US5241635A (en) * 1988-11-18 1993-08-31 Massachusetts Institute Of Technology Tagged token data processing system with operand matching in activation frames
US5226131A (en) * 1989-12-27 1993-07-06 The United States Of America As Represented By The United States Department Of Energy Sequencing and fan-out mechanism for causing a set of at least two sequential instructions to be performed in a dataflow processing computer

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
Computer Architecture Conference Proceedings, Volume 17, No. 3, June 1989, ACM, (Washington, US), R.S. NIKHIL et al.: "Can Dataflow Subsume von Neumann Computing?", pages 262-272 see the whole article *
Conference Proceedings of the 15th Annual International Symposium on Computer Architecture, 30 May - 2 June 1988, Honolulu, Hawaii, IEEE, (US), R.A. IANNUCCI: "Toward a Dataflow/ von Neumann Hybrid Architecture", pages 131-140 see section 2.1, first paragraph; section 3.3; section 3.3.1; figures 8,9 *
Conference Proceedings of the 15th Annual International Symposium on Computer Architecture, 30 May - 2 June 1988, Honolulu, Hawaii, IEEE, (US), R.H. HALSTEAD, Jr. et al.: "MASA: A Multithreaded Processor Architecture for Parallel Symbolic Computing", pages 443-451 see figure 6 *
IEEE Transactions on Computers, Volume C-36, No. 12, December 1987, IEEE, (New York, US), R. BUEHRER et al.: "Incorporating Data Flow Ideas Into von Neumann Processors for Parallel Execution", pages 1515-1522 see figures 2,3; page 1517, right-hand column, line 32 - page 1518, right-hand column, line 41 *
Proceedings of the 1988 International Conference on Parallel Processing, 15-19 August 1988, Volume II Software, The Pennsylvania State University Press, (PE, US), B.R. PREISS et al.: "Semi-Static Dataflow", pages 127-134 see section 4.1 *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001065367A2 (en) * 2000-02-28 2001-09-07 Sun Microsystems, Inc. Supporting inter-process communication through a conditional trap instruction
WO2001065367A3 (en) * 2000-02-28 2003-03-20 Sun Microsystems Inc Supporting inter-process communication through a conditional trap instruction
US6732363B1 (en) 2000-02-28 2004-05-04 Sun Microsystems, Inc. Supporting inter-process communication through a conditional trap instruction

Also Published As

Publication number Publication date
WO1990014629A3 (en) 1991-02-07
EP0473714A1 (en) 1992-03-11
US5499349A (en) 1996-03-12

Similar Documents

Publication Publication Date Title
US5353418A (en) System storing thread descriptor identifying one of plural threads of computation in storage only when all data for operating on thread is ready and independently of resultant imperative processing of thread
US5499349A (en) Pipelined processor with fork, join, and start instructions using tokens to indicate the next instruction for each of multiple threads of execution
JP3120152B2 (en) Computer system
US5560029A (en) Data processing system with synchronization coprocessor for multiple threads
Nikhil et al. T: A multithreaded massively parallel architecture
US7020871B2 (en) Breakpoint method for parallel hardware threads in multithreaded processor
US5006980A (en) Pipelined digital CPU with deadlock resolution
JP3461704B2 (en) Instruction processing system and computer using condition codes
US5404552A (en) Pipeline risc processing unit with improved efficiency when handling data dependency
US6021489A (en) Apparatus and method for sharing a branch prediction unit in a microprocessor implementing a two instruction set architecture
US6671827B2 (en) Journaling for parallel hardware threads in multithreaded processor
EP0357188B1 (en) Pipelined processor
IE74215B1 (en) Pipelined Processor
US4819155A (en) Apparatus for reading to and writing from memory streams of data while concurrently executing a plurality of data processing operations
US6725365B1 (en) Branching in a computer system
JP3797570B2 (en) Apparatus and method using semaphore buffer for semaphore instructions
JP2535252B2 (en) Parallel processor
JPH09152973A (en) Method and device for support of speculative execution of count / link register change instruction
US5590293A (en) Dynamic microbranching with programmable hold on condition, to programmable dynamic microbranching delay minimization
Kawano et al. Fine-grain multi-thread processor architecture for massively parallel processing
US5737562A (en) CPU pipeline having queuing stage to facilitate branch instructions
JP2916605B2 (en) Computer processor
US6988121B1 (en) Efficient implementation of multiprecision arithmetic
EP1050805B1 (en) Transfer of guard values in a computer system
JPH10283178A (en) Method and system for issuing instruction

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH DE DK ES FR GB IT LU NL SE

AK Designated states

Kind code of ref document: A3

Designated state(s): JP

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): AT BE CH DE DK ES FR GB IT LU NL SE

WWE Wipo information: entry into national phase

Ref document number: 1990909259

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1990909259

Country of ref document: EP

WWR Wipo information: refused in national office

Ref document number: 1990909259

Country of ref document: EP

WWW Wipo information: withdrawn in national office

Ref document number: 1990909259

Country of ref document: EP