WO1991000565A3 - Power conservation in microprocessor controlled devices - Google Patents

Power conservation in microprocessor controlled devices Download PDF

Info

Publication number
WO1991000565A3
WO1991000565A3 PCT/US1990/003466 US9003466W WO9100565A3 WO 1991000565 A3 WO1991000565 A3 WO 1991000565A3 US 9003466 W US9003466 W US 9003466W WO 9100565 A3 WO9100565 A3 WO 9100565A3
Authority
WO
WIPO (PCT)
Prior art keywords
processor
speed
task
low
power
Prior art date
Application number
PCT/US1990/003466
Other languages
French (fr)
Other versions
WO1991000565A2 (en
Inventor
Richard A Perry
Vernon L Stant
Original Assignee
Hand Held Prod Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hand Held Prod Inc filed Critical Hand Held Prod Inc
Publication of WO1991000565A2 publication Critical patent/WO1991000565A2/en
Publication of WO1991000565A3 publication Critical patent/WO1991000565A3/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3293Power saving characterised by the action undertaken by switching to a less power-consuming processor, e.g. sub-CPU
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/08Clock generators with changeable or programmable clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Power Sources (AREA)

Abstract

Power may be conserved and battery life may be extended in a microprocessor controlled device by providing two microprocessors, one of which is a low power, low performance, low speed processor for performing background tasks, the other of which is a high power, high performance, high speed processor for performing computationally intensive foreground tasks. The low speed processor activates the high speed processor when a high performance task is to be performed. When activating the high performance processor, the low performance processor also controls the device's power supply to provide high voltage to the high speed processor. The high speed processor may run at variable clock speeds, with power consumption of the processor increasing with increasing speed. The high speed processor selects its own clock speed based upon the task to be performed, by including a clock speed in each software subroutine which controls a task. The software subroutine associated with a task is thereby executed at its associated clock speed, which may be chosen to be the lowest possible clock speed consistent with the task to be performed.
PCT/US1990/003466 1989-06-23 1990-06-20 Power conservation in microprocessor controlled devices WO1991000565A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US370,648 1989-06-23
US07/370,648 US5142684A (en) 1989-06-23 1989-06-23 Power conservation in microprocessor controlled devices

Publications (2)

Publication Number Publication Date
WO1991000565A2 WO1991000565A2 (en) 1991-01-10
WO1991000565A3 true WO1991000565A3 (en) 1991-09-05

Family

ID=23460560

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1990/003466 WO1991000565A2 (en) 1989-06-23 1990-06-20 Power conservation in microprocessor controlled devices

Country Status (2)

Country Link
US (1) US5142684A (en)
WO (1) WO1991000565A2 (en)

Families Citing this family (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5222239A (en) * 1989-07-28 1993-06-22 Prof. Michael H. Davis Process and apparatus for reducing power usage microprocessor devices operating from stored energy sources
US5218704A (en) * 1989-10-30 1993-06-08 Texas Instruments Real-time power conservation for portable computers
US6158012A (en) * 1989-10-30 2000-12-05 Texas Instruments Incorporated Real-time power conservation and thermal management for computers
US5201059A (en) * 1989-11-13 1993-04-06 Chips And Technologies, Inc. Method for reducing power consumption includes comparing variance in number of time microprocessor tried to react input in predefined period to predefined variance
US5355501A (en) * 1990-03-09 1994-10-11 Novell, Inc. Idle detection system
EP0448350B1 (en) 1990-03-23 1996-12-27 Matsushita Electric Industrial Co., Ltd. Hand held data processing apparatus having reduced power consumption
US6782483B2 (en) * 1990-03-23 2004-08-24 Matsushita Electric Industrial Co., Ltd. Data processing apparatus
DE69127873T2 (en) * 1990-08-20 1998-04-16 Advanced Micro Devices Inc Memory access control
JPH04155417A (en) * 1990-10-19 1992-05-28 Toshiba Corp Device for extending function
US5560017A (en) * 1990-11-09 1996-09-24 Wang Laboratories, Inc. System with clock frequency controller responsive to interrupt independent of software routine and software loop repeatedly executing instruction to slow down system clock
US5239652A (en) * 1991-02-04 1993-08-24 Apple Computer, Inc. Arrangement for reducing computer power consumption by turning off the microprocessor when inactive
JPH04257010A (en) * 1991-02-08 1992-09-11 Nec Corp System clock switching mechanism
US5410711A (en) * 1991-02-14 1995-04-25 Dell Usa, L.P. Portable computer with BIOS-independent power management
US5566340A (en) * 1991-02-14 1996-10-15 Dell Usa L.P. Portable computer system with adaptive power control parameters
JPH04333119A (en) * 1991-05-09 1992-11-20 Matsushita Electric Ind Co Ltd Information processor
US5303171A (en) * 1992-04-03 1994-04-12 Zenith Data Systems Corporation System suspend on lid close and system resume on lid open
US5652890A (en) * 1991-05-17 1997-07-29 Vantus Technologies, Inc. Interrupt for a protected mode microprocessor which facilitates transparent entry to and exit from suspend mode
US5394527A (en) * 1991-05-17 1995-02-28 Zenith Data Systems Corporation Method and apparatus facilitating use of a hard disk drive in a computer system having suspend/resume capability
US5551033A (en) * 1991-05-17 1996-08-27 Zenith Data Systems Corporation Apparatus for maintaining one interrupt mask register in conformity with another in a manner invisible to an executing program
AU3250393A (en) 1991-12-17 1993-07-19 Compaq Computer Corporation Apparatus for reducing computer system power consumption
US5367638A (en) * 1991-12-23 1994-11-22 U.S. Philips Corporation Digital data processing circuit with control of data flow by control of the supply voltage
EP0593690B1 (en) * 1992-03-12 2003-10-01 IPM International SA Public telephone power consumption reducing method and device
US6343363B1 (en) 1994-09-22 2002-01-29 National Semiconductor Corporation Method of invoking a low power mode in a computer system using a halt instruction
JP3058986B2 (en) * 1992-04-02 2000-07-04 ダイヤセミコンシステムズ株式会社 Computer system power saving controller
US6193422B1 (en) 1992-04-03 2001-02-27 Nec Corporation Implementation of idle mode in a suspend/resume microprocessor system
US5423045A (en) * 1992-04-15 1995-06-06 International Business Machines Corporation System for distributed power management in portable computers
CA2137831A1 (en) * 1992-06-12 1993-12-23 Steven E. Koenck Portable data processor which selectively activates and deactivates internal modular units and application processor to conserve power
US5287292A (en) * 1992-10-16 1994-02-15 Picopower Technology, Inc. Heat regulator for integrated circuits
US5477476A (en) * 1993-07-14 1995-12-19 Bayview Technology Group, Inc. Power-conservation system for computer peripherals
US5587675A (en) * 1993-08-12 1996-12-24 At&T Global Information Solutions Company Multiclock controller
US6865684B2 (en) * 1993-12-13 2005-03-08 Hewlett-Packard Development Company, L.P. Utilization-based power management of a clocked device
US5535401A (en) * 1994-04-05 1996-07-09 International Business Machines Corporation Method and system of power and thermal management for a data processing system using object-oriented program design
US5532524A (en) * 1994-05-11 1996-07-02 Apple Computer, Inc. Distributed power regulation in a portable computer to optimize heat dissipation and maximize battery run-time for various power modes
TW282525B (en) * 1994-06-17 1996-08-01 Intel Corp
US5752011A (en) 1994-06-20 1998-05-12 Thomas; C. Douglas Method and system for controlling a processor's clock frequency in accordance with the processor's temperature
US7167993B1 (en) 1994-06-20 2007-01-23 Thomas C Douglass Thermal and power management for computer systems
US5490059A (en) * 1994-09-02 1996-02-06 Advanced Micro Devices, Inc. Heuristic clock speed optimizing mechanism and computer system employing the same
US5630142A (en) * 1994-09-07 1997-05-13 International Business Machines Corporation Multifunction power switch and feedback led for suspend systems
US6311287B1 (en) * 1994-10-11 2001-10-30 Compaq Computer Corporation Variable frequency clock control for microprocessor-based computer systems
US5659761A (en) * 1994-10-18 1997-08-19 Hand Held Products Data recognition apparatus and portable data reader having power management system
US5734585A (en) * 1994-11-07 1998-03-31 Norand Corporation Method and apparatus for sequencing power delivery in mixed supply computer systems
EP0727728A1 (en) * 1995-02-15 1996-08-21 International Business Machines Corporation Computer system power management
US5623647A (en) * 1995-03-07 1997-04-22 Intel Corporation Application specific clock throttling
US5838929A (en) * 1995-06-01 1998-11-17 Ast Research, Inc. Upgrade CPU module with integral power supply
US5752044A (en) * 1995-06-07 1998-05-12 International Business Machines Corporation Computer system having multi-level suspend timers to suspend from operation in attended and unattended modes
US5983357A (en) * 1995-07-28 1999-11-09 Compaq Computer Corporation Computer power management
US5996083A (en) * 1995-08-11 1999-11-30 Hewlett-Packard Company Microprocessor having software controllable power consumption
US5684392A (en) * 1995-10-03 1997-11-04 International Business Machines Corporation System for extending operating time of a battery-operated electronic device
US5822596A (en) * 1995-11-06 1998-10-13 International Business Machines Corporation Controlling power up using clock gating
US5746697A (en) * 1996-02-09 1998-05-05 Nellcor Puritan Bennett Incorporated Medical diagnostic apparatus with sleep mode
US5703790A (en) * 1996-02-27 1997-12-30 Hughes Electronics Series connection of multiple digital devices to a single power source
CN1159021A (en) * 1996-03-06 1997-09-10 三菱电机株式会社 System clock setting device
JP2933523B2 (en) * 1996-03-13 1999-08-16 日本電気エンジニアリング株式会社 Data transceiver
US5954819A (en) * 1996-05-17 1999-09-21 National Semiconductor Corporation Power conservation method and apparatus activated by detecting programmable signals indicative of system inactivity and excluding prefetched signals
US5923887A (en) * 1996-05-20 1999-07-13 Advanced Micro Devices, Inc. Interrupt request that defines resource usage
US5774704A (en) * 1996-07-29 1998-06-30 Silicon Graphics, Inc. Apparatus and method for dynamic central processing unit clock adjustment
US5828868A (en) * 1996-11-13 1998-10-27 Intel Corporation Processor having execution core sections operating at different clock rates
US6256745B1 (en) 1998-06-05 2001-07-03 Intel Corporation Processor having execution core sections operating at different clock rates
US6631454B1 (en) 1996-11-13 2003-10-07 Intel Corporation Processor and data cache with data storage unit and tag hit/miss logic operated at a first and second clock frequencies
US6604200B2 (en) * 1997-04-22 2003-08-05 Intel Corporation System and method for managing processing
JP3961619B2 (en) * 1997-06-03 2007-08-22 株式会社東芝 Computer system and processing speed control method thereof
US6411156B1 (en) 1997-06-20 2002-06-25 Intel Corporation Employing transistor body bias in controlling chip parameters
US6928559B1 (en) 1997-06-27 2005-08-09 Broadcom Corporation Battery powered device with dynamic power and performance management
US6954804B2 (en) * 1998-03-26 2005-10-11 Micro, Inc. Controller for portable electronic devices
US6895448B2 (en) 1998-03-26 2005-05-17 O2 Micro, Inc. Low-power audio CD player for portable computers
US6675233B1 (en) 1998-03-26 2004-01-06 O2 Micro International Limited Audio controller for portable electronic devices
US6105141A (en) * 1998-06-04 2000-08-15 Apple Computer, Inc. Method and apparatus for power management of an external cache of a computer system
US6141762A (en) * 1998-08-03 2000-10-31 Nicol; Christopher J. Power reduction in a multiprocessor digital signal processor based on processor load
US6240521B1 (en) * 1998-09-10 2001-05-29 International Business Machines Corp. Sleep mode transition between processors sharing an instruction set and an address space
US6298448B1 (en) 1998-12-21 2001-10-02 Siemens Information And Communication Networks, Inc. Apparatus and method for automatic CPU speed control based on application-specific criteria
US6484265B2 (en) * 1998-12-30 2002-11-19 Intel Corporation Software control of transistor body bias in controlling chip parameters
US6477654B1 (en) 1999-04-06 2002-11-05 International Business Machines Corporation Managing VT for reduced power using power setting commands in the instruction stream
US6345362B1 (en) 1999-04-06 2002-02-05 International Business Machines Corporation Managing Vt for reduced power using a status table
US6735708B2 (en) * 1999-10-08 2004-05-11 Dell Usa, L.P. Apparatus and method for a combination personal digital assistant and network portable device
US6501999B1 (en) * 1999-12-22 2002-12-31 Intel Corporation Multi-processor mobile computer system having one processor integrated with a chipset
US6665802B1 (en) 2000-02-29 2003-12-16 Infineon Technologies North America Corp. Power management and control for a microcontroller
KR20010087876A (en) * 2000-03-09 2001-09-26 구자홍 CPU clock control method
US6721893B1 (en) 2000-06-12 2004-04-13 Advanced Micro Devices, Inc. System for suspending operation of a switching regulator circuit in a power supply if the temperature of the switching regulator is too high
US6968469B1 (en) 2000-06-16 2005-11-22 Transmeta Corporation System and method for preserving internal processor context when the processor is powered down and restoring the internal processor context when processor is restored
US6748545B1 (en) * 2000-07-24 2004-06-08 Advanced Micro Devices, Inc. System and method for selecting between a voltage specified by a processor and an alternate voltage to be supplied to the processor
US6450958B1 (en) * 2000-09-13 2002-09-17 Koninklikje Philips Electronics N.V. Portable ultrasound system with efficient shutdown and startup
US6440073B1 (en) * 2000-09-13 2002-08-27 Koninklijke Philips Electronics N.V. Ultrasonic diagnostic imaging system with automatic restart and response
US6527719B1 (en) 2000-09-13 2003-03-04 Koninklijke Philips Electronics N.V. Ultrasonic diagnostic imaging system with reduced power consumption and heat generation
US7260731B1 (en) 2000-10-23 2007-08-21 Transmeta Corporation Saving power when in or transitioning to a static mode of a processor
DE60143707D1 (en) * 2000-10-31 2011-02-03 Millennial Net Inc NETWORKED PROCESSING SYSTEM WITH OPTIMIZED PERFORMANCE EFFICIENCY
US7708205B2 (en) 2003-11-13 2010-05-04 Metrologic Instruments, Inc. Digital image capture and processing system employing multi-layer software-based system architecture permitting modification and/or extension of system features and functions by way of third party code plug-ins
US7128266B2 (en) 2003-11-13 2006-10-31 Metrologic Instruments. Inc. Hand-supportable digital imaging-based bar code symbol reader supporting narrow-area and wide-area modes of illumination and image capture
US8042740B2 (en) 2000-11-24 2011-10-25 Metrologic Instruments, Inc. Method of reading bar code symbols on objects at a point-of-sale station by passing said objects through a complex of stationary coplanar illumination and imaging planes projected into a 3D imaging volume
US7490774B2 (en) 2003-11-13 2009-02-17 Metrologic Instruments, Inc. Hand-supportable imaging based bar code symbol reader employing automatic light exposure measurement and illumination control subsystem integrated therein
US7540424B2 (en) 2000-11-24 2009-06-02 Metrologic Instruments, Inc. Compact bar code symbol reading system employing a complex of coplanar illumination and imaging stations for omni-directional imaging of objects within a 3D imaging volume
US7594609B2 (en) 2003-11-13 2009-09-29 Metrologic Instruments, Inc. Automatic digital video image capture and processing system supporting image-processing based code symbol reading during a pass-through mode of system operation at a retail point of sale (POS) station
US7607581B2 (en) 2003-11-13 2009-10-27 Metrologic Instruments, Inc. Digital imaging-based code symbol reading system permitting modification of system features and functionalities
US7464877B2 (en) 2003-11-13 2008-12-16 Metrologic Instruments, Inc. Digital imaging-based bar code symbol reading system employing image cropping pattern generator and automatic cropped image processor
US6836850B2 (en) * 2000-11-30 2004-12-28 Intel Corporation Portable system arrangements having dual high-level-/low-level processor modes
US7522966B2 (en) * 2000-12-01 2009-04-21 O2Micro International Limited Low power digital audio decoding/playing system for computing devices
US7818443B2 (en) * 2000-12-01 2010-10-19 O2Micro International Ltd. Low power digital audio decoding/playing system for computing devices
US7526349B2 (en) * 2000-12-01 2009-04-28 O2Micro International Limited Low power digital audio decoding/playing system for computing devices
US7522965B2 (en) 2000-12-01 2009-04-21 O2Micro International Limited Low power digital audio decoding/playing system for computing devices
US7522964B2 (en) 2000-12-01 2009-04-21 O2Micro International Limited Low power digital audio decoding/playing system for computing devices
US7890741B2 (en) * 2000-12-01 2011-02-15 O2Micro International Limited Low power digital audio decoding/playing system for computing devices
JP2002196845A (en) * 2000-12-27 2002-07-12 Matsushita Electric Ind Co Ltd Method for controlling microcomputer
US7725748B1 (en) 2000-12-29 2010-05-25 Intel Corporation Low power subsystem for portable computers
US6986066B2 (en) 2001-01-05 2006-01-10 International Business Machines Corporation Computer system having low energy consumption
US20020108064A1 (en) * 2001-02-07 2002-08-08 Patrick Nunally System and method for optimizing power/performance in network-centric microprocessor-controlled devices
US6895520B1 (en) 2001-03-02 2005-05-17 Advanced Micro Devices, Inc. Performance and power optimization via block oriented performance measurement and control
US7184003B2 (en) * 2001-03-16 2007-02-27 Dualcor Technologies, Inc. Personal electronics device with display switching
US20030153353A1 (en) * 2001-03-16 2003-08-14 Cupps Bryan T. Novel personal electronics device with simultaneous multi-processor operation
US20030163601A1 (en) * 2001-03-16 2003-08-28 Cupps Bryan T. Novel personal electronics device with common application platform
US20020173344A1 (en) * 2001-03-16 2002-11-21 Cupps Bryan T. Novel personal electronics device
US20030153354A1 (en) * 2001-03-16 2003-08-14 Cupps Bryan T. Novel personal electronics device with keypad application
US20030159026A1 (en) * 2001-03-16 2003-08-21 Cupps Bryan T. Novel personal electronics device with appliance drive features
US7216242B2 (en) 2001-03-16 2007-05-08 Dualcor Technologies, Inc. Personal electronics device with appliance drive features
US7231531B2 (en) * 2001-03-16 2007-06-12 Dualcor Technologies, Inc. Personal electronics device with a dual core processor
US20030100340A1 (en) * 2001-03-16 2003-05-29 Cupps Bryan T. Novel personal electronics device with thermal management
US20020166075A1 (en) * 2001-05-04 2002-11-07 Sanjay Agarwal Low power interface between a control processor and a digital signal processing coprocessor
US6920573B2 (en) * 2001-05-23 2005-07-19 Smartpower Corporation Energy-conserving apparatus and operating system having multiple operating functions stored in keep-alive memory
DE10127423B4 (en) * 2001-06-06 2005-10-06 Infineon Technologies Ag Electronic circuit with power control
US20030030326A1 (en) * 2001-08-10 2003-02-13 Shakti Systems, Inc. Distributed power and supply architecture
US6820018B2 (en) * 2001-08-27 2004-11-16 Mitsumi Electric Co., Ltd. Power control circuit
KR100883067B1 (en) * 2001-09-14 2009-02-10 엘지전자 주식회사 Method for controling a device performance for each application programs, and software drive system
WO2003029169A2 (en) * 2001-10-04 2003-04-10 Elan Pharmaceuticals, Inc. Hydroxypropylamines
US7111179B1 (en) 2001-10-11 2006-09-19 In-Hand Electronics, Inc. Method and apparatus for optimizing performance and battery life of electronic devices based on system and application parameters
WO2003041249A1 (en) * 2001-11-05 2003-05-15 Shakti Systems, Inc. Dc-dc converter with resonant gate drive
US6791298B2 (en) * 2001-11-05 2004-09-14 Shakti Systems, Inc. Monolithic battery charging device
FR2833448B1 (en) * 2001-12-06 2004-02-27 Cit Alcatel OPTIMIZATION OF THE CONSUMPTION OF A MULTIMEDIA AUXILIARY CHIP IN A MOBILE RADIO COMMUNICATION TERMINAL
US8645954B2 (en) * 2001-12-13 2014-02-04 Intel Corporation Computing system capable of reducing power consumption by distributing execution of instruction across multiple processors and method therefore
US7076674B2 (en) * 2001-12-19 2006-07-11 Hewlett-Packard Development Company L.P. Portable computer having dual clock mode
JP3685401B2 (en) * 2001-12-26 2005-08-17 インターナショナル・ビジネス・マシーンズ・コーポレーション CPU control method, computer apparatus and CPU using the same, and program
JPWO2003083693A1 (en) * 2002-04-03 2005-08-04 富士通株式会社 Task scheduling device in distributed processing system
EP1351117A1 (en) * 2002-04-03 2003-10-08 Hewlett-Packard Company Data processing system and method
WO2004006089A1 (en) * 2002-05-28 2004-01-15 Sendo International Limited A processor system and method for controlling signal timing therein
US7254812B1 (en) * 2002-05-31 2007-08-07 Advanced Micro Devices, Inc. Multi-processor task scheduling
US7100060B2 (en) * 2002-06-26 2006-08-29 Intel Corporation Techniques for utilization of asymmetric secondary processing resources
US6924667B2 (en) * 2002-07-19 2005-08-02 O2Micro International Limited Level shifting and level-shifting amplifier circuits
US7162279B2 (en) * 2002-12-20 2007-01-09 Intel Corporation Portable communication device having dynamic power management control and method therefor
EP1462915A3 (en) * 2003-03-26 2009-01-21 Panasonic Corporation Clock controlling method and apparatus
US20050046400A1 (en) * 2003-05-21 2005-03-03 Efraim Rotem Controlling operation of a voltage supply according to the activity of a multi-core integrated circuit component or of multiple IC components
JP4196333B2 (en) * 2003-05-27 2008-12-17 日本電気株式会社 Parallel processing system and parallel processing program
US20050002050A1 (en) * 2003-07-01 2005-01-06 Kabushiki Kaisha Toshiba Image processing apparatus
JP4062441B2 (en) * 2003-07-18 2008-03-19 日本電気株式会社 Parallel processing system and parallel processing program
JP3958720B2 (en) * 2003-07-22 2007-08-15 沖電気工業株式会社 Clock control circuit and clock control method
JP2005078518A (en) * 2003-09-02 2005-03-24 Renesas Technology Corp Microcontroller unit and compiler thereof
US7841533B2 (en) 2003-11-13 2010-11-30 Metrologic Instruments, Inc. Method of capturing and processing digital images of an object within the field of view (FOV) of a hand-supportable digitial image capture and processing system
JP4059838B2 (en) * 2003-11-14 2008-03-12 ソニー株式会社 Battery pack, battery protection processing device, and control method for battery protection processing device
EP1692622B1 (en) * 2003-12-02 2008-05-21 Research In Motion Limited Inter-processor parameter management in a multiple-processor wireless mobile communication device operating on a processor specific communication network
US20050132239A1 (en) * 2003-12-16 2005-06-16 Athas William C. Almost-symmetric multiprocessor that supports high-performance and energy-efficient execution
JP4171910B2 (en) * 2004-03-17 2008-10-29 日本電気株式会社 Parallel processing system and parallel processing program
US7809932B1 (en) * 2004-03-22 2010-10-05 Altera Corporation Methods and apparatus for adapting pipeline stage latency based on instruction type
US7152171B2 (en) * 2004-04-28 2006-12-19 Microsoft Corporation Task-oriented processing as an auxiliary to primary computing environments
US20060064606A1 (en) * 2004-09-21 2006-03-23 International Business Machines Corporation A method and apparatus for controlling power consumption in an integrated circuit
KR101128251B1 (en) * 2004-10-11 2012-03-26 엘지전자 주식회사 Apparatus and method for controling power saving by devices of executed program
AU2015205867A1 (en) * 2005-05-27 2015-09-17 Codman Neuro Sciences Sarl Circuitry for optimization of power consumption in a system employing multiple electronic components, one of which is always powered on
US7472301B2 (en) * 2005-05-27 2008-12-30 Codman Neuro Sciences Sárl Circuitry for optimization of power consumption in a system employing multiple electronic components, one of which is always powered on
KR101177125B1 (en) * 2005-06-11 2012-08-24 엘지전자 주식회사 Method and apparatus for implementing hybrid power management mode in a multi-core processor
JP4483720B2 (en) * 2005-06-23 2010-06-16 株式会社デンソー Electronic control unit
US20060294401A1 (en) * 2005-06-24 2006-12-28 Dell Products L.P. Power management of multiple processors
US7461275B2 (en) * 2005-09-30 2008-12-02 Intel Corporation Dynamic core swapping
US7539888B2 (en) * 2006-03-31 2009-05-26 Freescale Semiconductor, Inc. Message buffer for a receiver apparatus on a communications bus
CN100525231C (en) * 2006-09-06 2009-08-05 中国移动通信集团公司 Energy-saving information household appliance network and energy-saving control method
US7844838B2 (en) * 2006-10-30 2010-11-30 Hewlett-Packard Development Company, L.P. Inter-die power manager and power management method
US7962775B1 (en) 2007-01-10 2011-06-14 Marvell International Ltd. Methods and apparatus for power mode control for PDA with separate communications and applications processors
US7831850B2 (en) * 2007-03-29 2010-11-09 Microsoft Corporation Hybrid operating systems for battery powered computing systems
US7856562B2 (en) * 2007-05-02 2010-12-21 Advanced Micro Devices, Inc. Selective deactivation of processor cores in multiple processor core systems
US8627116B2 (en) * 2007-08-07 2014-01-07 Maxim Integrated Products, Inc. Power conservation in an intrusion detection system
TWI358635B (en) * 2008-02-26 2012-02-21 Mstar Semiconductor Inc Power managing method for a multi-microprocessor s
USD654499S1 (en) 2009-06-09 2012-02-21 Data Ltd., Inc. Tablet computer
USD635568S1 (en) 2009-06-09 2011-04-05 Data Ltd., Inc. Tablet computer
US8862786B2 (en) * 2009-08-31 2014-10-14 International Business Machines Corporation Program execution with improved power efficiency
USD638834S1 (en) 2009-10-05 2011-05-31 Data Ltd., Inc. Tablet computer
US8972702B2 (en) * 2009-11-30 2015-03-03 Intenational Business Machines Corporation Systems and methods for power management in a high performance computing (HPC) cluster
WO2011117669A1 (en) * 2010-03-22 2011-09-29 Freescale Semiconductor, Inc. Integrated circuit device, signal processing system, electronic device and method therefor
US8484495B2 (en) * 2010-03-25 2013-07-09 International Business Machines Corporation Power management in a multi-processor computer system
US8489904B2 (en) * 2010-03-25 2013-07-16 International Business Machines Corporation Allocating computing system power levels responsive to service level agreements
US8700934B2 (en) * 2010-07-27 2014-04-15 Blackberry Limited System and method for dynamically configuring processing speeds in a wireless mobile telecommunications device
US8756442B2 (en) 2010-12-16 2014-06-17 Advanced Micro Devices, Inc. System for processor power limit management
JP5636276B2 (en) * 2010-12-27 2014-12-03 ルネサスエレクトロニクス株式会社 Semiconductor device
USD690296S1 (en) 2011-02-01 2013-09-24 Data Ltd., Inc. Tablet computer
KR101744356B1 (en) * 2011-03-17 2017-06-08 삼성전자주식회사 Device and method for reducing current consuming in wireless terminal
KR101797523B1 (en) * 2011-05-23 2017-11-15 삼성전자 주식회사 The display apparatus and control method thereof
KR102006470B1 (en) 2011-12-28 2019-08-02 삼성전자 주식회사 Method and apparatus for multi-tasking in a user device
US9223383B2 (en) 2012-12-21 2015-12-29 Advanced Micro Devices, Inc. Guardband reduction for multi-core data processor
US9360918B2 (en) 2012-12-21 2016-06-07 Advanced Micro Devices, Inc. Power control for multi-core data processor
US9377843B2 (en) * 2013-05-07 2016-06-28 Broadcom Corporation Systems and methods for managing current consumption by an electronic device
US20150247933A1 (en) * 2013-06-27 2015-09-03 Galaxray, LLC Spectrometric personal radiation detector - radioisotope identifier
EP3062142B1 (en) 2015-02-26 2018-10-03 Nokia Technologies OY Apparatus for a near-eye display
US9554239B2 (en) 2015-04-21 2017-01-24 Apple Inc. Opportunistic offloading of tasks between nearby computing devices
US10650552B2 (en) 2016-12-29 2020-05-12 Magic Leap, Inc. Systems and methods for augmented reality
EP4300160A2 (en) 2016-12-30 2024-01-03 Magic Leap, Inc. Polychromatic light out-coupling apparatus, near-eye displays comprising the same, and method of out-coupling polychromatic light
US10578870B2 (en) 2017-07-26 2020-03-03 Magic Leap, Inc. Exit pupil expander
US10372479B2 (en) * 2017-08-09 2019-08-06 International Business Machines Corporation Scheduling framework for tightly coupled jobs
US11280937B2 (en) 2017-12-10 2022-03-22 Magic Leap, Inc. Anti-reflective coatings on optical waveguides
WO2019126331A1 (en) 2017-12-20 2019-06-27 Magic Leap, Inc. Insert for augmented reality viewing device
US10755676B2 (en) 2018-03-15 2020-08-25 Magic Leap, Inc. Image correction due to deformation of components of a viewing device
JP7319303B2 (en) 2018-05-31 2023-08-01 マジック リープ, インコーポレイテッド Radar head pose localization
US11579441B2 (en) 2018-07-02 2023-02-14 Magic Leap, Inc. Pixel intensity modulation using modifying gain values
WO2020010226A1 (en) 2018-07-03 2020-01-09 Magic Leap, Inc. Systems and methods for virtual and augmented reality
US11856479B2 (en) 2018-07-03 2023-12-26 Magic Leap, Inc. Systems and methods for virtual and augmented reality along a route with markers
JP7426982B2 (en) 2018-07-24 2024-02-02 マジック リープ, インコーポレイテッド Temperature-dependent calibration of movement sensing devices
WO2020023543A1 (en) 2018-07-24 2020-01-30 Magic Leap, Inc. Viewing device with dust seal integration
CN112740665A (en) 2018-08-02 2021-04-30 奇跃公司 Observation system for interpupillary distance compensation based on head movement
CN116820239A (en) 2018-08-03 2023-09-29 奇跃公司 Fusion gesture based drift correction of fusion gestures for totem in a user interaction system
US10914949B2 (en) 2018-11-16 2021-02-09 Magic Leap, Inc. Image size triggered clarification to maintain image sharpness
EP3939030A4 (en) 2019-03-12 2022-11-30 Magic Leap, Inc. Registration of local content between first and second augmented reality viewers
CN114127837A (en) 2019-05-01 2022-03-01 奇跃公司 Content providing system and method
CN114174895A (en) 2019-07-26 2022-03-11 奇跃公司 System and method for augmented reality
JP2023502927A (en) 2019-11-15 2023-01-26 マジック リープ, インコーポレイテッド Visualization system for use in a surgical environment

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4101072A (en) * 1976-10-21 1978-07-18 The Singer Company Data-gathering device for scanning data having a variable amplitude modulation and signal to noise ratio
GB2134676A (en) * 1983-01-24 1984-08-15 Sharp Kk Control of multi-processor system
WO1985002275A1 (en) * 1983-11-07 1985-05-23 Motorola, Inc. Synthesized clock microcomputer with power saving
DE3433970A1 (en) * 1983-11-10 1986-03-27 Udo Eckhardt Portable data acquisition device with reader
EP0178642A2 (en) * 1984-10-18 1986-04-23 Unisys Corporation Power control network for multiple digital modules

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4123794A (en) * 1974-02-15 1978-10-31 Tokyo Shibaura Electric Co., Limited Multi-computer system
US3941989A (en) * 1974-12-13 1976-03-02 Mos Technology, Inc. Reducing power consumption in calculators
DE2555963C2 (en) * 1975-12-12 1982-10-28 Ibm Deutschland Gmbh, 7000 Stuttgart Function modification facility
US4143417A (en) * 1976-10-21 1979-03-06 The Singer Company Portable data-gathering apparatus formed by modular components having operate-standby modes
JPS6019029B2 (en) * 1978-03-29 1985-05-14 ブリテイツシユ・ブロ−ドキヤステイング・コ−ポレ−シヨン Digital data processing equipment
US4203153A (en) * 1978-04-12 1980-05-13 Diebold, Incorporated Circuit for reducing power consumption in battery operated microprocessor based systems
US4366540A (en) * 1978-10-23 1982-12-28 International Business Machines Corporation Cycle control for a microprocessor with multi-speed control stores
US4254475A (en) * 1979-03-12 1981-03-03 Raytheon Company Microprocessor having dual frequency clock
DE2911998C2 (en) * 1979-03-27 1985-11-07 Robert Bosch Gmbh, 7000 Stuttgart Power supply for a microprocessor that controls electrical devices, in particular a motor vehicle
US4317181A (en) * 1979-12-26 1982-02-23 Texas Instruments Incorporated Four mode microcomputer power save operation
US4673805A (en) * 1982-01-25 1987-06-16 Symbol Technologies, Inc. Narrow-bodied, single- and twin-windowed portable scanning head for reading bar code symbols
DE3302940A1 (en) * 1983-01-28 1984-08-02 Siemens AG, 1000 Berlin und 8000 München PROGRAMMABLE CONTROL WITH WORD AND BIT PROCESSOR
US4677433A (en) * 1983-02-16 1987-06-30 Daisy Systems Corporation Two-speed clock scheme for co-processors
US4893271A (en) * 1983-11-07 1990-01-09 Motorola, Inc. Synthesized clock microcomputer with power saving
US4819164A (en) * 1983-12-12 1989-04-04 Texas Instruments Incorporated Variable frequency microprocessor clock generator
US4631702A (en) * 1984-02-28 1986-12-23 Canadian Patents and Deveopment Limited--Societe Canadienne des Brevets et d'Exploitation Limitee Computer speed control
US4670837A (en) * 1984-06-25 1987-06-02 American Telephone And Telegraph Company Electrical system having variable-frequency clock
US4696019A (en) * 1984-09-19 1987-09-22 United Technologies Corporation Multi-channel clock synchronizer
US4851987A (en) * 1986-01-17 1989-07-25 International Business Machines Corporation System for reducing processor power consumption by stopping processor clock supply if a desired event does not occur
JPS63131616A (en) * 1986-11-20 1988-06-03 Mitsubishi Electric Corp Programmable clock frequency divider
US4916441A (en) * 1988-09-19 1990-04-10 Clinicom Incorporated Portable handheld terminal

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4101072A (en) * 1976-10-21 1978-07-18 The Singer Company Data-gathering device for scanning data having a variable amplitude modulation and signal to noise ratio
GB2134676A (en) * 1983-01-24 1984-08-15 Sharp Kk Control of multi-processor system
WO1985002275A1 (en) * 1983-11-07 1985-05-23 Motorola, Inc. Synthesized clock microcomputer with power saving
DE3433970A1 (en) * 1983-11-10 1986-03-27 Udo Eckhardt Portable data acquisition device with reader
EP0178642A2 (en) * 1984-10-18 1986-04-23 Unisys Corporation Power control network for multiple digital modules

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Electro/81 Conference Record, vol. 6, 7-9 April 1981, (New York, NY, US), B. Huston: "Watch chip for an MPU -- real-time clock peripheral", pages 1-8 *
IBM Technical Disclosure Bulletin, vol. 29, no. 6, November 1986, (New York, NY, US), "Method for warning users of a low battery condition on a battery-powered computer", pages 2641-2643 *

Also Published As

Publication number Publication date
US5142684A (en) 1992-08-25
WO1991000565A2 (en) 1991-01-10

Similar Documents

Publication Publication Date Title
WO1991000565A3 (en) Power conservation in microprocessor controlled devices
SE9002838L (en) POWER CONTROL OF A PORTFOLIO COMPUTER
ES2068900T3 (en) APPARATUS TO REDUCE THE ENERGY CONSUMPTION OF THE COMPUTER SYSTEM.
NO164554C (en) SNEFEIEVALSE.
JPS5357998A (en) Display body
Martoreeli PC-based expert systems arrive.
DE3687766D1 (en) LOW-POWER ADDRESS BUFFER WITH ACTIVE EFFECT.
JPS5363057A (en) Electronic wristwatch
JPS52137845A (en) Control device for ac elevator
CA2063558A1 (en) Portable low power computer
CN108653976B (en) Run-up method and run-up electric device system
CN2299508Y (en) Multi-purpose walking stick
JPS5295424A (en) Speed sensitive type power steering
JPS53122103A (en) Liquid pressure equipment
Rastrigin Optimal design as an application of random search
JPS523929A (en) Control device of diesel engine
JPS5351982A (en) Iil device
Maidment et al. A new approach to urban water resources systems optimization
JPS56123785A (en) Method for curtailment in electric current consumption for motor
Osgood Physiography, land use, and lake management in the Twin Cities Metropolitan Area, Minnesota.
JPS56143367A (en) Self starting high speed windmill of vertical shaft type
JPS5441018A (en) Supply voltage control circuit incorporating monolithic ic
JPS5321728A (en) Controller for operating hysteresis motor
JPS5349923A (en) Electronic desk-top computer
JPS5239141A (en) Non-interrupted powe source

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH DE DK ES FR GB IT LU NL SE

AK Designated states

Kind code of ref document: A3

Designated state(s): JP

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): AT BE CH DE DK ES FR GB IT LU NL SE