WO1994028194A1 - Improved compositions and methods for polishing - Google Patents

Improved compositions and methods for polishing Download PDF

Info

Publication number
WO1994028194A1
WO1994028194A1 PCT/US1994/006091 US9406091W WO9428194A1 WO 1994028194 A1 WO1994028194 A1 WO 1994028194A1 US 9406091 W US9406091 W US 9406091W WO 9428194 A1 WO9428194 A1 WO 9428194A1
Authority
WO
WIPO (PCT)
Prior art keywords
polishing
silica
metal
composition according
composition
Prior art date
Application number
PCT/US1994/006091
Other languages
French (fr)
Inventor
Gregory Brancaleoni
Lee Melbourne Cook
Original Assignee
Rodel, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=22074607&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=WO1994028194(A1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Rodel, Inc. filed Critical Rodel, Inc.
Priority to KR1019950705240A priority Critical patent/KR100222768B1/en
Priority to DE0706582T priority patent/DE706582T1/en
Priority to AT94918171T priority patent/ATE200916T1/en
Priority to EP94918171A priority patent/EP0706582B9/en
Priority to DE69427165T priority patent/DE69427165T3/en
Publication of WO1994028194A1 publication Critical patent/WO1994028194A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S451/00Abrading
    • Y10S451/905Metal lap

Definitions

  • the invention relates to the polishing of glasses, semiconductors, dielectric/metal composites and integrated circuits. More particularly, this invention relates to improvements in the surface preparation of composite materials where improved differences in rate between silica and other components are desired.
  • Conventional polishing compositions or slurries generally consist of a solution which contains abrasive particles.
  • the part, or substrate is bathed or rinsed in the slurry while an elastomeric pad is pressed against the substrate and rotated so that the slurry particles are pressed against the substrate under load.
  • the lateral motion of the pad causes the slurry particles to move across the substrate surface, resulting in wear, volumetric removal of the substrate surface.
  • the rate of surface removal is determined solely by the degree of applied pressure, the velocity of pad rotation and the chemical activity of the slurry particle.
  • slurry particles with a high degree of chemical activity toward the substrate e.g., Ce0 2 toward Si ⁇ 2
  • more inert particles e.g. La2 ⁇ 3 toward Si ⁇ 2
  • This enhancement of chemical activity of the polishing particle has been the basis of numerous patents, for example U.S.Patent No. 4,959,113.
  • CMP chemo-mechanical polishing
  • Carr et al. (U.S.Patent No. 4,954,142) teach further improvements in CMP planarization of dielectric/metal composite structures by addition of a chelating agent to the slurry which is selective for the metal component of interest. This results in a further increase of the corrosion rate of the metal phase and increase selectivity of metal versus dielectric phase removal, making the planarization process much more efficient.
  • HOOC-CH2-CH 2 -COOH Succinic acid (inactive)
  • pKa 1 4.2
  • the pKa is the logarithm of the association constant Ka for formation of the free anion, as defined by the reaction: .
  • the object of this invention has been achieved by providing a composition for polishing silicon, silica or silicon-containing articles, including a composite of metal and silica, comprising an aqueous medium, abrasive particles, an oxidizing agent and an anion which suppresses the rate of removal of silica.
  • the anion is derived from compounds which contain at least two acid groups and where the pKa of the first dissociable acid is not substantially larger than the pH of the polishing composition.
  • rate-suppressing compounds in -to question are those which dissociate in solution to produce free anions of a specific class, said anions are believed to complex or bond to the silicon, silica or silicate surface via interaction with surface hydroxyl groups (Si-OH).
  • Acid species are defined as those functional groups having a dissociable proton. These include, but are is not limited to, carboxylate, hydroxyl, sulfonic and phosphonic groups. Carboxylate and hydroxyl groups are preferred as these are present in the widest variety of effective species.
  • the pKa of the first dissociable acid is strongly influenced by structure. It is our finding that a wide variety of structures are effective, as long as the two necessary conditions set forth above are met. Particularly effective are structures which possess two
  • carboxylate groups with hydroxyl groups in an alpha position such as straight chain mono- and di- carboxylic acids and salts including, for example, malic acid and malates, tartaric acid and tartrates and gluconic acid and gluconates. Also effective are tri-
  • polycarboxylic acids and salts with secondary or tertiary hydroxyl groups in an alpha position relative to a carboxylate group such as citric acid and citrates are also effective.
  • compounds containing a benzene ring such as ortho di- and poly-hydroxybenzoic acids and acid salts, phthalic acid and acid salts, pyrocatechol, pyrogallol, gallic acid and gallates and
  • the pH of the polishing composition should be equal to or greater than a value equal to the pKai of the additive used for silica rate suppression. If the pKai of the additive is substantially greater than the
  • Effective amounts of the compound which suppresses the rate of removal of silica are usually 0.1 molar and greater, up to the solubility of the compound in the polishing composition at the temperature of use.
  • the abrasive particles in the polishing compositions of this invention may be any of those commonly used for fine polishing such as Si ⁇ 2, Zr0 2 , Ce ⁇ 2 , AI2O 3 and diamond.
  • the amount of abrasive particles used in polishing compositions ranges from about 1% to 15% solids by weight in the polishing composition.
  • the abrasive particles used are submicron particles of alumina (AI2O3).
  • the oxidizing agent in the polishing compositions of this invention may be any oxidant soluble in the aqueous medium provided that the oxidation potential of the oxidizing agent is greater than the oxidation potential of the metal in the composite being polished.
  • Common oxidizing agents are chlorates, perchlorates, chlorites, nitrates, persulfates and peroxides. In the following examples hydrogen peroxide is used as the oxidizing agent and was found to be effective for accelerating the rate of removal of tungsten.
  • the metals normally contained in the composites for which the polishing compositions of this invention are effective are tungsten, copper and aluminum, however, any metal would fall within the scope of the invention.
  • Oxidizing agents might be used in amounts up to 50% of the weight of the polishing composition, but most typically be in the range of 10% to 40%.
  • compositions prepared by the present invention are set forth below in order to demonstrate and clarify the essential features. They are not meant to be restrictive in any way.
  • polishing compositions were prepared as shown below. The compositions differed only in that the second composition contained 0.3 Molar potassium hydrogen phthalate as an additive introduced to suppress the polishing rate of Si ⁇ 2- Both compositions were used to polish samples of CVD-deposited tungsten metal film on Si substrates, and thermally grown Si0 2 on Si substrates, using identical conditions on a Strasbaugh 6DS Polishing Machine. Polishing conditions were:
  • composition no. 2 of this example represents a preferred embodiment of the present invention as it applies to the polishing of metal/dielectric composites.
  • Example 1 To more clearly show that the rate inhibition of silica was not due to incorporation of hydrogen peroxide, the test of Example 1 was repeated without peroxide addition where an inactive salt (ammonium nitrate) was added in equinormal concentration relative to the phthalate salt. Wafers and polishing conditions were identical to those used in Example 1. As shown below, while tungsten rates were identical, silica rates were depressed by a factor of ⁇ 2 when phthalate was added to the composition. In this example, the pH of the phthalate-containing composition is substantially above pKai.
  • an inactive salt ammonium nitrate
  • composition 4 lOOOg submicron alumina slurry (33% solids) 3000g H 2 0
  • composition 2 of Example 1 above was prepared and used to polish samples of both sheet tungsten, sheet Si0 2 and samples of integrated circuits.
  • the integrated circuits consisted of a 0 device containing interlevel connections (studs) and a silica dielectric layer covered with approximately 2000 angstroms of tungsten metal.
  • the composition of the sheet wafers was identical to that contained in the integrated circuit. All samples were polished on a Strasbaugh Model 6DS Planarizer using conditions set forth below:
  • TIR is the difference between maximum and minimum surface features over the

Abstract

Improved compositions for polishing silicon, silica or silicon-containing articles, including a composite of metal and silica, comprising an aqueous medium, abrasive particles, an oxidizing agent and an anion which suppresses the rate of removal of silica are provided. The anion is derived from a class of compounds which contain at least two acid groups and where the pKa of the first dissociable acid is not substantially larger than the pH of the polishing composition. Methods using the composition to polish or planarize the surfaces of workpieces, as well as products produced by such methods, are also provided.

Description

IMPROVED COMPOSITIONS AND METHODS FOR POLISHING
BACKGROUND OF THE INVENTION
Technical Field
The invention relates to the polishing of glasses, semiconductors, dielectric/metal composites and integrated circuits. More particularly, this invention relates to improvements in the surface preparation of composite materials where improved differences in rate between silica and other components are desired.
Background Art
Conventional polishing compositions or slurries generally consist of a solution which contains abrasive particles. The part, or substrate is bathed or rinsed in the slurry while an elastomeric pad is pressed against the substrate and rotated so that the slurry particles are pressed against the substrate under load. The lateral motion of the pad causes the slurry particles to move across the substrate surface, resulting in wear, volumetric removal of the substrate surface.
In many cases the rate of surface removal is determined solely by the degree of applied pressure, the velocity of pad rotation and the chemical activity of the slurry particle. Thus, slurry particles with a high degree of chemical activity toward the substrate (e.g., Ce02 toward Siθ2) show significantly higher polishing rates than more inert particles (e.g. La2θ3 toward Siθ2). This enhancement of chemical activity of the polishing particle has been the basis of numerous patents, for example U.S.Patent No. 4,959,113.
An alternative means of increasing polishing rates is to add components to the slurries which by themselves are corrosive to the substrate. When used together with abrasive particles, substantially higher polishing rates may be achieved. This process, often termed chemo-mechanical polishing (CMP) is a preferred technique for polishing of semiconductors and semiconductor devices, particularly integrated circuits. Examples of such CMP processes for enhanced polishing of silicon wafer surfaces have been disclosed by Payne in U.S.Patent No. 4,169,337. Beyer et al.
(U.S.Patent No. 4,944,836) and Chow et al. (U.S.Patent No. 4,702,792) teach the utility of CMP in improving rate selectivity in the polishing of dielectric/metal composite structures such as interconnect vias in integrated circuit structures. Specifically they teach the introduction of additives which accelerate dissolution of the metal component. The purpose of this and other related techniques is to preferentially remove the metal portion of the circuit so that the resulting surface becomes coplanar. The process is ordinarily termed planarization.
It is highly desirable to improve the selectivity of metal planarization as much as possible. Carr et al. (U.S.Patent No. 4,954,142) teach further improvements in CMP planarization of dielectric/metal composite structures by addition of a chelating agent to the slurry which is selective for the metal component of interest. This results in a further increase of the corrosion rate of the metal phase and increase selectivity of metal versus dielectric phase removal, making the planarization process much more efficient.
A number of anions have been demonstrated to chelate or complex with Si4+ in such a manner as to accelerate corrosion of silica or silicate materials. As described by Bacon and Raggon [J. Amer. Ceram. Soc. vol. 42, pp.199-205, 1959] a variety of weak acids were shown to accelerate the corrosion of silica and silicate glasses in neutral solution (pH~7). The effect was ascribed to the ability of the free anions of the acid (conjugate base) to complex the Si + cation in much the same manner as the pyrocatechol-silicate complexes described by Rosenheim et al. (A. Rosenheim, B. Raibmann, G. Schendel; Z. anorg. u. allgem. Che . , vol. 196, pp. 160-76, 1931] as shown below:
Figure imgf000005_0001
The corrosive anions described by Bacon and Raggon all had similar structures which were in turn closely similar to pyrocatechol (1,2-dihydroxybenzene) , namely, all were mono or dicarboxylic acids which had hydroxyl groups at secondary or tertiary carbon sites which were located at an alpha position with respect to the carboxylic acid group. An example of an active versus an inactive compound is shown below:
HOOC-CHOH-CHOH-COOH: Tartaric acid (active) pKa1=3.02 versus
HOOC-CH2-CH2-COOH: Succinic acid (inactive) pKa1=4.2 The pKa is the logarithm of the association constant Ka for formation of the free anion, as defined by the reaction: .
R - COOH +- 2→ R - cocr + tr .
κ'a = * coo-3[H3 (y ] = [icoo-mo*]
[RCOOHIH20 ^ - ---V-WI J-RCOOH-J
Thus a lower pKa indicates a stonger acid. At equivalent pH a higher conjugate base concentration is found in solution.
Prior art corrosion literature also describes the corrosive effects of catechol in static solution. As shown by Ernsberger (J. A er. Ceram. Soc, vol. 42, pp.373-5, 1959), addition of pyrocatechol to Ethylene Diamine Tetraacetic Acid (EDTA) solution produces enhanced corrosion of soda-lime-silicate glass in the pH range 10-14. The enhancement was significant with rates at least twice as high as with EDTA alone in the solution. A maximum effect was found at pH 12.5. Once again, the effect was attributed to complexation of free Si + cation with the catechol.
From the above, it is clear that published literature on the subject indicates that such additives have been shown to be corrosive to silica or silicates under static exposure. The mode of the corrosion is believed to be the formation of a complex or chelate with free Si4+ cations. Thus, in like manner to the teaching of U.S.Patent No. 4,954,142, a higher silica removal rate during polishing would be expected when such additives are present in the polishing solution. Consequently, these types of additives have never been used in metal planarization, as metal/silica selectivity was expected to be seriously degraded.
While the prior art CMP procedures described above appear attractive, they possess significant drawbacks. Specifically, the etchants incorporated into prior art CMP slurries are isotropic, i.e., they attack all portions of the exposed phase, regardless of position. Thus significant incorporation of etchants into CMP slurries often results in increases in surface roughness and texture when recessed features become etched. In the polishing of integrated circuits this effect is termed dishing and often occurs at the end of the process when a significant portion of the substrate surface is composed of the more durable component. It is highly undesirable, as the object of polishing is to produce a uniform plane surface free from texture.
It is clear from the above discussion that if the polishing rate of the silica phase of a composite structure could be reduced in a controlled manner, selectivity could be significantly improved. This would allow use of solutions which are less aggressive to the other (metal) phase, thus permitting efficient CMP processing of metal/silica composites with reduced dishing.
Accordingly, it is the object of this invention to provide a solution for polishing silicon, silica, silicon- or silica-containing articles wherein the polishing rate of the silicon or silica phase is modulated or controlled by the addition of specific additive or co plexing agents.
It is also the object of this invention to provide an improved polishing slurry and polishing method for composite articles which results in improved selectivity during the polishing process, particularly for metal dielectric composites such as those occurring in integrated circuit structures.
These and other objects of the invention will become apparent to those skilled in the art after referring to the following description and examples.
SUMMARY OF THE INVENTION
The object of this invention has been achieved by providing a composition for polishing silicon, silica or silicon-containing articles, including a composite of metal and silica, comprising an aqueous medium, abrasive particles, an oxidizing agent and an anion which suppresses the rate of removal of silica. The anion is derived from compounds which contain at least two acid groups and where the pKa of the first dissociable acid is not substantially larger than the pH of the polishing composition.
DESCRIPTION OF THE INVENTION
5
In the present invention we have discovered the unexpected ability of a class of compounds to suppress the polishing rate of objects whose surfaces are composed of silicon and silicates. Incorporation of to these compounds into a polishing slurry allows control of the polishing rate of said surfaces, thus allowing unprecedented levels of selectivity when said surface is a component of a composite article, e.g., a dielectric/metal composite. What is even more is unexpected is that the prior art teaches that these same compounds act as accelerants to the corrosion of silica and silicate surfaces under static conditions.
As discussed above, the rate-suppressing compounds in -to question are those which dissociate in solution to produce free anions of a specific class, said anions are believed to complex or bond to the silicon, silica or silicate surface via interaction with surface hydroxyl groups (Si-OH).
25
Unexpectedly, we have discovered that the opposite is true; the introduction of this class of anions into the polishing composition actually suppresses the removal of silica during the polishing process. This 3o suppression effect is clearly demonstrated in the examples set forth below. More specifically, we observe that said anions of the rate suppressing compounds must have two characteristics simultaneously in order to suppress the Siθ2 polishing rate. First, they must have at least s two acid groups present in the structure which can effect complexation to the silica or silicate surface, and, second, the pKa of the first dissociable acid must not be substantially larger than the pH of the polishing composition for efficient silica rate ιo suppression to occur. Substantially is herein defined as 0.5 units (pKa or pH) .
Acid species are defined as those functional groups having a dissociable proton. These include, but are is not limited to, carboxylate, hydroxyl, sulfonic and phosphonic groups. Carboxylate and hydroxyl groups are preferred as these are present in the widest variety of effective species.
•a The pKa of the first dissociable acid is strongly influenced by structure. It is our finding that a wide variety of structures are effective, as long as the two necessary conditions set forth above are met. Particularly effective are structures which possess two
2s or more carboxylate groups with hydroxyl groups in an alpha position, such as straight chain mono- and di- carboxylic acids and salts including, for example, malic acid and malates, tartaric acid and tartrates and gluconic acid and gluconates. Also effective are tri-
30 and polycarboxylic acids and salts with secondary or tertiary hydroxyl groups in an alpha position relative to a carboxylate group such as citric acid and citrates. Also effective are compounds containing a benzene ring such as ortho di- and poly-hydroxybenzoic acids and acid salts, phthalic acid and acid salts, pyrocatechol, pyrogallol, gallic acid and gallates and
5 tannic acid and tannates. The reason for the effectiveness of these compounds lies in the extensive electron delocalization observed in the structures. This delocalization leads to a high degree of stability for the conjugate base in solution, as evidenced by the ιo low pKa values:
Gallic acid: pKaι=4.4 Malic acid: pKa*-*-*-3.4 Tartaric acid:
Figure imgf000011_0001
Citric acid: pKa**_=3.1
15 Phthalic acid:
Figure imgf000011_0002
The pKa limitations set forth in the present invention are due to the requirement that the free anion or conjugate base must be present in reasonable
•a concentration for the rate suppressing effect to occur. At pH«pKa little free anion is present. At pH==pKa, the acid is 50% dissociated. At pH»pKa, essentially all of the acid is present as the anion. Thus the dissociation constant must be chosen to reflect the
2s range of pH values normally encountered in polishing. Ideally, the pH of the polishing composition should be equal to or greater than a value equal to the pKai of the additive used for silica rate suppression. If the pKai of the additive is substantially greater than the
3o composition pH, insufficient free anion is produced in solution and the suppression effect does not occur. Thus additives such as tartaric, citric and phthalic acid (pKa<3.1) should be effective over a pH range corresponding to the normal pH range encountered in polishing silicates (pH~4-ll) and would be preferred. In contrast, addition of pyrocatechol (pKa^-lO) would only be useful at very high solution pH, such as might be found in the polishing of Si wafers, and would have a more restricted utility.
Effective amounts of the compound which suppresses the rate of removal of silica are usually 0.1 molar and greater, up to the solubility of the compound in the polishing composition at the temperature of use.
The abrasive particles in the polishing compositions of this invention may be any of those commonly used for fine polishing such as Siθ2, Zr02, Ceθ2, AI2O3 and diamond. Typically, the amount of abrasive particles used in polishing compositions ranges from about 1% to 15% solids by weight in the polishing composition. In the examples which follow the abrasive particles used are submicron particles of alumina (AI2O3).
The oxidizing agent in the polishing compositions of this invention may be any oxidant soluble in the aqueous medium provided that the oxidation potential of the oxidizing agent is greater than the oxidation potential of the metal in the composite being polished. Common oxidizing agents are chlorates, perchlorates, chlorites, nitrates, persulfates and peroxides. In the following examples hydrogen peroxide is used as the oxidizing agent and was found to be effective for accelerating the rate of removal of tungsten. The metals normally contained in the composites for which the polishing compositions of this invention are effective are tungsten, copper and aluminum, however, any metal would fall within the scope of the invention. Oxidizing agents might be used in amounts up to 50% of the weight of the polishing composition, but most typically be in the range of 10% to 40%.
Several examples of compositions prepared by the present invention are set forth below in order to demonstrate and clarify the essential features. They are not meant to be restrictive in any way.
EXAMPLE 1
Two polishing compositions were prepared as shown below. The compositions differed only in that the second composition contained 0.3 Molar potassium hydrogen phthalate as an additive introduced to suppress the polishing rate of Siθ2- Both compositions were used to polish samples of CVD-deposited tungsten metal film on Si substrates, and thermally grown Si02 on Si substrates, using identical conditions on a Strasbaugh 6DS Polishing Machine. Polishing conditions were:
Pressure: 7 psi
Spindle speed: 40 rpm
Platen speed: 50 rpm
Pad type: Rodel IC1000, 38" diam Slurry flow: 150 ml/min Temperature: 230°C Composition 1 lOOOg submicron alumina slurry (33% solids) lOOOg H20 2000ml 50% H202 s pH=5.6
Polishing rate of W metal=436 Angstroms/min Polishing rate of Siθ2=140 Angstroms/min Selectivity (W/Si02)=3.1:l
ιo Composition 2 lOOOg submicron alumina slurry (33% solids) lOOOg H20
2000ml 50% H202
221.6g potassium hydrogen phthalate is pH=2.9
Polishing rate of W metal=1038 Angstroms/min
Polishing rate of Siθ2*=68 Angstroms/min
Selectivity (W/Si02)*=15.3:l
to Addition of the phthalate salt resulted in a reduction of slurry pH to approximately the pKai of phthalic acid. The lowered pH led to an increase in the tungsten polishing rate. Phthalate addition resulted in a reduction of the Siθ2 polishing rate by a
25 factor of 2. This resulted in a five-fold improvement in the selectivity of removal for tungsten relative to silica, a highly desirable result. In this example, hydrogen peroxide was introduced into the composition to accelerate the removal of tungsten. The high degree
30 of effectiveness of composition 2 at such low pH is surprising. This is in direct opposition to the teaching of U.S.Patent No. 4,956,313 and U.S.Patent No. 4,992,135, both of which teach the efficacy of using solution pH above 6 to obtain optimum selectivity for tungsten vs. silica removal. Thus composition no. 2 of this example represents a preferred embodiment of the present invention as it applies to the polishing of metal/dielectric composites.
EXAMPLE 2
To more clearly show that the rate inhibition of silica was not due to incorporation of hydrogen peroxide, the test of Example 1 was repeated without peroxide addition where an inactive salt (ammonium nitrate) was added in equinormal concentration relative to the phthalate salt. Wafers and polishing conditions were identical to those used in Example 1. As shown below, while tungsten rates were identical, silica rates were depressed by a factor of ~2 when phthalate was added to the composition. In this example, the pH of the phthalate-containing composition is substantially above pKai.
Composition 3 lOOOg submicron alumina slurry (33% solids) 3000g H2O
177g NH4NO3 (0.6 normal) pH=7.6
Polishing rate of W metal=71 Angstroms/min
Polishing rate of Siθ2=227 Angstroms/min
Composition 4 lOOOg submicron alumina slurry (33% solids) 3000g H20
221.6g potassium hydrogen phthalate (0.6normal) pH=3.6
Polishing rate of W metal=71 Angstroms/min
Polishing rate of Siθ2=119 Angstroms/min
EXAMPLE 3
10 In order to demonstrate yet further the effect of anions of the present invention on the rate of silica polishing, varying concentrations of potassium hydrogen phthalate were added to portions of a freshly prepared lot of composition no. 1 above. Wafers and polishing conditions were again the same as in Examples 1 and 2. Test results are summarized below:
TABLE 1
to Test
Moles Potassium hydrogen phthalate 0.00 0.05 0.10 0.20 added
25 pH 6.0 3.6 3.5 3.3
W polishing rate Angstroms/min 444 978 1164 1164
30
Siθ2 polishing rate Angstroms/min 167 137 93 76
Selectivity 2.7 7.1 12.5 15.3
35 (W/Si02) Both tungsten polishing rate, silica polishing rate, and selectivity for the composition without phthalate addition agree well with the data of Example 1. Silica polishing rate decreases directly with s increasing phthalate concentration, while the rate of tungsten polishing remains relatively constant at phthalate additions above 0.05 molar. These data are also in good agreement with the data for the phthalate- containing composition no.2 of Example 1. These data o clearly indicate that the effect of compositions of the present invention is to suppress the polishing rate of silica with corresponding improvements in polishing selectivity relative to a metal phase. In this example, a critical concentration of ~0.1 molar is 5 required for effectiveness. Additions of compounds above such a critical concentration also represent a preferred embodiment of this invention. They, of course, are only useful up to a concentration equal to their solubility in the composition at the temperature 0 of use. For potassium hydrogen phthalate the solubility limit is about 0.5 molar at room temperature.
EXAMPLE 4 5
A portion of composition 2 of Example 1 above was prepared and used to polish samples of both sheet tungsten, sheet Si02 and samples of integrated circuits. The integrated circuits consisted of a 0 device containing interlevel connections (studs) and a silica dielectric layer covered with approximately 2000 angstroms of tungsten metal. The composition of the sheet wafers was identical to that contained in the integrated circuit. All samples were polished on a Strasbaugh Model 6DS Planarizer using conditions set forth below:
5 Pressure: 7 psi
Carrier speed: 25 rpm Platen speed: 25 rpm Pad type: IC-1000 Slurry flow: 100 ml/min to Sheet tungsten wafers showed removal rates of 900 Angstroms/min, while the rate for sheet Siθ2 was 70 Angstroms/min, giving a selectivity of 12.9:1. This is close to the selectivity observed using the different machine conditions of Example 1. Polishing of the is integrated circuit samples was continued until all visible traces of metal coverage had disappeared. Visual observation of metal features after polishing at 50X showed clean lines and studs. No evidence of dishing was observed. The oxide layer looked very
■to smooth, with no evidence of scratches, pits or haze. Examination at 200X showed sharp lines and smooth metal surfaces. No damage to the oxide layer was observed. Measurement of surface topology using a Tencor PI Wafer Profilometer indicated that the Total Indicated Runout
2 (TIR) of wafers was between 1200 Angstroms and 4000 Angstroms over a 500 μm scan length, depending on location and feature, indicating that the circuit had been successfully planarized. TIR is the difference between maximum and minimum surface features over the
30 scan length and is a commonly accepted measure of wafer planarity.

Claims

1. A composition for polishing a composite of metal and silica comprising: an aqueous medium, abrasive particles, an oxidizing agent and a compound or
5 compounds which suppress the rate of removal of silica, wherein each of said compound or compounds contains at least two acid groups and where the pKa of the first dissociable acid is not substantially larger than the pH of the polishing composition. to 2. A composition according to claim 1 where said compound or compounds which suppress the rate of removal of silica contain a benzene ring.
3. A composition according to claim 1 where said compound or compounds which suppress the rate of is removal of silica are straight chain mono- and di- carboxylic acids and salts which have secondary hydroxyl groups in an alpha position relative to the carboxylate group.
4. A composition according to claim 1 where said -to compound or compounds which suppress the rate of removal of silica are tri- or poly-carboxylic acids and salts which have secondary or tertiary hydroxyl groups in an alpha position relative to a carboxylate group.
5. A composition according to claim 2 consisting
•a essentially of water, abrasive particles, hydrogen peroxide and potassium hydrogen phthalate, where the solution concentration of the phthalate component is at least 0.1 molar.
6. A composition according to claim 5 consisting
30 essentially of, in parts by weight; 3.2 parts water, 0.33 parts abrasive particles, 1.5 parts hydrogen peroxide and 0.22 parts potassium hydrogen phthalate.
7. A method of polishing a composite, one component of which is silicon, silica or silicates in which the polishing composition according to claim 1 is used.
8. A method of polishing a composite, one component of s which is silicon, silica or silicates in which the polishing composition according to claim 2 is used.
9. A method of polishing a composite, one component of which is silicon, silica or silicates in which the polishing composition according to claim 3 is used. ιo
10. A method of polishing a composite, one component of which is silicon, silica or silicates in which the polishing composition according to claim 4 is used.
11. A method of polishing a composite, one component of which is silicon, silica or silicates in which the i5 polishing composition according to claim 5 is used.
12. A method of polishing a composite, one component of which is silicon, silica or silicates in which the polishing composition according to claim 6 is used.
13. A method for polishing an integrated circuit whose 2o surface is comprised of metal and silica in which a composition according to claim 1 is used.
14. A method for polishing an integrated circuit whose surface is comprised of metal and silica in which a composition according to claim 2 is used.
25 15. A method for polishing an integrated circuit whose surface is comprised of metal and silica in which a composition according to claim 3 is used.
16. A method for polishing an integrated circuit whose surface is comprised of metal and silica in which a
30 composition according to claim 4 is used.
17. A method for polishing an integrated circuit whose surface is comprised of metal and silica in which a composition according to claim 5 is used
18. A method for polishing an integrated circuit whose surface is comprised of metal and silica in which a composition according to claim 6 is used.
19. An integrated circuit the surface of which is comprised of metal and silica for which the composition of claim 1 is used as a polishing agent.
10 20. An integrated circuit the surface of which is comprised of metal and silica for which the composition of claim 2 is used as a polishing agent.
21. An integrated circuit the surface of which is comprised of metal and silica for which the composition is of claim 3 is used as a polishing agent.
22. An integrated circuit the surface of which is comprised of metal and silica for which the composition of claim 4 is used as a polishing agent.
23. An integrated circuit the surface of which is
-a comprised of metal and silica for which the composition of claim 5 is used as a polishing agent.
24. An integrated circuit the surface of which is comprised of metal and silica for which the composition of claim 6 is used as a polishing agent.
30
PCT/US1994/006091 1993-05-26 1994-05-25 Improved compositions and methods for polishing WO1994028194A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1019950705240A KR100222768B1 (en) 1993-05-26 1994-05-25 Improved polishing compositions
DE0706582T DE706582T1 (en) 1993-05-26 1994-05-25 COMPOSITION AND POLISHING METHOD
AT94918171T ATE200916T1 (en) 1993-05-26 1994-05-25 COMPOSITION AND METHOD FOR POLISHING
EP94918171A EP0706582B9 (en) 1993-05-26 1994-05-25 Improved compositions and methods for polishing
DE69427165T DE69427165T3 (en) 1993-05-26 1994-05-25 COMPOSITION AND POLISHING METHOD

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/067,234 US5391258A (en) 1993-05-26 1993-05-26 Compositions and methods for polishing
US08/067,234 1993-05-26

Publications (1)

Publication Number Publication Date
WO1994028194A1 true WO1994028194A1 (en) 1994-12-08

Family

ID=22074607

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1994/006091 WO1994028194A1 (en) 1993-05-26 1994-05-25 Improved compositions and methods for polishing

Country Status (11)

Country Link
US (2) US5391258A (en)
EP (1) EP0706582B9 (en)
JP (1) JP2819196B2 (en)
KR (1) KR100222768B1 (en)
CN (1) CN1053933C (en)
AT (1) ATE200916T1 (en)
DE (2) DE706582T1 (en)
MY (1) MY110381A (en)
SG (1) SG48220A1 (en)
TW (1) TW329434B (en)
WO (1) WO1994028194A1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999016843A1 (en) * 1997-09-26 1999-04-08 Infineon Technologies Ag Polishing agent, method for chemical and mechanical planishing and use of said polishing agent to planish a semiconductor substrate
EP0986097A2 (en) * 1998-08-28 2000-03-15 Kabushiki Kaisha Kobe Seiko Sho Method for reclaiming wafer substrate and polishing solution composition for reclaiming wafer substrate
WO2001048114A1 (en) * 1999-12-27 2001-07-05 Showa Denko K.K. Composition for polishing magnetic disk substrate and polishing method, and magnetic disk substrate polished thereby
US6383060B2 (en) 2000-04-27 2002-05-07 Sumitomo Metal Industries, Ltd. Method of polishing silicon wafer
US6406923B1 (en) 2000-07-31 2002-06-18 Kobe Precision Inc. Process for reclaiming wafer substrates
US6527818B2 (en) 2000-02-09 2003-03-04 Jsr Corporation Aqueous dispersion for chemical mechanical polishing
WO2003103033A1 (en) * 2002-06-03 2003-12-11 Hitachi Chemical Co., Ltd. Polishing fluid and method of polishing
US7087530B2 (en) 2000-03-27 2006-08-08 Jsr Corporation Aqueous dispersion for chemical mechanical polishing

Families Citing this family (178)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5391258A (en) * 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
WO1995024054A1 (en) * 1994-03-01 1995-09-08 Rodel, Inc. Improved compositions and methods for polishing
FR2722511B1 (en) * 1994-07-15 1999-04-02 Ontrak Systems Inc PROCESS FOR REMOVING METALS FROM A SCOURING DEVICE
US5525191A (en) * 1994-07-25 1996-06-11 Motorola, Inc. Process for polishing a semiconductor substrate
US5695384A (en) * 1994-12-07 1997-12-09 Texas Instruments Incorporated Chemical-mechanical polishing salt slurry
WO1996027206A2 (en) * 1995-02-24 1996-09-06 Intel Corporation Polysilicon polish for patterning improvement
US5614444A (en) * 1995-06-06 1997-03-25 Sematech, Inc. Method of using additives with silica-based slurries to enhance selectivity in metal CMP
US6046110A (en) * 1995-06-08 2000-04-04 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing a semiconductor device
US5665199A (en) * 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5693239A (en) * 1995-10-10 1997-12-02 Rodel, Inc. Polishing slurries comprising two abrasive components and methods for their use
US5700383A (en) * 1995-12-21 1997-12-23 Intel Corporation Slurries and methods for chemical mechanical polish of aluminum and titanium aluminide
US6135856A (en) * 1996-01-19 2000-10-24 Micron Technology, Inc. Apparatus and method for semiconductor planarization
US5899799A (en) * 1996-01-19 1999-05-04 Micron Display Technology, Inc. Method and system to increase delivery of slurry to the surface of large substrates during polishing operations
JP4204649B2 (en) * 1996-02-05 2009-01-07 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US5858813A (en) * 1996-05-10 1999-01-12 Cabot Corporation Chemical mechanical polishing slurry for metal layers and films
US5993686A (en) * 1996-06-06 1999-11-30 Cabot Corporation Fluoride additive containing chemical mechanical polishing slurry and method for use of same
US5827781A (en) * 1996-07-17 1998-10-27 Micron Technology, Inc. Planarization slurry including a dispersant and method of using same
US5916819A (en) * 1996-07-17 1999-06-29 Micron Technology, Inc. Planarization fluid composition chelating agents and planarization method using same
US5863838A (en) * 1996-07-22 1999-01-26 Motorola, Inc. Method for chemically-mechanically polishing a metal layer
JP3507628B2 (en) * 1996-08-06 2004-03-15 昭和電工株式会社 Polishing composition for chemical mechanical polishing
US5893983A (en) * 1996-08-28 1999-04-13 International Business Machines Corporation Technique for removing defects from a layer of metal
US6033596A (en) * 1996-09-24 2000-03-07 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US5783489A (en) * 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US6039891A (en) * 1996-09-24 2000-03-21 Cabot Corporation Multi-oxidizer precursor for chemical mechanical polishing
US6132637A (en) 1996-09-27 2000-10-17 Rodel Holdings, Inc. Composition and method for polishing a composite of silica and silicon nitride
US5738800A (en) * 1996-09-27 1998-04-14 Rodel, Inc. Composition and method for polishing a composite of silica and silicon nitride
US5972792A (en) * 1996-10-18 1999-10-26 Micron Technology, Inc. Method for chemical-mechanical planarization of a substrate on a fixed-abrasive polishing pad
FR2754937B1 (en) * 1996-10-23 1999-01-15 Hoechst France NOVEL MECHANICAL AND CHEMICAL POLISHING OF INSULATING MATERIAL LAYERS BASED ON SILICON OR SILICON DERIVATIVES
US5958288A (en) * 1996-11-26 1999-09-28 Cabot Corporation Composition and slurry useful for metal CMP
US6068787A (en) * 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US6126853A (en) * 1996-12-09 2000-10-03 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5954997A (en) 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US6309560B1 (en) 1996-12-09 2001-10-30 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5759917A (en) 1996-12-30 1998-06-02 Cabot Corporation Composition for oxide CMP
US5756398A (en) * 1997-03-17 1998-05-26 Rodel, Inc. Composition and method for polishing a composite comprising titanium
US6322600B1 (en) 1997-04-23 2001-11-27 Advanced Technology Materials, Inc. Planarization compositions and methods for removing interlayer dielectric films
US8092707B2 (en) * 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
US5922091A (en) * 1997-05-16 1999-07-13 National Science Council Of Republic Of China Chemical mechanical polishing slurry for metallic thin film
US6001269A (en) * 1997-05-20 1999-12-14 Rodel, Inc. Method for polishing a composite comprising an insulator, a metal, and titanium
MY124578A (en) * 1997-06-17 2006-06-30 Showa Denko Kk Magnetic hard disc substrate and process for manufacturing the same
US5770103A (en) * 1997-07-08 1998-06-23 Rodel, Inc. Composition and method for polishing a composite comprising titanium
US6083419A (en) * 1997-07-28 2000-07-04 Cabot Corporation Polishing composition including an inhibitor of tungsten etching
US5891205A (en) * 1997-08-14 1999-04-06 Ekc Technology, Inc. Chemical mechanical polishing composition
KR19990023544A (en) * 1997-08-19 1999-03-25 마쯔모또 에이찌 Aqueous dispersion of inorganic particles and preparation method thereof
US5897375A (en) * 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
US6096652A (en) * 1997-11-03 2000-08-01 Motorola, Inc. Method of chemical mechanical planarization using copper coordinating ligands
US7202497B2 (en) * 1997-11-27 2007-04-10 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
JP4014710B2 (en) * 1997-11-28 2007-11-28 株式会社半導体エネルギー研究所 Liquid crystal display
US5985748A (en) * 1997-12-01 1999-11-16 Motorola, Inc. Method of making a semiconductor device using chemical-mechanical polishing having a combination-step process
US6294105B1 (en) 1997-12-23 2001-09-25 International Business Machines Corporation Chemical mechanical polishing slurry and method for polishing metal/oxide layers
US6284151B1 (en) * 1997-12-23 2001-09-04 International Business Machines Corporation Chemical mechanical polishing slurry for tungsten
US6432828B2 (en) * 1998-03-18 2002-08-13 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
JP4163785B2 (en) * 1998-04-24 2008-10-08 スピードファム株式会社 Polishing composition and polishing method
JP2002517593A (en) * 1998-06-10 2002-06-18 ロデール ホールディングス インコーポレイテッド Polishing composition and polishing method in metal CMP
US6063306A (en) * 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6217416B1 (en) 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
US6220934B1 (en) 1998-07-23 2001-04-24 Micron Technology, Inc. Method for controlling pH during planarization and cleaning of microelectronic substrates
TW455626B (en) * 1998-07-23 2001-09-21 Eternal Chemical Co Ltd Chemical mechanical abrasive composition for use in semiconductor processing
FR2781922B1 (en) * 1998-07-31 2001-11-23 Clariant France Sa METHOD FOR THE MECHANICAL CHEMICAL POLISHING OF A LAYER OF A COPPER-BASED MATERIAL
US6468909B1 (en) 1998-09-03 2002-10-22 Micron Technology, Inc. Isolation and/or removal of ionic contaminants from planarization fluid compositions using macrocyclic polyethers and methods of using such compositions
US6572449B2 (en) 1998-10-06 2003-06-03 Rodel Holdings, Inc. Dewatered CMP polishing compositions and methods for using same
US6241586B1 (en) * 1998-10-06 2001-06-05 Rodel Holdings Inc. CMP polishing slurry dewatering and reconstitution
JP2000183003A (en) 1998-10-07 2000-06-30 Toshiba Corp Polishing composition for copper metal and manufacture of semiconductor device
DE69942615D1 (en) 1998-10-23 2010-09-02 Fujifilm Electronic Materials A CHEMICAL-MECHANICAL POLISHING AIRBREAKING, CONTAINING A ACCELERATOR SOLUTION
US6206756B1 (en) 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6276996B1 (en) 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6372648B1 (en) * 1998-11-16 2002-04-16 Texas Instruments Incorporated Integrated circuit planarization method
US6083840A (en) * 1998-11-25 2000-07-04 Arch Specialty Chemicals, Inc. Slurry compositions and method for the chemical-mechanical polishing of copper and copper alloys
JP4866503B2 (en) * 1998-12-28 2012-02-01 日立化成工業株式会社 Metal polishing liquid material and metal polishing liquid
US6740590B1 (en) 1999-03-18 2004-05-25 Kabushiki Kaisha Toshiba Aqueous dispersion, aqueous dispersion for chemical mechanical polishing used for manufacture of semiconductor devices, method for manufacture of semiconductor devices, and method for formation of embedded writing
US6468135B1 (en) 1999-04-30 2002-10-22 International Business Machines Corporation Method and apparatus for multiphase chemical mechanical polishing
TW486514B (en) 1999-06-16 2002-05-11 Eternal Chemical Co Ltd Chemical mechanical abrasive composition for use in semiconductor processing
US6443812B1 (en) 1999-08-24 2002-09-03 Rodel Holdings Inc. Compositions for insulator and metal CMP and methods relating thereto
TW499471B (en) 1999-09-01 2002-08-21 Eternal Chemical Co Ltd Chemical mechanical/abrasive composition for semiconductor processing
JP4264781B2 (en) 1999-09-20 2009-05-20 株式会社フジミインコーポレーテッド Polishing composition and polishing method
US6734110B1 (en) 1999-10-14 2004-05-11 Taiwan Semiconductor Manufacturing Company Damascene method employing composite etch stop layer
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
JP2001267273A (en) * 2000-01-11 2001-09-28 Sumitomo Chem Co Ltd Abrasive for metal, abrasive composition, and polishing method
TW572980B (en) 2000-01-12 2004-01-21 Jsr Corp Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
TW572979B (en) * 2000-02-02 2004-01-21 Rodel Inc Polishing composition
US6447375B2 (en) 2000-04-19 2002-09-10 Rodel Holdings Inc. Polishing method using a reconstituted dry particulate polishing composition
TWI268286B (en) * 2000-04-28 2006-12-11 Kao Corp Roll-off reducing agent
US6443811B1 (en) 2000-06-20 2002-09-03 Infineon Technologies Ag Ceria slurry solution for improved defect control of silicon dioxide chemical-mechanical polishing
EP1307319A2 (en) 2000-08-11 2003-05-07 Rodel Holdings, Inc. Chemical mechanical planarization of metal substrates
US6896776B2 (en) * 2000-12-18 2005-05-24 Applied Materials Inc. Method and apparatus for electro-chemical processing
CN1255854C (en) * 2001-01-16 2006-05-10 卡伯特微电子公司 Ammonium oxalate-containing polishing system and method
US6383065B1 (en) 2001-01-22 2002-05-07 Cabot Microelectronics Corporation Catalytic reactive pad for metal CMP
JP2002231666A (en) 2001-01-31 2002-08-16 Fujimi Inc Composition for polishing, and polishing method using the composition
US7160432B2 (en) * 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US7232514B2 (en) * 2001-03-14 2007-06-19 Applied Materials, Inc. Method and composition for polishing a substrate
US7323416B2 (en) * 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US7582564B2 (en) * 2001-03-14 2009-09-01 Applied Materials, Inc. Process and composition for conductive material removal by electrochemical mechanical polishing
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US7128825B2 (en) 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US6811680B2 (en) * 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US6540935B2 (en) 2001-04-05 2003-04-01 Samsung Electronics Co., Ltd. Chemical/mechanical polishing slurry, and chemical mechanical polishing process and shallow trench isolation process employing the same
EP1385915A1 (en) 2001-04-12 2004-02-04 Rodel Holdings, Inc. Polishing composition having a surfactant
US6632259B2 (en) 2001-05-18 2003-10-14 Rodel Holdings, Inc. Chemical mechanical polishing compositions and methods relating thereto
SG144688A1 (en) 2001-07-23 2008-08-28 Fujimi Inc Polishing composition and polishing method employing it
TW591089B (en) * 2001-08-09 2004-06-11 Cheil Ind Inc Slurry composition for use in chemical mechanical polishing of metal wiring
US6953389B2 (en) * 2001-08-09 2005-10-11 Cheil Industries, Inc. Metal CMP slurry compositions that favor mechanical removal of oxides with reduced susceptibility to micro-scratching
US6812193B2 (en) 2001-08-31 2004-11-02 International Business Machines Corporation Slurry for mechanical polishing (CMP) of metals and use thereof
JP3899456B2 (en) 2001-10-19 2007-03-28 株式会社フジミインコーポレーテッド Polishing composition and polishing method using the same
JPWO2003038883A1 (en) 2001-10-31 2005-02-24 日立化成工業株式会社 Polishing liquid and polishing method
US20030139069A1 (en) * 2001-12-06 2003-07-24 Block Kelly H. Planarization of silicon carbide hardmask material
US20070295611A1 (en) * 2001-12-21 2007-12-27 Liu Feng Q Method and composition for polishing a substrate
JP2003257910A (en) * 2001-12-28 2003-09-12 Fujikoshi Mach Corp Method for polishing copper layer of substrate
US7004819B2 (en) 2002-01-18 2006-02-28 Cabot Microelectronics Corporation CMP systems and methods utilizing amine-containing polymers
US20030136759A1 (en) * 2002-01-18 2003-07-24 Cabot Microelectronics Corp. Microlens array fabrication using CMP
US7132058B2 (en) 2002-01-24 2006-11-07 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Tungsten polishing solution
US7199056B2 (en) * 2002-02-08 2007-04-03 Applied Materials, Inc. Low cost and low dishing slurry for polysilicon CMP
US6884729B2 (en) * 2002-02-11 2005-04-26 Cabot Microelectronics Corporation Global planarization method
US6899596B2 (en) 2002-02-22 2005-05-31 Agere Systems, Inc. Chemical mechanical polishing of dual orientation polycrystalline materials
US6682575B2 (en) 2002-03-05 2004-01-27 Cabot Microelectronics Corporation Methanol-containing silica-based CMP compositions
US6853474B2 (en) * 2002-04-04 2005-02-08 Cabot Microelectronics Corporation Process for fabricating optical switches
KR100720985B1 (en) * 2002-04-30 2007-05-22 히다치 가세고교 가부시끼가이샤 Polishing fluid and polishing method
US6616514B1 (en) * 2002-06-03 2003-09-09 Ferro Corporation High selectivity CMP slurry
JP4083502B2 (en) * 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド Polishing method and polishing composition used therefor
JP3981616B2 (en) * 2002-10-02 2007-09-26 株式会社フジミインコーポレーテッド Polishing composition
US20040175942A1 (en) * 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
US7071105B2 (en) * 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
EP1594656B1 (en) * 2003-02-18 2007-09-12 Parker-Hannifin Corporation Polishing article for electro-chemical mechanical polishing
US20040188379A1 (en) * 2003-03-28 2004-09-30 Cabot Microelectronics Corporation Dielectric-in-dielectric damascene process for manufacturing planar waveguides
US7964005B2 (en) * 2003-04-10 2011-06-21 Technion Research & Development Foundation Ltd. Copper CMP slurry composition
US7300478B2 (en) * 2003-05-22 2007-11-27 Ferro Corporation Slurry composition and method of use
US7390429B2 (en) * 2003-06-06 2008-06-24 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
US7160807B2 (en) * 2003-06-30 2007-01-09 Cabot Microelectronics Corporation CMP of noble metals
US7037351B2 (en) * 2003-07-09 2006-05-02 Dynea Chemicals Oy Non-polymeric organic particles for chemical mechanical planarization
US7300603B2 (en) * 2003-08-05 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical planarization compositions for reducing erosion in semiconductor wafers
US7300480B2 (en) 2003-09-25 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. High-rate barrier polishing composition
TW200526768A (en) * 2003-09-30 2005-08-16 Fujimi Inc Polishing composition and polishing method
US7485162B2 (en) * 2003-09-30 2009-02-03 Fujimi Incorporated Polishing composition
US6929983B2 (en) 2003-09-30 2005-08-16 Cabot Microelectronics Corporation Method of forming a current controlling device
US20050092620A1 (en) * 2003-10-01 2005-05-05 Applied Materials, Inc. Methods and apparatus for polishing a substrate
US20050109980A1 (en) * 2003-11-25 2005-05-26 Hongyu Wang Polishing composition for CMP having abrasive particles
US20050148289A1 (en) * 2004-01-06 2005-07-07 Cabot Microelectronics Corp. Micromachining by chemical mechanical polishing
US7255810B2 (en) * 2004-01-09 2007-08-14 Cabot Microelectronics Corporation Polishing system comprising a highly branched polymer
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
JP2005268666A (en) * 2004-03-19 2005-09-29 Fujimi Inc Abrasive composition
JP2005268664A (en) * 2004-03-19 2005-09-29 Fujimi Inc Abrasive composition
JP4316406B2 (en) * 2004-03-22 2009-08-19 株式会社フジミインコーポレーテッド Polishing composition
JP4644434B2 (en) * 2004-03-24 2011-03-02 株式会社フジミインコーポレーテッド Polishing composition
US7084064B2 (en) * 2004-09-14 2006-08-01 Applied Materials, Inc. Full sequence metal and barrier layer electrochemical mechanical processing
JP2006086462A (en) * 2004-09-17 2006-03-30 Fujimi Inc Polishing composition and manufacturing method of wiring structure using the same
US20060088976A1 (en) * 2004-10-22 2006-04-27 Applied Materials, Inc. Methods and compositions for chemical mechanical polishing substrates
US8038752B2 (en) 2004-10-27 2011-10-18 Cabot Microelectronics Corporation Metal ion-containing CMP composition and method for using the same
JP2006135072A (en) * 2004-11-05 2006-05-25 Fujimi Inc Polishing method
JP4836441B2 (en) * 2004-11-30 2011-12-14 花王株式会社 Polishing liquid composition
KR100497413B1 (en) * 2004-11-26 2005-06-23 에이스하이텍 주식회사 Slurry for tungsten-chemical mechanical polishing and method for manufacturing of the same
WO2006068328A1 (en) * 2004-12-22 2006-06-29 Showa Denko K.K. Polishing composition and polishing method
US7291280B2 (en) * 2004-12-28 2007-11-06 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Multi-step methods for chemical mechanical polishing silicon dioxide and silicon nitride
EP1838795A2 (en) * 2005-01-07 2007-10-03 Dynea Chemicals OY Engineered non-polymeric organic particles for chemical mechanical planarization
US20060196778A1 (en) * 2005-01-28 2006-09-07 Renhe Jia Tungsten electroprocessing
US20060169674A1 (en) * 2005-01-28 2006-08-03 Daxin Mao Method and composition for polishing a substrate
US20060205219A1 (en) * 2005-03-08 2006-09-14 Baker Arthur R Iii Compositions and methods for chemical mechanical polishing interlevel dielectric layers
US20060219663A1 (en) * 2005-03-31 2006-10-05 Applied Materials, Inc. Metal CMP process on one or more polishing stations using slurries with oxidizers
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
CN1865387A (en) * 2005-05-17 2006-11-22 安集微电子(上海)有限公司 Buffing slurry
US20060278879A1 (en) * 2005-06-09 2006-12-14 Cabot Microelectronics Corporation Nanochannel device and method of manufacturing same
US7576361B2 (en) * 2005-08-03 2009-08-18 Aptina Imaging Corporation Backside silicon wafer design reducing image artifacts from infrared radiation
TWI397577B (en) * 2005-09-02 2013-06-01 Fujimi Inc Polishing composition
JP5026710B2 (en) * 2005-09-02 2012-09-19 株式会社フジミインコーポレーテッド Polishing composition
US20070068902A1 (en) * 2005-09-29 2007-03-29 Yasushi Matsunami Polishing composition and polishing method
US20070176141A1 (en) * 2006-01-30 2007-08-02 Lane Sarah J Compositions and methods for chemical mechanical polishing interlevel dielectric layers
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
WO2008004534A1 (en) * 2006-07-04 2008-01-10 Hitachi Chemical Co., Ltd. Polishing liquid for cmp
SG139699A1 (en) * 2006-08-02 2008-02-29 Fujimi Inc Polishing composition and polishing process
US20080149884A1 (en) * 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Method and slurry for tuning low-k versus copper removal rates during chemical mechanical polishing
JP2009164186A (en) * 2007-12-28 2009-07-23 Fujimi Inc Polishing composition
JP2009164188A (en) * 2007-12-28 2009-07-23 Fujimi Inc Polishing composition
WO2010047314A1 (en) 2008-10-20 2010-04-29 ニッタ・ハース株式会社 Composition for polishing silicon nitride and method for controlling selectivity using same
US20120001118A1 (en) * 2010-07-01 2012-01-05 Koo Ja-Ho Polishing slurry for chalcogenide alloy
JP2014529183A (en) * 2011-08-01 2014-10-30 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se Method for manufacturing a semiconductor device comprising chemical mechanical polishing of elemental germanium and / or Si1-xGex material in the presence of a chemical mechanical polishing composition comprising certain organic compounds
US10217645B2 (en) * 2014-07-25 2019-02-26 Versum Materials Us, Llc Chemical mechanical polishing (CMP) of cobalt-containing substrate
JP6268069B2 (en) 2014-09-12 2018-01-24 信越化学工業株式会社 Polishing composition and polishing method

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4117093A (en) * 1976-07-02 1978-09-26 International Business Machines Corporation Method of making an amorphous silicon dioxide free of metal ions
US4238275A (en) * 1978-12-29 1980-12-09 International Business Machines Corporation Pyrocatechol-amine-water solution for the determination of defects
US4332649A (en) * 1979-12-07 1982-06-01 Saelzle Erich Method of polishing glass ware with sulfuric acid and hydrofluoric acid
UST105402I4 (en) * 1983-03-10 1985-05-07 Method for polishing amorphous aluminum oxide
US4867757A (en) * 1988-09-09 1989-09-19 Nalco Chemical Company Lapping slurry compositions with improved lap rate
US4968381A (en) * 1987-10-16 1990-11-06 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Method of haze-free polishing for semiconductor wafers
US5051134A (en) * 1990-01-26 1991-09-24 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe M.B.H. Process for the wet-chemical treatment of semiconductor surfaces
JPH03256665A (en) * 1989-11-09 1991-11-15 Nippon Steel Corp Polishing method for silicone wafer
US5244534A (en) * 1992-01-24 1993-09-14 Micron Technology, Inc. Two-step chemical mechanical polishing process for producing flush and protruding tungsten plugs

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3385682A (en) 1965-04-29 1968-05-28 Sprague Electric Co Method and reagent for surface polishing
US4169337A (en) * 1978-03-30 1979-10-02 Nalco Chemical Company Process for polishing semi-conductor materials
DE3237235C2 (en) 1982-10-07 1986-07-10 Wacker-Chemitronic Gesellschaft für Elektronik-Grundstoffe mbH, 8263 Burghausen Process for polishing III-V semiconductor surfaces
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4702792A (en) * 1985-10-28 1987-10-27 International Business Machines Corporation Method of forming fine conductive lines, patterns and connectors
US4956313A (en) * 1987-08-17 1990-09-11 International Business Machines Corporation Via-filling and planarization technique
US4954142A (en) * 1989-03-07 1990-09-04 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US4959113C1 (en) * 1989-07-31 2001-03-13 Rodel Inc Method and composition for polishing metal surfaces
US4992135A (en) * 1990-07-24 1991-02-12 Micron Technology, Inc. Method of etching back of tungsten layers on semiconductor wafers, and solution therefore
US5274964A (en) 1992-08-19 1994-01-04 Abrasive Cleaning Systems, Inc. Dry abrasive belt cleaner
US5391258A (en) * 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4117093A (en) * 1976-07-02 1978-09-26 International Business Machines Corporation Method of making an amorphous silicon dioxide free of metal ions
US4238275A (en) * 1978-12-29 1980-12-09 International Business Machines Corporation Pyrocatechol-amine-water solution for the determination of defects
US4332649A (en) * 1979-12-07 1982-06-01 Saelzle Erich Method of polishing glass ware with sulfuric acid and hydrofluoric acid
UST105402I4 (en) * 1983-03-10 1985-05-07 Method for polishing amorphous aluminum oxide
US4968381A (en) * 1987-10-16 1990-11-06 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Method of haze-free polishing for semiconductor wafers
US4867757A (en) * 1988-09-09 1989-09-19 Nalco Chemical Company Lapping slurry compositions with improved lap rate
JPH03256665A (en) * 1989-11-09 1991-11-15 Nippon Steel Corp Polishing method for silicone wafer
US5051134A (en) * 1990-01-26 1991-09-24 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe M.B.H. Process for the wet-chemical treatment of semiconductor surfaces
US5244534A (en) * 1992-01-24 1993-09-14 Micron Technology, Inc. Two-step chemical mechanical polishing process for producing flush and protruding tungsten plugs

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
1991 VMIC Conference, IEEE, C.W. KAANTA et al; "Dual Damascene: A ULSI Wiring Technology", 11-12 June 1991, pp. 144-152. *
CHEMIC-INGENIEUR-TECHNIK; HEIMANN, "Carbon Trapped in Thin Oxide Films Produced During Polishing of Semiconductor Silicon in the System HF/HNO 3/Carboxylic Acid...."; Vol. 59, No. 5, 1987, p.p. 427-429. *
RODEL PRODUCTS CORPORATION, Rodel, SURFACE TECH REVIEW; (Vol. 1): issue 5; October 1998, p.p. 1-4. *

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999016843A1 (en) * 1997-09-26 1999-04-08 Infineon Technologies Ag Polishing agent, method for chemical and mechanical planishing and use of said polishing agent to planish a semiconductor substrate
EP0986097A2 (en) * 1998-08-28 2000-03-15 Kabushiki Kaisha Kobe Seiko Sho Method for reclaiming wafer substrate and polishing solution composition for reclaiming wafer substrate
EP0986097A3 (en) * 1998-08-28 2000-05-10 Kabushiki Kaisha Kobe Seiko Sho Method for reclaiming wafer substrate and polishing solution composition for reclaiming wafer substrate
WO2001048114A1 (en) * 1999-12-27 2001-07-05 Showa Denko K.K. Composition for polishing magnetic disk substrate and polishing method, and magnetic disk substrate polished thereby
US6527818B2 (en) 2000-02-09 2003-03-04 Jsr Corporation Aqueous dispersion for chemical mechanical polishing
US7087530B2 (en) 2000-03-27 2006-08-08 Jsr Corporation Aqueous dispersion for chemical mechanical polishing
US6383060B2 (en) 2000-04-27 2002-05-07 Sumitomo Metal Industries, Ltd. Method of polishing silicon wafer
US6406923B1 (en) 2000-07-31 2002-06-18 Kobe Precision Inc. Process for reclaiming wafer substrates
WO2003103033A1 (en) * 2002-06-03 2003-12-11 Hitachi Chemical Co., Ltd. Polishing fluid and method of polishing
US7799688B2 (en) 2002-06-03 2010-09-21 Hitachi Chemical Co., Ltd. Polishing fluid and method of polishing

Also Published As

Publication number Publication date
DE69427165T3 (en) 2004-09-09
DE69427165D1 (en) 2001-06-07
JPH08510437A (en) 1996-11-05
DE706582T1 (en) 1996-10-24
EP0706582A1 (en) 1996-04-17
ATE200916T1 (en) 2001-05-15
EP0706582B2 (en) 2004-03-17
KR960702540A (en) 1996-04-27
JP2819196B2 (en) 1998-10-30
DE69427165T2 (en) 2001-11-29
KR100222768B1 (en) 1999-10-01
US5391258A (en) 1995-02-21
EP0706582B1 (en) 2001-05-02
SG48220A1 (en) 1998-04-17
CN1053933C (en) 2000-06-28
EP0706582B9 (en) 2004-11-03
MY110381A (en) 1998-04-30
EP0706582A4 (en) 1997-06-11
CN1124504A (en) 1996-06-12
TW329434B (en) 1998-04-11
US5476606A (en) 1995-12-19

Similar Documents

Publication Publication Date Title
EP0706582B1 (en) Improved compositions and methods for polishing
US6316366B1 (en) Method of polishing using multi-oxidizer slurry
EP1182242B1 (en) Polishing composition and polishing method employing it
US6303049B1 (en) Chemical mechanical abrasive composition for use in semiconductor processing
US6508952B1 (en) Chemical mechanical abrasive composition for use in semiconductor processing
US7429338B2 (en) Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
US6171352B1 (en) Chemical mechanical abrasive composition for use in semiconductor processing
EP1559762B1 (en) Chemical mechanical polishing slurry useful for copper substrates
JP2001516383A (en) Composites and methods for polishing titanium-containing composites
US20080257862A1 (en) Method of chemical mechanical polishing of a copper structure using a slurry having a multifunctional activator
WO2006028759A2 (en) Aqueous slurry containing metallate-modified silica particles
KR20000068476A (en) Composition and method for polishing a composite comprising titanium
EP0984049B1 (en) Chemical mechanical abrasive composition for use in semiconductor processing
EP0982766B1 (en) Process for chemo-mechanical polishing of a copper-based material layer
EP1069168B1 (en) Chemical mechanical abrasive composition for use in semiconductor processing
US20010044264A1 (en) Polishing composition
JPH10279928A (en) Compound for controlling polishing speed
KR100356939B1 (en) Chemical mechanical abrasive composition for use in semiconductor processing
KR100314642B1 (en) Chemical mechanical polishing compositions for use in semiconductor processing
KR100614567B1 (en) Chemical mechanical abrasive composition for use in semiconductor processing
JP2023093850A (en) Composition for chemical mechanical polishing and polishing method

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 94192249.9

Country of ref document: CN

AK Designated states

Kind code of ref document: A1

Designated state(s): CN JP KR

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH DE DK ES FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1994918171

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1994918171

Country of ref document: EP

WWG Wipo information: grant in national office

Ref document number: 1994918171

Country of ref document: EP