WO1997014179A1 - Semiconductor processing system with gas curtain - Google Patents

Semiconductor processing system with gas curtain Download PDF

Info

Publication number
WO1997014179A1
WO1997014179A1 PCT/US1996/016346 US9616346W WO9714179A1 WO 1997014179 A1 WO1997014179 A1 WO 1997014179A1 US 9616346 W US9616346 W US 9616346W WO 9714179 A1 WO9714179 A1 WO 9714179A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
chamber
wafer
delivery port
flow
Prior art date
Application number
PCT/US1996/016346
Other languages
French (fr)
Inventor
Dennis L. Goodwin
Mark R. Hawkins
Richard Crabb
Allan D. Doley
Original Assignee
Advanced Semiconductor Materials America, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Semiconductor Materials America, Inc. filed Critical Advanced Semiconductor Materials America, Inc.
Priority to AU74415/96A priority Critical patent/AU7441596A/en
Publication of WO1997014179A1 publication Critical patent/WO1997014179A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K51/00Other details not peculiar to particular types of valves or cut-off apparatus
    • F16K51/02Other details not peculiar to particular types of valves or cut-off apparatus specially adapted for high-vacuum installations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing
    • Y10S438/908Utilizing cluster apparatus
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/941Loading effect mitigation

Definitions

  • This invention relates to processing systems for chemical vapor deposition or other processing of semiconductor wafers and the like. More particularly, the invention relates to an apparatus and method for excluding an unwanted material from a reaction chamber of a processing system.
  • High-temperature ovens are used to process semiconductor wafers from which integrated circuits are made for the electronics industry.
  • a circular wafer or substrate typically made of silicon, is placed on a wafer support called a susceptor. Both the wafer and susceptor are enclosed in a quartz chamber and heated to 600°C (1112°F) or higher, typically by a plurality of radiant lamps placed around the quartz chamber.
  • a reactant gas is passed over the heated wafer, causing the chemical vapor deposition (CVD) of a thin layer of the reactant material on the wafer.
  • CVD chemical vapor deposition
  • these layers are made into integrated circuits, with a single layer producing from tens to thousands of integrated circuits, depending on the size of the wafer and the complexity of the circuits. If the deposited layer has the same crystallographic structure as the underlying silicon wafer, it is called an epitaxial layer. This is also sometimes called a monocrystalline layer because it has only one crystal structure.
  • the wafers are loaded through an input port and then passed through one or more intermediate chambers before entering the reaction chamber.
  • One such multiple chamber system is disclosed in U.S. Patent No. 5,156,521, issued to Crabb, et al.
  • a magazine of wafers is placed within an input chamber through a door. The door is then closed, and the chamber is purged with nitrogen.
  • An elevator lowers the magazine through an aperture in the floor of the input chamber and into registration with an entry port into a handling chamber.
  • a robot arm having a pick-up wand on one end then removes wafers from the magazine and transfers them to one of the reaction chambers surrounding the handling chamber.
  • Isolation valves are provided between the handling chamber and the various reaction chambers.
  • the environment within the handling chamber is thus sealed from that within the reaction chambers.
  • the pick-up wand operates on a Bernoulli principal in that gas flows downward from the pick-up wand to lift the individual wafers by creating a reduced pressure on top of the wafers due to the gas velocity over the top surface.
  • Figure 1 is a cross-sectional view of a portion of a semiconductor processing system utilizing a gas curtain of the present invention
  • Figure 2 is a top plan view of the interior of a handling chamber showing the gas curtain of the present invention adjacent a reaction chamber delivery port;
  • Figure 3 is an elevational view of the gas curtain apparatus and isolation valve taken along line 3-3 of Figure 2;
  • Figure 4a is a cross-sectional view taken along line 4-4 of Figure 2 of the gas curtain apparatus of the present invention adjacent the isolation valve in an open position;
  • Figure 4b is a cross-sectional view of the gas curtain apparatus adjacent a closed isolation valve
  • Figure 5 is a cross-sectional view through a wafer loading/unloading chamber and elevator mechanism, taken along line 5-5 of Figure 2;
  • Figure 6 is an elevational view of an upper gas manifold of the gas curtain apparatus;
  • Figure 7 is a bottom plan view of the upper manifold;
  • Figure 8 is a top plan view of the upper gas manifold with a top cover removed, taken along line 8-8 of Figure 6;
  • Figure 9 is a partial cross-sectional view through the upper manifold taken along line 9-9 of Figure.6;
  • Figure 10 is an enlarged detail of the encircled area of the upper manifold in Figure 9;
  • Figure 11 is an elevational view of a lower manifold of the gas curtain apparatus;
  • Figure 12 is a side elevational view of the lower manifold;
  • Figure 13 is a top plan view of the lower manifold of Figure 11;
  • Figure 14 is a top plan view of the lower manifold with a top cover removed taken along line 14-14 of Figure 11;
  • Figure 15 is an elevational view of an alternative gas curtain apparatus and isolation valve taken along line
  • Figure 16 is a cross-sectional view taken along line 4-4 of Figure 2 of the alternative gas curtain apparatus of Figure 15 adjacent an open isolation valve leading to a reaction chamber delivery port;
  • Figure 17 is a table showing the various gas flows through a typical CVD process cycle
  • Figure 18, comprising subfigures 18A and 18B, is a schematic diagram of a gas flow valve control system for use in the present invention.
  • Figures 1 and 2 illustrate the primary components of a chemical vapor deposition processing system 20 utilizing a gas curtain apparatus of the present invention.
  • the processing system 20 generally comprises one or more load locks or input/output chambers 22 ( Figure 2), a handling chamber 24, an isolation valve 26 having a gas curtain apparatus 28 there around, a gas injector 30, and a reaction chamber 32 having an exhaust system 33.
  • a longitudinal direction is defined extending from the input/output chambers 22 to the reaction chamber 32, with a lateral direction perpendicular thereto.
  • wafers are introduced into the system through the input/output chambers 22 and are delivered via the handling chamber 24 to the reaction chamber 32 using a handler 34 having a pick-up wand 36.
  • the isolation valve 26 allows the pick-up wand 36 to extend into the reaction chamber and place a wafer 37 on a susceptor 38 for processing.
  • the handler 34 then retracts, and the isolation valve 26 closes before processing of the wafer. Gas flows through the reaction chamber 32 from the injector 30 across the wafer 37 to the exhaust system 33.
  • a scrubber (not shown) is typically provided in the exhaust system 33 downstream from the reaction chamber 32 to "scrub" the product gases from the wafer deposition process, the scrubber also generating a vacuum to pull the gases from the reaction chamber.
  • Such scrubbers are known in the art.
  • the isolation valve 26 opens once again, and the handler 34 retracts the wafer from the reaction chamber 32 and delivers it to one of the input/output chambers 22.
  • a typical process takes about 4 minutes from lifting the unprocessed wafer to delivering the processed wafer to the output chamber.
  • the handler 34 comprises a pair of articulated robot arms 40a, 40b having proximal ends 42 mounted to be rotated about drive shafts of a drive assembly (not shown).
  • Each of the arms 40a,b has an intermediate joint 44, and the pick-up wand 36 is mounted at the distal ends of the arms.
  • Drive shafts of the drive assembly are rotated in opposite directions for extending and retracting the robot arms 40a,b.
  • the specifics of the robot arm are set forth in U.S. Patent No. 5,080,549, owned by the assignee of the present invention, which is hereby expressly incorporated by reference. Alternatively, other arrangements of robot arms may be utilized.
  • the pick-up wand 36 operates on a Bernoulli principal to lift the wafers. That is, gas passages are provided through the hollow articulated robot arms 40a,b leading to apertures directed downward from the pick-up wand 36 in a predetermined pattern. The downward flow of gas above the wafers creates a reduced pressure in that region, thus lifting the wafers.
  • one particular type of Bernoulli wand preferably utilized in the present invention includes a plurality of gas outlets below a lower plate, most of the outlets being directed outward toward the wafer periphery, and a central outlet directed perpendicular to the lower surface.
  • More of the outwardly directed outlets expel gas in a direction toward a support arm to bias the suspended wafer into contact with positioning pins on the underside of the pick-up wand. While the gas is flowing, therefore, the wafer is held suspended underneath the pick-up wand.
  • the articulated arms 40a,b are sufficiently long to extend within the input/output chambers, and also to extend within the reaction chamber, as indicated by the range of motion Iines 46.
  • a gas inlet conduit 48 is shown leading into the proximal end of the handler 34 shown in Figure 1.
  • Figure 1 illustrates a particularly successful arrangement of components surrounding the reaction chamber 32 to produce highly uniform chemical vapor deposition.
  • the susceptor 38 is rotated by arms 50 of a support which is mounted on a shaft 52.
  • the shaft 52 extends downward through a tube 53 and is rotated by a motor 54 disposed below the chamber 32.
  • the rotational coupling between the motor 54 and shaft 52 is explicitly described in U.S. Patent No. 4,821,674, hereby expressly incorporated by reference.
  • the motor 54 is advantageously mounted on a fixed frame and includes adjustment mechanisms for positioning the susceptor 38 within the chamber 32. More particularly, the susceptor 38 may be vertically positioned with respect to the chamber 32 or may be tilted in various desired configurations.
  • a plurality of radiant heating lamps are arranged around the reaction chamber 32 to heat the susceptor 38 and wafer thereon.
  • a first upper bank of lamps 56 is shown extending laterally with respect to the gas flow through the chamber 32.
  • a second lower bank of lamps 58 is shown extending longitudinally with respect to the gas flow through the chamber 32.
  • the upper and lower banks of lamps 56, 58 are thus arranged in a crosswise fashion.
  • One or more spotlights or directed lamps 60 are positioned underneath the chamber 32 and surrounding the tube 53. The lamps 60 direct radiant energy to the underside of the susceptor 38, which may be shadowed by the shaft 52 and susceptor supporting structure.
  • the specific heating arrangement is described and illustrated in U.S. Patent No. 4,836,138, which is hereby expressly incorporated by reference.
  • the gas curtain apparatus 28 generally comprises an upper manifold 62 and a lower manifold 64 supplied by gas through a plurality of flexible hoses 66.
  • the upper manifold 62 is generally formed in a bridge shape with a pair of outer legs 68 and an upper cross piece 70, the upper cross piece defining a horizontal chamber 72 within.
  • Apertures 74 ( Figure 7) in the lower surface of the horizontal cross piece 70 provide a downward gas flow surrounding the isolation valve 26, as indicated at 75 in Figure 4a.
  • the lower manifold 64 comprises a pair of support legs 76 and a hollow horizontal cross piece 78.
  • a plurality of apertures 80 (Figure 13) formed vertically through a top plate 82 attached to the horizontal cross piece 78 provide an upward gas flow 84 adjacent the isolation valve 26, as seen in Figure 4a.
  • the specific structural features of the upper and lower manifolds 62 and 64 will be described below with respect to Figures 6-14. Isolation Valve
  • the isolation valve 26 includes a generally rectangular laterally elongate body 86 with parallel opposed planar surfaces 88a, 88b and a laterally elongated slot 90 extending through the body between the opposed planar surfaces.
  • the elongated slot 90 has a lateral width longer than a horizontal delivery port 92 formed in the gas injector 30 and leading from the handling chamber 24 to the reaction chamber 32.
  • the approximate outline of the Bernoulli wand 36 is illustrated in Figure 3.
  • the isolation valve body 86 further includes an angled sealing surface 94 having a generally oval-shaped O-ring 96 secured in a groove and projecting slightly therefrom.
  • the O-ring 96 provides a gas-tight seal against a wall 98 of the gas injector 30 facing into the handling chamber 24.
  • the isolation valve body 86 pivots about an axis 100 fixed with respect to the handling chamber 24.
  • the body 86 In an open position, as seen in Figure 4a, the body 86 is vertical so that the slot 90 extends horizontally.
  • One of the robot arms 40a is seen extended horizontally through the slot 90 in this position of the valve body 86.
  • the body 86 pivots about the axis 100 in the clockwise direction as viewed in Figure 4a to the position shown in Figure 4b.
  • the angled sealing surface 94 is thus juxtaposed against the vertical wall 98, and the O-ring 96 surrounds the horizontal delivery port 92 to close the handling chamber 24 from the reaction chamber 32.
  • the handling chamber 24 includes an opening 102 in a bottom surface adjacent the delivery port 92 in which the isolation valve 26 is mounted.
  • the isolation valve 26 includes a mounting plate 104 which is bolted or otherwise attached to the bottom wall 106 of the handling chamber to hermetically seal the opening 102 and support the various components of the isolation valve.
  • a pneumatic actuator 108 mounts below the handling chamber 24, and an extensible rod 110 of the actuator 108 extends upwardly therefrom through an opening formed in the mounting plate 104.
  • a bellows seal (not shown) or other such expedient concentrically disposed about the rod 110 hermetically seals the opening 102 through which the rod extends.
  • the bellows seal typically has a lower mounting flange sealingly attached to the upper surface of the mounting plate 104 about the opening 102, and an upper portion of the seal is attached to the upper end of the actuator rod 110 which is connected to one end of a lever arm 112 at a pivot pin 114.
  • the opposite end of the lever arm 112 is rigidly connected to the valve body 86, so that up and down movement of the rod 110 causes the valve body to rotate by virtue of the lever arm coupling.
  • Figure 4a shows the isolation valve 26 in an open position with the extension rod 110 retracted
  • Figure 4b illustrates the isolation valve closed over the port 92 through the gas injector 30 with the rod extended.
  • Figure 4a illustrates the downwardly directed gas flow 75 from the upper manifold 62 passing between the isolation valve 26 and the gas injector port 92.
  • Figure 4b illustrates the downward gas flow 75 from the upper manifold 70 extending through the elongated slot 90 of the isolation valve body 86 when the isolation valve 26 is in a closed position.
  • the lower manifold 64 is rigidly attached to a bracket 116 ( Figure 3) connected to the upper end of the bellows assembly. The lower manifold thus moves up and down with the extension rod 110. In the isolation valve 26 open position, the upward gas flow from the lower manifold 64 provides a gas curtain 84 below the pivot axis 100 of the isolation valve.
  • the upward gas flow from the lower manifold 64 provides a gas curtain 84' which is initiated at approximately at the same height as the pivot axis 100 of the isolation valve.
  • the lower manifold 64 directs gas upwardly in a flow 84 or 84' on the opposite side of the isolation valve 26 from the downward flow 75.
  • the cross flow of the two gas curtains 75, 84 (84') substantially intercepts any fugitive or unwanted gas existing in the handling chamber 24 before passing through the gas injector port 92, which gas is then exhausted toward the input/output chambers, as described below.
  • each input/output chamber 22 comprises an upper chamber 122 for introduction of wafer magazines 124, and a lower chamber 126 having an elevator platform 128 reciprocable therein.
  • Each input/output chamber 22 includes one or more exhaust apertures 118 formed through a lower wall 120 in connection with a pressure balanced exhaust system.
  • a continuously open feed port 132 communicates between the lower chamber 126 and handling chamber 24.
  • the gases generated by the gas curtains 75, 84 are continually vented away from the gas injector port 92 through the feed port 132 and out of the chamber 126 through the exhaust apertures 118.
  • FIGS. 6-10 illustrate the upper manifold 62 in detail which, as mentioned above, comprises the two side legs 68 and the horizontal cross piece 70.
  • the horizontal cross piece 70 has an inner chamber 72 formed therein covered by a plate 134.
  • the plate 134 is suitably fastened to the horizontal cross piece 70 using fasteners, for example.
  • the chamber 72 extends substantially along the lateral length of the horizontal cross piece 70 and includes a plurality of angled apertures 74 extending downward through a lower wall thereof. The particular angle of the apertures 74 is shown in the detailed view of Figure 10.
  • Lateral bores 136 formed in either lateral side of the cross piece 70 when machining the chamber 72 are desirably plugged.
  • a mounting bracket 146 is provided on each of the upper manifold legs 68 to rigidly fix the upper manifold 62 with respect to the chamber 24.
  • a fitting 140 mounts within the bore 138 and receives a flexible gas delivery hose 142.
  • the hose 142 enters the handling chamber 24 through a sealed mount 144 and extends upward to one side of the gas curtain 28 and isolation valve apparatus 26.
  • Gas enters the chamber 72 at the end of the bore 138 and is distributed the length of the cross piece 70 to be evenly dispersed through the apertures 74.
  • the angle of the apertures 74 creates the angled downward gas flow 75 shown in Figures 4a and 4b.
  • Figures 11-14 illustrate the components of the lower manifold 64 which, as mentioned before, comprises the two support legs 76 and the irregular shaped horizontal cross piece 78.
  • the cross piece 78 includes a central portion 148 and a pair of outward portions 150, the outward portions being horizontally stepped in the longitudinal direction toward the reaction chamber 32 with respect to the central portion.
  • an inner chamber 152 extends substantially the length of the stepped cross piece 78.
  • An apertured plate 156 is provided over the chamber 154 suitably fastened thereto using fasteners, for example.
  • a plurality of small apertures 158 are provided in the cover which allow gas to escape from the chamber 152 directly upward. This gas flow 84 is shown best in Figures 4a and 4b.
  • the lower manifold 64 includes a mounting bracket 160 extending horizontally from each of the support legs 76 which attaches to the aforementioned isolation valve actuating mechanism.
  • a bore 162 formed from an underside of the cross piece 78 communicates with the chamber 152.
  • a fitting 164 mounts within the bore 162 and receives a flexible gas delivery hose 166.
  • the hose 166 enters the handling chamber 24 through a sealed mount 168 and extends upward to the underside of the cross piece 78 one on an opposite side of the isolation valve apparatus 26 from the flexible hose 142.
  • Gas enters the chamber 152 at the bore 162 and is distributed the length of the cross piece 78 to be evenly dispersed through the apertures 158.
  • the apertures 158 create the upward gas flow 84 shown in Figures 4a and 4b.
  • Alternative Gas Curtain Apparatus Figures 15 and 16 illustrate a further embodiment 180 of a gas curtain apparatus 28 which can be substituted for the gas manifolds 62, 64 described above.
  • a plurality of rigid pipes delivers the gas from a source exterior to the handling chamber 24 to the region surrounding the isolation valve 26.
  • an upper cross-pipe 182 includes a plurality of lower apertures 184 which deliver a downward curtain-like flow 188
  • a lower cross-pipe 184 includes a plurality of upper apertures 190 which deliver an upward curtain- like flow 192.
  • the piping system 180 is preferably made of stainless steel, but may also be made from other compatible materials.
  • the flows 188 and 192 are analogous to the flows 75 and 84 in the first embodiment. Specifically, the downward flow 88 is directed between the isolation valve 26 and the gas injector port 92 when the valve is open, and is directed through the elongated slot 90 of the isolation valve body 86 when the valve is in a closed position. In a divergence from the previous embodiment, the lower gas curtain flow is directed upward from a fixed location, rather than being coupled with the reciprocating extension rod 110.
  • the piping system 180 includes two parallel lower input conduits 194 entering the handling chamber 24 at sealed fittings 196. Each input conduit 194 diverges laterally at T-junctions 196 into an inwardly directed conduit 196 and an outwardly directed conduit 198.
  • the conduits 198 connect with 90° joints 200 leading to vertical sections 204 attached to second 90° joints 208. As seen in Figure 16, the joints 200 provide transitions to horizontal sections 210 leading to fittings 212. The fittings 212 support the upper cross-pipe 182 and provide gas inlets from the horizontal section 210 into the interior of the pipe. The conduits 196 connect with joints 202 leading to vertical sections 206 attached to fittings 214. The fittings 214 support the lower cross-pipe 184 and provide gas inlets from the vertical section 206 into the interior of the pipe. Valve Svstem A valve system for the gas curtain apparatus 28, pick-up wand 36 and load locks 22 (as numbered in
  • Figures 1-2 is schematically depicted in Figure 18, which comprises subfigures 18A and 18B on separate pages.
  • the dotted box 230 encompasses a series of flow control valves whose operational status determines the type and level of gas flowing through the gas curtain apparatus 28 and pick-up wand 36, and the level of gas flowing to the purge system of the load locks 22.
  • the box 230 may be generally termed a purge panel, as symbols representing each valve and its operational status may be visually displayed on a control panel. Alternatively, selected valves in the purge panel 230 may be computer-controlled and the operational status displayed visually and/or obtained interactively on a monitor.
  • a source of nitrogen (N 2 ) and a source of hydrogen (H 2 ) are shown outside the purge panel 230.
  • the gasses are each supplied at 80 psig, although other pressures may be suitable.
  • the N 2 from the source passes along a conduit 232 through an entry valve 234A and filter 236A before connecting with three parallel flow legs 238A, 238B and 238C.
  • the H 2 from the source passes along a conduit through an entry valve 234B and filter 236B before connecting with the first flow leg 238A (and eventually to second flow leg 238B, as will be seen).
  • An output conduit 240A from first flow leg 238A leads to upper and lower gas curtains 241 A, 241 B, seen in Figure 18B.
  • An output conduit 240B from second flow leg 238B leads to the Bernoulli wand 242.
  • An output conduit 240C from third flow leg 238C leads to both load locks 243A, 243B.
  • a bypass leg 238D extends between two points in the third flow leg 238C on either side of a load lock pressure regulator 250.
  • a pneumatically-actuated load lock high flow control valve 248 and a flow restrictor 249 are positioned in the bypass leg 238D.
  • N 2 passes through the pressure regulator 250 which limits the pressure of N 2 in output conduit 240C to 15 psig. This pressure enables the flow restrictors adjacent the load locks 243 in Figure 18B to meter the N 2 flow to 15 slm.
  • the load lock high flow control valve 248 is opened, N 2 at 80 psig in leg 238D is allowed to bypass the pressure regulator 250.
  • the flow restrictor 249 reduces the flow (pressure) of the N 2 to a level which, in conjunction with the flow restrictors shown adjacent the load locks 243 in Figure 1BB, meters the flow to approximately 25 slm to each load lock 243.
  • the high flow control valve 248 is actuated by signals sent from a controller 251.
  • H j "burp" valves 245, 246 ( Figure 18B) for each load lock 243 are provided to periodically sample the atmosphere within the load locks for excess H 2 concentration.
  • the "burp" valves 245, 246 expose an H 2 detector to the sample of gas to determine the H 2 concentration.
  • Each load lock 243A, 243B additionally has an exhaust vent valve 258A, 258B associated therewith.
  • Pneumatically-actuated valves 261 A and 261B are located in the second flow leg 238B and are controlled by a single electrically-actuated pneumatic switch 265 via a common gas line 265A.
  • Pneumatically-actuated valves 262A, 262B, and 262C are located in the first flow leg 238A and are likewise controlled by a single electrically- actuated pneumatic switch 264 via a common gas line 264A. Each of the switches is supplied with a pneumatic gas from a source (not shown).
  • the schematic representation of each of the pneumatically-actuated valves includes two halves, a primary half, as seen connected to the flow legs in Figure 18A, represents the normal or unactuated condition, whereas a secondary half represents the actuated condition.
  • left valve 262A shows an open flow line in the bottom or primary half, and a closed flow line in the top or secondary half.
  • valve 262A When pneumatic pressure is applied via common line 264A, the valve 262A closes.
  • the pneumatic gas used may be N 2 or clean, dry air.
  • the mechanical details of the valves 261, 262 and switches 264, 265 are well known by those of skill in the art and will not be described in great detail. Valves suitable for use in the present system may be obtained from Qualiflow, based in France. Furthermore, other details such as the electrical connections are not shown.
  • left valve 262A functions as an ONIOFF for the N 2 flow.
  • the middle valve 262B has a N 2 input from the left valve 262A, an H 2 input from the filter 236B, an output leading to right valve 262C, and also an output to a valve 26 IB located in the second flow leg 238B.
  • the right valve 262C has two selectable output Iines 263A, 263B which include orifice-type flow restrictors whose outputs combine in line 240A. One flow restrictor is calibrated to pass 5 slm of N 2 while the other is calibrated to pass 15 slm of N 2 .
  • the 5 slm flow restrictor is selected for H 2 flow, while both the 5 and 15 slm flow restrictors are selected for N 2 flow, the end result being a flow of 20 slm of either gas (because of the different gas viscosities, and because the restrictors are calibrated based on N 2 flow, the orifices are differently sized to produce equal flow).
  • the flow rate depends on the input pressure, which is regulated to 30 psig in this embodiment.
  • left valve 261 A functions as an ON/OFF for the N 2 flow.
  • the middle valve 261 B has a N 2 input from the left valve 261 A, an H 2 input from the valve 262B, and an output leading to a Bernoulli wand ON/OFF valve 247A and the output conduit 240B.
  • all three valves 262A, 262B, and 262C which determine the type of gas flow to the gas curtains 241 A,B are controlled by the common switch 264. On a signal from the controUer 251, the switch 264 either permits or prevents pneumatic gas to flow into the common line 264A.
  • Pressurization of the line 264A actuates each of the valves 262A, 262B, and 262C which are normally in the positions shown in Figure 18A.
  • the two valves 261 A and 26 IB which determine the type of gas flow to the Bernoulli wand 242 are controlled by the common switch 265.
  • the switch 265 On a signal from the controller 251, the switch 265 either permits or prevents pneumatic gas to flow into the common line 265A.
  • Pressurization of the line 265A actuates both valves 261 A and 261B which are normally in the positions shown in Figure 18A.
  • the significance of the dual common valve controls will be more fully explained below in the description of the operation of the system.
  • Indicators 266 are provided to sense pressurization of the Iines 264A and 265A, and thus H 2 flow to the chambers. Such indicators may take many forms, a simple pop-up type piston shown having a red indicator end visible by an operator of the system when H 2 flows.
  • the wand ON/OFF valve 247A shuts off the positive flow of gas through conduit 240B. Because of the small sized orifices in the lower surface of the wand 242, the pressure within the conduit 240B would only gradually decrease without the provision of a dump valve 247B. After the flow to the wand 242 is shut off, the dump valve 247B opens to rapidly release the pressure within the conduit 240B, thus releasing the wafer from under the wand. This configuration is needed as a very low flow of gas from under the wand 242 is needed to maintain lift, and the residual flow from simply shutting off the positive supply of gas would delay release of the wafer.
  • a flow of about 30-40 slm is required to initially pick up a wafer, but a residual flow of only 2-3 slm will maintain lift for a wafer.
  • the dump valve 247B is needed to quickly drop a wafer. Operation
  • the valve system operates in three modes. In a first mode, N 2 flows through both the gas curtains 241 A, 241 B and Bernoulli wand 242. In a second mode, H 2 flows through both the gas curtains 241 A, 241 B and Bernoulli wand 242. In a third mode N 2 flows through the gas curtains 241 A, 241 B, and H 2 flows through the Bernoulli wand 242. When N 2 gas is desired for both the gas curtains 241 A, 241 B and Bernoulli wand 242, both switches 264 and 265 are closed to prevent pneumatic gas from flowing into respective Iines 264A and 265A.
  • valves 262A and 262B to flow N 2 to right valve 262C, which channels the N 2 through both output Iines 263A and 263B to gas curtains 241A, 241 B.
  • valves 261A and 261B are configured so that N 2 flows through to output line 240B, and from there to Bernoulli wand 242.
  • H 2 flows through valve 262B to middle valve 261 B in second flow leg 238B, the H 2 flow stops there, as indicated schematically by the discontinuous flow path in the bottom half of the valve 261 B.
  • H 2 flow is desired through both the gas curtains 241 A, 241 B and Bernoulli wand 242
  • signals are provided by controller 251 to switches 264 and 265 to alter the flows through the first and second flow legs 238A, 238B.
  • the switches 264 and 265 then open to permit pneumatic gas to flow into respective Iines 264A and 265A.
  • Valve 262A closes to prevent N 2 from continuing through first leg 238A, and middle valve 262B switches to flow H 2 to right valve 262C.
  • Right valve 262C channels the H 2 flow through output line 263B (calibrated for H2 flow). H 2 continues to flow through valve 262B to middle valve 261 B in second flow leg 238B.
  • Valve 261 A closes to prevent N 2 from continuing through second leg 238B, and middle valve 261 B opens to flow H 2 through valve 247A (if open) and conduit 240B to Bernoulli wand 242.
  • N- flows to the gas curtains 241A.B only, and H 2 flows to the Bernoulli wand 242.
  • the second valve 262B in flow leg 238A is in the position illustrated, with N 2 flowing therethrough to third valve 262C, and with H 2 flowing to second valve 261 B in second flow leg 238B.
  • Switch 265 opens on a signal from controller 251 to permit pneumatic gas to flow into line 265A.
  • left valve 261 A closes to prevent N 2 from continuing through second leg 238B, and middle valve 261B is actuated opposite the position shown, to flow H 2 to Bernoulli wand ON/OFF valve 247A. As long as valve 247A is open, H 2 then flows to wand 242.
  • the present system provides a "fail safe" design to prevent excessive levels of H 2 from building up in the chambers.
  • the valve system When the power fails, or when the supply of pneumatic gas to switches 264 and 265 is interrupted, the valve system will default to flowing N 2 . That is, the valves 261 and 262, which control the presence of H 2 in the chambers, are configured to flow N 2 in their normal or unactuated states.
  • the valves include spring-loaded pistons which are biased into this normal, safe position, and only flow H 2 when pneumatic pressure is applied.
  • the pistons upon a power failure or interruption of gas supply, the pistons are biased into positions permitting N 2 to flow, and preventing H 2 flow.
  • the valves could conceivably be actuated independently with each being configured to default to N 2 flow in the event of a system failure, but the common connections simplify the control logic, and reduces the necessary electronic and pneumatic components.
  • the gas curtains operate continuously during wafer processing.
  • the processing can be segmented into discrete time intervals.
  • the reaction chamber 32 is first brought to a pre ⁇ heated temperature.
  • the isolation valve 26 remains closed.
  • a gas is supplied to the gas curtain apparatus 28 as well as to the Bernoulli wand 36.
  • the wand 36 picks up a wafer 37 from the magazine 124 through the feed port 132.
  • the isolation valve 26 opens and the wand 36 extends through the slot 90 and delivery port 92 to deposit the wafer 37 in the reaction chamber 32.
  • the wand 36 withdraws and the valve 26 closes enabling processing of the wafer 37.
  • the valve 26 opens, and the wand 36 extends to remove the wafer 37 from the reaction chamber 32 and replace it in a magazine 124, or in another chamber for further processing.
  • gas continuously flows through the gas curtain apparatus
  • the particular type of gas utilized in both the gas curtain 28 and the Bernoulli wand 36 may vary. It has been discovered, however, that hydrogen (H 2 ) is desirable because of its ability to sweep water molecules from the handling chamber into the loadlock chamber. Hydrogen gas appears to be slightly better at attracting water than nitrogen gas. This is believed to be because of two phenomena. First, when measured at standard temperature and pressure, hydrogen gas is slightly more soluble in water than is nitrogen gas (the molar fraction solubilities of hydrogen and nitrogen gases in water are 1.411x10 s and 1.183x10 s , respectively). Because hydrogen has a higher solubility in water, one would predict that the attraction between hydrogen gas and water is greater than that between nitrogen gas and water.
  • nitrogen gas has orbitals containing pairs of non- bonding electrons located 180° from the bond axis on each side of the molecule. Because the nitrogen gas molecule is literally surrounded with electrons, it is not as inviting of an "electron dump" as is the hydrogen gas molecule. Nitrogen gas has empty molecular orbitals, but they are not as accessible to the water molecule as are the orbitals of the hydrogen molecule. Because of the permanent dipole observed for water molecules, however, nitrogen may enjoy a slight advantage when accounting for a dipole-induced dipole interaction. This would help explain why the strengths of attraction of nitrogen gas and hydrogen gas with water are not as great as what might be estimated based strictly upon the solubility or molecular orbital explanation.
  • the hydrogen gas molecule has only two electrons, both tightly held and involved in bonding, it is not very polarizable and not a good candidate for an induced dipole.
  • the nitrogen gas molecule has some loosely held electrons and it is fairly polarizable, making it a good candidate for an induced dipole. Thus, one would predict that water and nitrogen gas would have some dipole- induced dipole interactions.
  • the events during a typical processing sequence are indicated along the top row of Figure 17. These events include the pick up of the wafer by the Bernoulli wand from the magazine in the loadlock, transporting the wafer from the loadlock across the handling chamber to a gate valve at the entrance to a reaction chamber, opening the gate valve, loading the wafer into the reaction chamber and onto the susceptor for processing, retracting the Bernoulli wand and closing the gate valve, processing the wafer within the reactor, reopening the gate valve and removing the wafer from the reaction chamber, closing the gate valve, and preparing the reaction chamber for subsequent processes.
  • a number of conditioning steps may be taken during the preparation of the reaction chamber for the next wafer, such as etching the chamber and sometimes injecting a small amount of silicon-based gas into the etched chamber to deposit a thin layer of silicon on the etched surfaces of the susceptor.
  • the time for preparing the chamber varies from between 30 seconds to two minutes.
  • the wafer is picked up using nitrogen flowing through the Bernoulli wand, and with nitrogen also flowing through the gas curtain to be exhausted from a point closest to the loadlock chamber.
  • both the Bernoulli wand and the gas curtain are switched from flowing nitrogen to flowing hydrogen.
  • the time at which the gas flows switch is determined to allow a sufficient delay after switching to hydrogen before the reaction chamber gate valve opens to avoid any nitrogen in the reaction chamber. This also helps sweep out more moisture from the gate valve region which may have migrated from the load lock in the nitrogen atmosphere.
  • the Bernoulli wand and gas curtain are switched from nitrogen to hydrogen approximately eight seconds before the gate valve to the reaction chamber opens.
  • the Bernoulli wand is switched to hydrogen prior to picking up the wafer from the magazine. Hydrogen flows through the Bernoulli wand and through the gas curtain until the wafer is loaded, after which the wand retracts from the reaction chamber and the gate valve closes. At this point, the wand and gas curtain switch back to nitrogen which continues to flow while the wafer is unloaded and the chamber is prepared for a subsequent wafer.
  • the third sequence of Figure 17 shows the Bernoulli wand and gas curtain flowing nitrogen at the time of wafer pick-up, and being switched to hydrogen approximately eight seconds before the gate valve to the reaction chamber opens (similar to sequence one). Hydrogen continues to flow through both the Bernoulli wand (when operated) and the gas curtain during the time the wafer is processed and unloaded, and the gas flows are converted to nitrogen only after the gate valve closes prior to preparation of the reaction chamber for a subsequent wafer. Sequence four in Figure 17 shows the Bernoulli wand gas curtain flowing hydrogen prior to picking up the wafer. Hydrogen continues to flow until the gate valve has closed after unloading the wafer that has been processed and prior to preparing the reaction chamber for a subsequent wafer.
  • the fifth sequence of Figure 17 illustrates hydrogen flowing through a majority of the events except for during processing of the wafer. That is, the Bernoulli wand and gas curtain switch from hydrogen to nitrogen after the gate valve is closed and during the time the wafer is being processed, and switched back to hydrogen prior to the gate valve opening and the wafer being unloaded.
  • both the Bernoulli wand and the gas curtain flow hydrogen throughout the entire cycle.
  • Another advantage of the present gas curtain invention is the improved cooling efficiency of the system.
  • the Bernoulli wand is instructed to halt to allow the crossing flows to contact the wafer.
  • the wafers wait for a period of between 30 seconds and one minute just outside the reaction chamber to allow the gas curtains to provide some cooling thereto. This short interval of cooling allows the Bernoulli wand to continue on and place the wafer within a magazine, the wafer having being cooled down to a temperature sufficiently low so as not to damage the wafer or wafer magazine.
  • the gas flow through the Bernoulli wand may be switched while the wafer is being held. Because of this, the two different gas flows must be carefully calibrated to provide the same lift force to the wafer. While the Bernoulli wand is calibrated using a nitrogen flow, hydrogen, being a less dense gas, must flow at a greater volumetric flow rate for the same lift.
  • the Bernoulli wand pressure/flows are seen in the chart of Table 1 below. Fine adjustments for optimum performance are done with hydrogen flowing in the Bernoulli wand. That is, the Bernoulli wand is first calibrated with nitrogen flowing, and then switched to a hydrogen flow for a final adjustment.
  • the pressure in the wafer handing chamber should normally run at about 1 to 18 inches of water above the ambient pressure with the reaction chamber isolation valve closed. During the time that the isolation valve is open, the pressure balance between the wafer handling chamber and reaction chamber should be about 1 to 5 inches of water. That is, the pressure in the handling chamber is slightly greater than the pressure in the reaction chamber. A precise pressure balance is maintained between the handling chamber and the reaction chamber to help ensure that no reactor exhaust gas is drawn into the reactor and into the handling chamber, and also to minimize the amount of curtain flow entering the reactor.
  • the pressure regulators control the pressure within the loadlock and handling chambers and prevent any over-pressure which might cause gasses to flow into the reaction chamber.
  • the pressure within the reaction chamber is generally known based on the type of scrubber which is positioned in the exhaust conduit. Some scrubbers produce a vacuum, and thus a throttle valve in the reaction chamber exhaust line may be necessary to ensure the precise balancing between the handling chamber and the reaction chamber.

Abstract

A gas curtain for use with a semiconductor processing system to prevent unwanted gases from entering a processing chamber. The gas curtain includes both upward and downward flows of gas surrounding an isolation valve adjacent a delivery port into the processing chamber. In the valve open position, the downward flows extends between the valve and the delivery port, and the upward flow extends in an opposite direction behind the isolation valve. In the valve closed position, one of the flows extends through a slot in the isolation valve, while the other flow is directed in an opposite direction on the rear side of the isolation valve. In a method of using the gas curtain apparatus, a pick-up wand operating on a Bernoulli principal uses gases which are unwanted in the processing chamber, and just prior to loading wafers into the processing chamber, the gas flow in the Bernoulli wand is switched from a first gas to a second gas. Desirably, the second gas is hydrogen. The Bernoulli wand passes through the gas curtain before entering the processing chamber to remove any fugitive particles, moisture and unwanted gases. An exhaust located proximate to an input/output chamber creates a continuous pressure gradient in the handling chamber toward the input/output chamber further helping to prevent unwanted gases from entering the processing chamber.

Description

SEMICONDUCTOR PROCESSING SYSTEM WITH GAS CURTAIN
Field of the Invention This invention relates to processing systems for chemical vapor deposition or other processing of semiconductor wafers and the like. More particularly, the invention relates to an apparatus and method for excluding an unwanted material from a reaction chamber of a processing system.
Background of the Invention High-temperature ovens, called reactors, are used to process semiconductor wafers from which integrated circuits are made for the electronics industry. A circular wafer or substrate, typically made of silicon, is placed on a wafer support called a susceptor. Both the wafer and susceptor are enclosed in a quartz chamber and heated to 600°C (1112°F) or higher, typically by a plurality of radiant lamps placed around the quartz chamber. A reactant gas is passed over the heated wafer, causing the chemical vapor deposition (CVD) of a thin layer of the reactant material on the wafer. Through subsequent processes in other equipment, these layers are made into integrated circuits, with a single layer producing from tens to thousands of integrated circuits, depending on the size of the wafer and the complexity of the circuits. If the deposited layer has the same crystallographic structure as the underlying silicon wafer, it is called an epitaxial layer. This is also sometimes called a monocrystalline layer because it has only one crystal structure.
In recent years, single-wafer processing of larger diameter wafers has grown for a variety of reasons including its greater precision as opposed to processing batches of wafers at the same time. Although single-wafer processing by itself provides advantages over batch processing, control of the process parameters remains critical and is perhaps more so because of the increased cost of the larger wafers. One especially important element is the reduction of particulate matter within the reaction chamber which might contaminate the purity of the resulting semiconductor wafers. Another problem in wafer manufacturing is the contamination of the reaction chamber with water molecules. That is, some small concentration of airborne water particles inevitably migrates into the reaction chamber along with the wafer. This is because the wafers are initially loaded from an external location, and is very difficult to purge completely the moisture in the air. Indeed, some small number of water molecules may adhere to the surface of each wafer. Water in the reaction chamber can create nonuniformities in the subsequently deposited chemical layers.
In many continuous processing systems, as well as multi-chamber systems, the wafers are loaded through an input port and then passed through one or more intermediate chambers before entering the reaction chamber. One such multiple chamber system is disclosed in U.S. Patent No. 5,156,521, issued to Crabb, et al. In the Crabb device, a magazine of wafers is placed within an input chamber through a door. The door is then closed, and the chamber is purged with nitrogen. An elevator lowers the magazine through an aperture in the floor of the input chamber and into registration with an entry port into a handling chamber. A robot arm having a pick-up wand on one end then removes wafers from the magazine and transfers them to one of the reaction chambers surrounding the handling chamber. Isolation valves are provided between the handling chamber and the various reaction chambers. The environment within the handling chamber is thus sealed from that within the reaction chambers. Furthermore, the pick-up wand operates on a Bernoulli principal in that gas flows downward from the pick-up wand to lift the individual wafers by creating a reduced pressure on top of the wafers due to the gas velocity over the top surface.
Because many thermal CVD processes involve extremely high temperatures within the reaction chamber to catalyze the chemical deposition process, the throughput of the system is slowed as the hot wafers are removed from the reactor and deposited in a waiting unload magazine, for example. Bernoulli wands are beneficial in this respect because they need not directly contact the wafers, and thus can lift them when they are hot. There have been a number of attempts to design secondary cooling chambers within which the wafers are placed to cool rapidly and speed the throughput of the system. However, the separate chambers add expense to the system. Currently, there is a need for a processing system which more effectively prevents unwanted gases from entering the reaction chamber.
Brief Description of the Drawings Figure 1 is a cross-sectional view of a portion of a semiconductor processing system utilizing a gas curtain of the present invention; Figure 2 is a top plan view of the interior of a handling chamber showing the gas curtain of the present invention adjacent a reaction chamber delivery port;
Figure 3 is an elevational view of the gas curtain apparatus and isolation valve taken along line 3-3 of Figure 2;
Figure 4a is a cross-sectional view taken along line 4-4 of Figure 2 of the gas curtain apparatus of the present invention adjacent the isolation valve in an open position;
Figure 4b is a cross-sectional view of the gas curtain apparatus adjacent a closed isolation valve; Figure 5 is a cross-sectional view through a wafer loading/unloading chamber and elevator mechanism, taken along line 5-5 of Figure 2;
Figure 6 is an elevational view of an upper gas manifold of the gas curtain apparatus; Figure 7 is a bottom plan view of the upper manifold;
Figure 8 is a top plan view of the upper gas manifold with a top cover removed, taken along line 8-8 of Figure 6;
Figure 9 is a partial cross-sectional view through the upper manifold taken along line 9-9 of Figure.6; Figure 10 is an enlarged detail of the encircled area of the upper manifold in Figure 9; Figure 11 is an elevational view of a lower manifold of the gas curtain apparatus;
Figure 12 is a side elevational view of the lower manifold; Figure 13 is a top plan view of the lower manifold of Figure 11;
Figure 14 is a top plan view of the lower manifold with a top cover removed taken along line 14-14 of Figure 11; Figure 15 is an elevational view of an alternative gas curtain apparatus and isolation valve taken along line
3-3 of Figure 2; Figure 16 is a cross-sectional view taken along line 4-4 of Figure 2 of the alternative gas curtain apparatus of Figure 15 adjacent an open isolation valve leading to a reaction chamber delivery port;
Figure 17 is a table showing the various gas flows through a typical CVD process cycle; and Figure 18, comprising subfigures 18A and 18B, is a schematic diagram of a gas flow valve control system for use in the present invention.
Description of the Preferred Embodiments Svstem
Figures 1 and 2 illustrate the primary components of a chemical vapor deposition processing system 20 utilizing a gas curtain apparatus of the present invention. From left to right, the processing system 20 generally comprises one or more load locks or input/output chambers 22 (Figure 2), a handling chamber 24, an isolation valve 26 having a gas curtain apparatus 28 there around, a gas injector 30, and a reaction chamber 32 having an exhaust system 33. For orientation purposes, in the horizontal plane, a longitudinal direction is defined extending from the input/output chambers 22 to the reaction chamber 32, with a lateral direction perpendicular thereto. Processing Sequence In a conventional processing sequence, wafers are introduced into the system through the input/output chambers 22 and are delivered via the handling chamber 24 to the reaction chamber 32 using a handler 34 having a pick-up wand 36. In an open position, the isolation valve 26 allows the pick-up wand 36 to extend into the reaction chamber and place a wafer 37 on a susceptor 38 for processing. The handler 34 then retracts, and the isolation valve 26 closes before processing of the wafer. Gas flows through the reaction chamber 32 from the injector 30 across the wafer 37 to the exhaust system 33. A scrubber (not shown) is typically provided in the exhaust system 33 downstream from the reaction chamber 32 to "scrub" the product gases from the wafer deposition process, the scrubber also generating a vacuum to pull the gases from the reaction chamber. Such scrubbers are known in the art.
Once the wafer is processed, the isolation valve 26 opens once again, and the handler 34 retracts the wafer from the reaction chamber 32 and delivers it to one of the input/output chambers 22. A typical process takes about 4 minutes from lifting the unprocessed wafer to delivering the processed wafer to the output chamber. Although the present invention is described and illustrated with reference to a single reaction chamber 32 coupled to the handling chamber 24, multiple reaction chambers surrounding the handling chamber may be utilized wjth the gas curtain apparatus of the present invention provided for each. Wafer Handler
With reference to Figure 2, the range of motion of the handler 34 within the handling chamber 24 is shown.
More particularly, the handler 34 comprises a pair of articulated robot arms 40a, 40b having proximal ends 42 mounted to be rotated about drive shafts of a drive assembly (not shown). Each of the arms 40a,b has an intermediate joint 44, and the pick-up wand 36 is mounted at the distal ends of the arms. Drive shafts of the drive assembly are rotated in opposite directions for extending and retracting the robot arms 40a,b. The specifics of the robot arm are set forth in U.S. Patent No. 5,080,549, owned by the assignee of the present invention, which is hereby expressly incorporated by reference. Alternatively, other arrangements of robot arms may be utilized.
The pick-up wand 36 operates on a Bernoulli principal to lift the wafers. That is, gas passages are provided through the hollow articulated robot arms 40a,b leading to apertures directed downward from the pick-up wand 36 in a predetermined pattern. The downward flow of gas above the wafers creates a reduced pressure in that region, thus lifting the wafers. As described in U.S. Patent No. 5,080,549, one particular type of Bernoulli wand preferably utilized in the present invention includes a plurality of gas outlets below a lower plate, most of the outlets being directed outward toward the wafer periphery, and a central outlet directed perpendicular to the lower surface. More of the outwardly directed outlets expel gas in a direction toward a support arm to bias the suspended wafer into contact with positioning pins on the underside of the pick-up wand. While the gas is flowing, therefore, the wafer is held suspended underneath the pick-up wand. The articulated arms 40a,b are sufficiently long to extend within the input/output chambers, and also to extend within the reaction chamber, as indicated by the range of motion Iines 46. A gas inlet conduit 48 is shown leading into the proximal end of the handler 34 shown in Figure 1. Reaction Chamber
Figure 1 illustrates a particularly successful arrangement of components surrounding the reaction chamber 32 to produce highly uniform chemical vapor deposition. The susceptor 38 is rotated by arms 50 of a support which is mounted on a shaft 52. The shaft 52 extends downward through a tube 53 and is rotated by a motor 54 disposed below the chamber 32. The rotational coupling between the motor 54 and shaft 52 is explicitly described in U.S. Patent No. 4,821,674, hereby expressly incorporated by reference. The motor 54 is advantageously mounted on a fixed frame and includes adjustment mechanisms for positioning the susceptor 38 within the chamber 32. More particularly, the susceptor 38 may be vertically positioned with respect to the chamber 32 or may be tilted in various desired configurations.
A plurality of radiant heating lamps are arranged around the reaction chamber 32 to heat the susceptor 38 and wafer thereon. A first upper bank of lamps 56 is shown extending laterally with respect to the gas flow through the chamber 32. A second lower bank of lamps 58 is shown extending longitudinally with respect to the gas flow through the chamber 32. The upper and lower banks of lamps 56, 58 are thus arranged in a crosswise fashion. One or more spotlights or directed lamps 60 are positioned underneath the chamber 32 and surrounding the tube 53. The lamps 60 direct radiant energy to the underside of the susceptor 38, which may be shadowed by the shaft 52 and susceptor supporting structure. The specific heating arrangement is described and illustrated in U.S. Patent No. 4,836,138, which is hereby expressly incorporated by reference. Gas Curtain
Referring now to Figures 3, 4a and 4b, the general details of the gas curtain apparatus 28 will be described. The gas curtain apparatus 28 generally comprises an upper manifold 62 and a lower manifold 64 supplied by gas through a plurality of flexible hoses 66. The upper manifold 62 is generally formed in a bridge shape with a pair of outer legs 68 and an upper cross piece 70, the upper cross piece defining a horizontal chamber 72 within. Apertures 74 (Figure 7) in the lower surface of the horizontal cross piece 70 provide a downward gas flow surrounding the isolation valve 26, as indicated at 75 in Figure 4a. Likewise, the lower manifold 64 comprises a pair of support legs 76 and a hollow horizontal cross piece 78. A plurality of apertures 80 (Figure 13) formed vertically through a top plate 82 attached to the horizontal cross piece 78 provide an upward gas flow 84 adjacent the isolation valve 26, as seen in Figure 4a. The specific structural features of the upper and lower manifolds 62 and 64 will be described below with respect to Figures 6-14. Isolation Valve
With reference to Figures 3 and 4a, the isolation valve 26 includes a generally rectangular laterally elongate body 86 with parallel opposed planar surfaces 88a, 88b and a laterally elongated slot 90 extending through the body between the opposed planar surfaces. As seen in Figure 3, the elongated slot 90 has a lateral width longer than a horizontal delivery port 92 formed in the gas injector 30 and leading from the handling chamber 24 to the reaction chamber 32. For relative size comparison with these two apertures, the approximate outline of the Bernoulli wand 36 is illustrated in Figure 3.
The isolation valve body 86 further includes an angled sealing surface 94 having a generally oval-shaped O-ring 96 secured in a groove and projecting slightly therefrom. The O-ring 96 provides a gas-tight seal against a wall 98 of the gas injector 30 facing into the handling chamber 24. In operation, the isolation valve body 86 pivots about an axis 100 fixed with respect to the handling chamber 24. In an open position, as seen in Figure 4a, the body 86 is vertical so that the slot 90 extends horizontally. One of the robot arms 40a is seen extended horizontally through the slot 90 in this position of the valve body 86. In a closed position, the body 86 pivots about the axis 100 in the clockwise direction as viewed in Figure 4a to the position shown in Figure 4b. The angled sealing surface 94 is thus juxtaposed against the vertical wall 98, and the O-ring 96 surrounds the horizontal delivery port 92 to close the handling chamber 24 from the reaction chamber 32.
The handling chamber 24 includes an opening 102 in a bottom surface adjacent the delivery port 92 in which the isolation valve 26 is mounted. The isolation valve 26 includes a mounting plate 104 which is bolted or otherwise attached to the bottom wall 106 of the handling chamber to hermetically seal the opening 102 and support the various components of the isolation valve. A pneumatic actuator 108 mounts below the handling chamber 24, and an extensible rod 110 of the actuator 108 extends upwardly therefrom through an opening formed in the mounting plate 104. A bellows seal (not shown) or other such expedient concentrically disposed about the rod 110 hermetically seals the opening 102 through which the rod extends. The bellows seal typically has a lower mounting flange sealingly attached to the upper surface of the mounting plate 104 about the opening 102, and an upper portion of the seal is attached to the upper end of the actuator rod 110 which is connected to one end of a lever arm 112 at a pivot pin 114. The opposite end of the lever arm 112 is rigidly connected to the valve body 86, so that up and down movement of the rod 110 causes the valve body to rotate by virtue of the lever arm coupling. Figure 4a shows the isolation valve 26 in an open position with the extension rod 110 retracted, while Figure 4b illustrates the isolation valve closed over the port 92 through the gas injector 30 with the rod extended. Gas Flow in Handling Chamber Figure 4a illustrates the downwardly directed gas flow 75 from the upper manifold 62 passing between the isolation valve 26 and the gas injector port 92. Alternatively, Figure 4b illustrates the downward gas flow 75 from the upper manifold 70 extending through the elongated slot 90 of the isolation valve body 86 when the isolation valve 26 is in a closed position. The lower manifold 64 is rigidly attached to a bracket 116 (Figure 3) connected to the upper end of the bellows assembly. The lower manifold thus moves up and down with the extension rod 110. In the isolation valve 26 open position, the upward gas flow from the lower manifold 64 provides a gas curtain 84 below the pivot axis 100 of the isolation valve. In the isolation valve 26 closed position, the upward gas flow from the lower manifold 64 provides a gas curtain 84' which is initiated at approximately at the same height as the pivot axis 100 of the isolation valve. In both cases, the lower manifold 64 directs gas upwardly in a flow 84 or 84' on the opposite side of the isolation valve 26 from the downward flow 75. The cross flow of the two gas curtains 75, 84 (84') substantially intercepts any fugitive or unwanted gas existing in the handling chamber 24 before passing through the gas injector port 92, which gas is then exhausted toward the input/output chambers, as described below.
Referring to Figures 5, each input/output chamber 22 comprises an upper chamber 122 for introduction of wafer magazines 124, and a lower chamber 126 having an elevator platform 128 reciprocable therein. As described in U.S. Patent No. 5,156,521, hereby expressly incorporated by reference, the upper and lower chambers 122, 126 and platform 128, along with a purge inlet 130, prevent contamination of the handling chamber 24 and reaction chamber 32 from outside particulates. Each input/output chamber 22 includes one or more exhaust apertures 118 formed through a lower wall 120 in connection with a pressure balanced exhaust system. A continuously open feed port 132 communicates between the lower chamber 126 and handling chamber 24. The gases generated by the gas curtains 75, 84 are continually vented away from the gas injector port 92 through the feed port 132 and out of the chamber 126 through the exhaust apertures 118. Thus, there is a perpetual flow migration from the region of the gas curtain apparatus 28 away from the delivery port 92, and any particulates, moisture, or fugitive gases which are intercepted or swept out by the gas curtains are carried through the handling chamber 24 and out the exhaust apertures. This further helps prevent introduction of such unwanted matter through the gas injector port 92. There are adequate back pressure regulators (not shown) mounted in parallel in the exhaust line from the input/output chambers 22 to control pressure within the handling chamber 24 and ensure the continual venting of gases away from the reaction chamber 32. Gas Curtain Manifolds Figures 6-10 illustrate the upper manifold 62 in detail which, as mentioned above, comprises the two side legs 68 and the horizontal cross piece 70. The horizontal cross piece 70 has an inner chamber 72 formed therein covered by a plate 134. The plate 134 is suitably fastened to the horizontal cross piece 70 using fasteners, for example. The chamber 72 extends substantially along the lateral length of the horizontal cross piece 70 and includes a plurality of angled apertures 74 extending downward through a lower wall thereof. The particular angle of the apertures 74 is shown in the detailed view of Figure 10. Lateral bores 136 formed in either lateral side of the cross piece 70 when machining the chamber 72 are desirably plugged. A third bore 138 formed in one side of the upper cross piece 70 communicates with one of the lateral bores 136. A mounting bracket 146 is provided on each of the upper manifold legs 68 to rigidly fix the upper manifold 62 with respect to the chamber 24.
With reference to Figures 3, 4a and 4b, a fitting 140 mounts within the bore 138 and receives a flexible gas delivery hose 142. The hose 142 enters the handling chamber 24 through a sealed mount 144 and extends upward to one side of the gas curtain 28 and isolation valve apparatus 26. Gas enters the chamber 72 at the end of the bore 138 and is distributed the length of the cross piece 70 to be evenly dispersed through the apertures 74.
The angle of the apertures 74 creates the angled downward gas flow 75 shown in Figures 4a and 4b.
Figures 11-14 illustrate the components of the lower manifold 64 which, as mentioned before, comprises the two support legs 76 and the irregular shaped horizontal cross piece 78. The cross piece 78 includes a central portion 148 and a pair of outward portions 150, the outward portions being horizontally stepped in the longitudinal direction toward the reaction chamber 32 with respect to the central portion. As seen best in Figure 14, an inner chamber 152 extends substantially the length of the stepped cross piece 78. An apertured plate 156 is provided over the chamber 154 suitably fastened thereto using fasteners, for example. A plurality of small apertures 158 are provided in the cover which allow gas to escape from the chamber 152 directly upward. This gas flow 84 is shown best in Figures 4a and 4b. The lower manifold 64 includes a mounting bracket 160 extending horizontally from each of the support legs 76 which attaches to the aforementioned isolation valve actuating mechanism. A bore 162 formed from an underside of the cross piece 78 communicates with the chamber 152.
With reference to Figures 3, 4a and 4b, a fitting 164 mounts within the bore 162 and receives a flexible gas delivery hose 166. The hose 166 enters the handling chamber 24 through a sealed mount 168 and extends upward to the underside of the cross piece 78 one on an opposite side of the isolation valve apparatus 26 from the flexible hose 142. Gas enters the chamber 152 at the bore 162 and is distributed the length of the cross piece 78 to be evenly dispersed through the apertures 158. The apertures 158 create the upward gas flow 84 shown in Figures 4a and 4b. Alternative Gas Curtain Apparatus Figures 15 and 16 illustrate a further embodiment 180 of a gas curtain apparatus 28 which can be substituted for the gas manifolds 62, 64 described above. In this embodiment, a plurality of rigid pipes delivers the gas from a source exterior to the handling chamber 24 to the region surrounding the isolation valve 26. In this regard, an upper cross-pipe 182 includes a plurality of lower apertures 184 which deliver a downward curtain-like flow 188, and a lower cross-pipe 184 includes a plurality of upper apertures 190 which deliver an upward curtain- like flow 192. The piping system 180 is preferably made of stainless steel, but may also be made from other compatible materials.
The flows 188 and 192 are analogous to the flows 75 and 84 in the first embodiment. Specifically, the downward flow 88 is directed between the isolation valve 26 and the gas injector port 92 when the valve is open, and is directed through the elongated slot 90 of the isolation valve body 86 when the valve is in a closed position. In a divergence from the previous embodiment, the lower gas curtain flow is directed upward from a fixed location, rather than being coupled with the reciprocating extension rod 110. The piping system 180 includes two parallel lower input conduits 194 entering the handling chamber 24 at sealed fittings 196. Each input conduit 194 diverges laterally at T-junctions 196 into an inwardly directed conduit 196 and an outwardly directed conduit 198. The conduits 198 connect with 90° joints 200 leading to vertical sections 204 attached to second 90° joints 208. As seen in Figure 16, the joints 200 provide transitions to horizontal sections 210 leading to fittings 212. The fittings 212 support the upper cross-pipe 182 and provide gas inlets from the horizontal section 210 into the interior of the pipe. The conduits 196 connect with joints 202 leading to vertical sections 206 attached to fittings 214. The fittings 214 support the lower cross-pipe 184 and provide gas inlets from the vertical section 206 into the interior of the pipe. Valve Svstem A valve system for the gas curtain apparatus 28, pick-up wand 36 and load locks 22 (as numbered in
Figures 1-2) is schematically depicted in Figure 18, which comprises subfigures 18A and 18B on separate pages. The dotted box 230 encompasses a series of flow control valves whose operational status determines the type and level of gas flowing through the gas curtain apparatus 28 and pick-up wand 36, and the level of gas flowing to the purge system of the load locks 22. The box 230 may be generally termed a purge panel, as symbols representing each valve and its operational status may be visually displayed on a control panel. Alternatively, selected valves in the purge panel 230 may be computer-controlled and the operational status displayed visually and/or obtained interactively on a monitor.
A source of nitrogen (N2) and a source of hydrogen (H2) are shown outside the purge panel 230. For the presently illustrated embodiment, the gasses are each supplied at 80 psig, although other pressures may be suitable. The N2 from the source passes along a conduit 232 through an entry valve 234A and filter 236A before connecting with three parallel flow legs 238A, 238B and 238C. The H2 from the source passes along a conduit through an entry valve 234B and filter 236B before connecting with the first flow leg 238A (and eventually to second flow leg 238B, as will be seen). An output conduit 240A from first flow leg 238A leads to upper and lower gas curtains 241 A, 241 B, seen in Figure 18B. An output conduit 240B from second flow leg 238B leads to the Bernoulli wand 242. An output conduit 240C from third flow leg 238C leads to both load locks 243A, 243B.
A bypass leg 238D extends between two points in the third flow leg 238C on either side of a load lock pressure regulator 250. A pneumatically-actuated load lock high flow control valve 248 and a flow restrictor 249 are positioned in the bypass leg 238D. Normally, N2 passes through the pressure regulator 250 which limits the pressure of N2 in output conduit 240C to 15 psig. This pressure enables the flow restrictors adjacent the load locks 243 in Figure 18B to meter the N2 flow to 15 slm. When the load lock high flow control valve 248 is opened, N2 at 80 psig in leg 238D is allowed to bypass the pressure regulator 250. The flow restrictor 249 reduces the flow (pressure) of the N2 to a level which, in conjunction with the flow restrictors shown adjacent the load locks 243 in Figure 1BB, meters the flow to approximately 25 slm to each load lock 243. The high flow control valve 248 is actuated by signals sent from a controller 251. Hj "burp" valves 245, 246 (Figure 18B) for each load lock 243 are provided to periodically sample the atmosphere within the load locks for excess H2 concentration. The "burp" valves 245, 246 expose an H2 detector to the sample of gas to determine the H2 concentration. The specifics of the H2 detector are known in the art and will not be detailed except to mention that both H2 and 02 must be present for the detector to function, and thus the detector must be positioned outside the load locks whose atmospheres contain no 02. Each load lock 243A, 243B additionally has an exhaust vent valve 258A, 258B associated therewith. Pneumatically-actuated valves 261 A and 261B are located in the second flow leg 238B and are controlled by a single electrically-actuated pneumatic switch 265 via a common gas line 265A. Pneumatically-actuated valves 262A, 262B, and 262C are located in the first flow leg 238A and are likewise controlled by a single electrically- actuated pneumatic switch 264 via a common gas line 264A. Each of the switches is supplied with a pneumatic gas from a source (not shown). The schematic representation of each of the pneumatically-actuated valves includes two halves, a primary half, as seen connected to the flow legs in Figure 18A, represents the normal or unactuated condition, whereas a secondary half represents the actuated condition. Thus, for instance, left valve 262A shows an open flow line in the bottom or primary half, and a closed flow line in the top or secondary half. When pneumatic pressure is applied via common line 264A, the valve 262A closes. The pneumatic gas used may be N2 or clean, dry air. The mechanical details of the valves 261, 262 and switches 264, 265 are well known by those of skill in the art and will not be described in great detail. Valves suitable for use in the present system may be obtained from Qualiflow, based in France. Furthermore, other details such as the electrical connections are not shown.
Looking at the first flow leg 238A, left valve 262A functions as an ONIOFF for the N2 flow. The middle valve 262B has a N2 input from the left valve 262A, an H2 input from the filter 236B, an output leading to right valve 262C, and also an output to a valve 26 IB located in the second flow leg 238B. The right valve 262C has two selectable output Iines 263A, 263B which include orifice-type flow restrictors whose outputs combine in line 240A. One flow restrictor is calibrated to pass 5 slm of N2 while the other is calibrated to pass 15 slm of N2. The 5 slm flow restrictor is selected for H2 flow, while both the 5 and 15 slm flow restrictors are selected for N2 flow, the end result being a flow of 20 slm of either gas (because of the different gas viscosities, and because the restrictors are calibrated based on N2 flow, the orifices are differently sized to produce equal flow). Of course, the flow rate depends on the input pressure, which is regulated to 30 psig in this embodiment.
In the second flow leg 238B, left valve 261 A functions as an ON/OFF for the N2 flow. The middle valve 261 B has a N2 input from the left valve 261 A, an H2 input from the valve 262B, and an output leading to a Bernoulli wand ON/OFF valve 247A and the output conduit 240B. In an important aspect of the valve system, all three valves 262A, 262B, and 262C which determine the type of gas flow to the gas curtains 241 A,B are controlled by the common switch 264. On a signal from the controUer 251, the switch 264 either permits or prevents pneumatic gas to flow into the common line 264A. Pressurization of the line 264A actuates each of the valves 262A, 262B, and 262C which are normally in the positions shown in Figure 18A. In a similar manner, the two valves 261 A and 26 IB which determine the type of gas flow to the Bernoulli wand 242 are controlled by the common switch 265. On a signal from the controller 251, the switch 265 either permits or prevents pneumatic gas to flow into the common line 265A. Pressurization of the line 265A actuates both valves 261 A and 261B which are normally in the positions shown in Figure 18A. The significance of the dual common valve controls will be more fully explained below in the description of the operation of the system. Indicators 266 are provided to sense pressurization of the Iines 264A and 265A, and thus H2 flow to the chambers. Such indicators may take many forms, a simple pop-up type piston shown having a red indicator end visible by an operator of the system when H2 flows.
When the wand 242 is not in use, or when a wafer is to be dropped from the wand, the wand ON/OFF valve 247A shuts off the positive flow of gas through conduit 240B. Because of the small sized orifices in the lower surface of the wand 242, the pressure within the conduit 240B would only gradually decrease without the provision of a dump valve 247B. After the flow to the wand 242 is shut off, the dump valve 247B opens to rapidly release the pressure within the conduit 240B, thus releasing the wafer from under the wand. This configuration is needed as a very low flow of gas from under the wand 242 is needed to maintain lift, and the residual flow from simply shutting off the positive supply of gas would delay release of the wafer. In one particular wand embodiment, a flow of about 30-40 slm is required to initially pick up a wafer, but a residual flow of only 2-3 slm will maintain lift for a wafer. Thus, the dump valve 247B is needed to quickly drop a wafer. Operation
The valve system operates in three modes. In a first mode, N2 flows through both the gas curtains 241 A, 241 B and Bernoulli wand 242. In a second mode, H2 flows through both the gas curtains 241 A, 241 B and Bernoulli wand 242. In a third mode N2 flows through the gas curtains 241 A, 241 B, and H2 flows through the Bernoulli wand 242. When N2 gas is desired for both the gas curtains 241 A, 241 B and Bernoulli wand 242, both switches 264 and 265 are closed to prevent pneumatic gas from flowing into respective Iines 264A and 265A. This allows valves 262A and 262B to flow N2 to right valve 262C, which channels the N2 through both output Iines 263A and 263B to gas curtains 241A, 241 B. Likewise, valves 261A and 261B are configured so that N2 flows through to output line 240B, and from there to Bernoulli wand 242. Although H2 flows through valve 262B to middle valve 261 B in second flow leg 238B, the H2 flow stops there, as indicated schematically by the discontinuous flow path in the bottom half of the valve 261 B.
If H2 flow is desired through both the gas curtains 241 A, 241 B and Bernoulli wand 242, signals are provided by controller 251 to switches 264 and 265 to alter the flows through the first and second flow legs 238A, 238B. The switches 264 and 265 then open to permit pneumatic gas to flow into respective Iines 264A and 265A. Valve 262A closes to prevent N2 from continuing through first leg 238A, and middle valve 262B switches to flow H2 to right valve 262C. Right valve 262C channels the H2 flow through output line 263B (calibrated for H2 flow). H2 continues to flow through valve 262B to middle valve 261 B in second flow leg 238B. Valve 261 A closes to prevent N2 from continuing through second leg 238B, and middle valve 261 B opens to flow H2 through valve 247A (if open) and conduit 240B to Bernoulli wand 242. In the third mode, N- flows to the gas curtains 241A.B only, and H2 flows to the Bernoulli wand 242. In this situation, the second valve 262B in flow leg 238A is in the position illustrated, with N2 flowing therethrough to third valve 262C, and with H2 flowing to second valve 261 B in second flow leg 238B. Switch 265 opens on a signal from controller 251 to permit pneumatic gas to flow into line 265A. Consequently, left valve 261 A closes to prevent N2 from continuing through second leg 238B, and middle valve 261B is actuated opposite the position shown, to flow H2 to Bernoulli wand ON/OFF valve 247A. As long as valve 247A is open, H2 then flows to wand 242.
Although the system is described in the context of flowing either N2 or H2, and is calibrated for those gasses, other suitable gasses may be substituted as desired, the present valve system more generally being useful for switching flow through either the gas curtains 241 A, 241 B or Bernoulli wand 242 from one particular gas to another.
In the case of H2, the present system provides a "fail safe" design to prevent excessive levels of H2 from building up in the chambers. When the power fails, or when the supply of pneumatic gas to switches 264 and 265 is interrupted, the valve system will default to flowing N2. That is, the valves 261 and 262, which control the presence of H2 in the chambers, are configured to flow N2 in their normal or unactuated states. The valves include spring-loaded pistons which are biased into this normal, safe position, and only flow H2 when pneumatic pressure is applied. Thus, upon a power failure or interruption of gas supply, the pistons are biased into positions permitting N2 to flow, and preventing H2 flow. The valves could conceivably be actuated independently with each being configured to default to N2 flow in the event of a system failure, but the common connections simplify the control logic, and reduces the necessary electronic and pneumatic components.
Preferably, the gas curtains operate continuously during wafer processing. The processing can be segmented into discrete time intervals. With reference to the nomenclature of Figures 1-17, initially, there are no wafers in the handling chamber 24 or reaction chambers 32. The reaction chamber 32 is first brought to a pre¬ heated temperature. During this time, the isolation valve 26 remains closed. A gas is supplied to the gas curtain apparatus 28 as well as to the Bernoulli wand 36. The wand 36 picks up a wafer 37 from the magazine 124 through the feed port 132. The isolation valve 26 opens and the wand 36 extends through the slot 90 and delivery port 92 to deposit the wafer 37 in the reaction chamber 32. The wand 36 withdraws and the valve 26 closes enabling processing of the wafer 37. Ultimately, the reaction completes, the valve 26 opens, and the wand 36 extends to remove the wafer 37 from the reaction chamber 32 and replace it in a magazine 124, or in another chamber for further processing. During this entire cycle, gas continuously flows through the gas curtain apparatus
28 and the exhaust 118 operates to create a pressure gradient in the handling chamber 24 away from the delivery port 92. The sweeping action of the gas from the handling chamber toward the load lock chambers 22 effectively removes any gasses, moisture, or particulates that may have entered the system through the exterior loadlock door.
The particular type of gas utilized in both the gas curtain 28 and the Bernoulli wand 36 may vary. It has been discovered, however, that hydrogen (H2) is desirable because of its ability to sweep water molecules from the handling chamber into the loadlock chamber. Hydrogen gas appears to be slightly better at attracting water than nitrogen gas. This is believed to be because of two phenomena. First, when measured at standard temperature and pressure, hydrogen gas is slightly more soluble in water than is nitrogen gas (the molar fraction solubilities of hydrogen and nitrogen gases in water are 1.411x10s and 1.183x10s, respectively). Because hydrogen has a higher solubility in water, one would predict that the attraction between hydrogen gas and water is greater than that between nitrogen gas and water. Intermolecular attractions of this kind are reciprocal in nature and hydrogen gas dissolves better in water than does nitrogen gas. Therefore water should "dissolve" better in hydrogen gas than nitrogen gas, and hydrogen gas would tend to pick up more surrounding water than nitrogen gas would, because of the stronger intermolecular attraction between water and hydrogen. A second explanation why hydrogen gas attracts water better than nitrogen gas has to do with molecular structure. The molecular orbitals of water that contain non-bonding electrons are centered about the oxygen atom and are oriented in space in the direction opposite that of the two hydrogen atoms. These electron rich orbitals are thus oriented in a way that enables them to interact with orbitals of other atoms or molecules that may be empty or relatively electron deficient. Such interactions are driven by the water molecule's desire to achieve a lower energy state. In hydrogen gas (dihydrogen), most of the electron density is between the two hydrogen atoms; very little is on the side 180° from the bond axis. This space is home to atomic and molecular orbitals, and although these orbitals are high in energy, they are empty and oriented in a way that they may interact with the electron rich orbitals of the water, allowing the water molecule to achieve a lower energy state. The molecular structure of nitrogen gas (dinitrogen) is similar to that of hydrogen gas in that it is a linear molecule with most of its electron density between the two atoms. However, unlike hydrogen gas, nitrogen gas has orbitals containing pairs of non- bonding electrons located 180° from the bond axis on each side of the molecule. Because the nitrogen gas molecule is literally surrounded with electrons, it is not as inviting of an "electron dump" as is the hydrogen gas molecule. Nitrogen gas has empty molecular orbitals, but they are not as accessible to the water molecule as are the orbitals of the hydrogen molecule. Because of the permanent dipole observed for water molecules, however, nitrogen may enjoy a slight advantage when accounting for a dipole-induced dipole interaction. This would help explain why the strengths of attraction of nitrogen gas and hydrogen gas with water are not as great as what might be estimated based strictly upon the solubility or molecular orbital explanation. Because the hydrogen gas molecule has only two electrons, both tightly held and involved in bonding, it is not very polarizable and not a good candidate for an induced dipole. The nitrogen gas molecule, on the other hand, has some loosely held electrons and it is fairly polarizable, making it a good candidate for an induced dipole. Thus, one would predict that water and nitrogen gas would have some dipole- induced dipole interactions.
Although hydrogen is found to be preferred with regard to its attraction to water molecules, it is somewhat more expensive than other gases, such as nitrogen which is typically used for such purging processes. In addition, hydrogen is flammable and creates a potential hazard if allowed to mix with oxygen in a closed atmosphere such as the reaction chamber. Therefore, to reduce the danger of an explosion, many customers prefer the use of nitrogen as much as possible. The present invention provides for a number of different gas flow sequences utilizing all hydrogen, or a combination of hydrogen and nitrogen. These are seen in Figure 17.
The events during a typical processing sequence are indicated along the top row of Figure 17. These events include the pick up of the wafer by the Bernoulli wand from the magazine in the loadlock, transporting the wafer from the loadlock across the handling chamber to a gate valve at the entrance to a reaction chamber, opening the gate valve, loading the wafer into the reaction chamber and onto the susceptor for processing, retracting the Bernoulli wand and closing the gate valve, processing the wafer within the reactor, reopening the gate valve and removing the wafer from the reaction chamber, closing the gate valve, and preparing the reaction chamber for subsequent processes. A number of conditioning steps may be taken during the preparation of the reaction chamber for the next wafer, such as etching the chamber and sometimes injecting a small amount of silicon-based gas into the etched chamber to deposit a thin layer of silicon on the etched surfaces of the susceptor. The time for preparing the chamber varies from between 30 seconds to two minutes.
In a first sequence, the wafer is picked up using nitrogen flowing through the Bernoulli wand, and with nitrogen also flowing through the gas curtain to be exhausted from a point closest to the loadlock chamber. At a predetermined moment after picking up the wafer, both the Bernoulli wand and the gas curtain are switched from flowing nitrogen to flowing hydrogen. The time at which the gas flows switch is determined to allow a sufficient delay after switching to hydrogen before the reaction chamber gate valve opens to avoid any nitrogen in the reaction chamber. This also helps sweep out more moisture from the gate valve region which may have migrated from the load lock in the nitrogen atmosphere. In one specific example, the Bernoulli wand and gas curtain are switched from nitrogen to hydrogen approximately eight seconds before the gate valve to the reaction chamber opens. This corresponds to the time at which the Bernoulli wand begins to rotate with the wafer toward the reaction chamber. After the wafer is loaded, and the Bernoulli wand retracts, the gate valve closes and both the Bernoulli wand and the gas curtain switch back to flowing nitrogen. This sequence maximizes the volume of nitrogen flowing through the Bernoulli wand and the gas curtain to minimize the expense and potential danger of flowing excessive amounts of hydrogen.
In a second sequence shown in Figure 17, the Bernoulli wand is switched to hydrogen prior to picking up the wafer from the magazine. Hydrogen flows through the Bernoulli wand and through the gas curtain until the wafer is loaded, after which the wand retracts from the reaction chamber and the gate valve closes. At this point, the wand and gas curtain switch back to nitrogen which continues to flow while the wafer is unloaded and the chamber is prepared for a subsequent wafer.
The third sequence of Figure 17 shows the Bernoulli wand and gas curtain flowing nitrogen at the time of wafer pick-up, and being switched to hydrogen approximately eight seconds before the gate valve to the reaction chamber opens (similar to sequence one). Hydrogen continues to flow through both the Bernoulli wand (when operated) and the gas curtain during the time the wafer is processed and unloaded, and the gas flows are converted to nitrogen only after the gate valve closes prior to preparation of the reaction chamber for a subsequent wafer. Sequence four in Figure 17 shows the Bernoulli wand gas curtain flowing hydrogen prior to picking up the wafer. Hydrogen continues to flow until the gate valve has closed after unloading the wafer that has been processed and prior to preparing the reaction chamber for a subsequent wafer.
The fifth sequence of Figure 17 illustrates hydrogen flowing through a majority of the events except for during processing of the wafer. That is, the Bernoulli wand and gas curtain switch from hydrogen to nitrogen after the gate valve is closed and during the time the wafer is being processed, and switched back to hydrogen prior to the gate valve opening and the wafer being unloaded.
In a final sequence, shown in Figure 17, both the Bernoulli wand and the gas curtain flow hydrogen throughout the entire cycle. Another advantage of the present gas curtain invention is the improved cooling efficiency of the system.
That is, after hot wafers are removed from the reaction chamber, they are brought into proximity of the gas curtain flows and the Bernoulli wand is instructed to halt to allow the crossing flows to contact the wafer. In this manner, the wafers wait for a period of between 30 seconds and one minute just outside the reaction chamber to allow the gas curtains to provide some cooling thereto. This short interval of cooling allows the Bernoulli wand to continue on and place the wafer within a magazine, the wafer having being cooled down to a temperature sufficiently low so as not to damage the wafer or wafer magazine.
It will be noted that the gas flow through the Bernoulli wand may be switched while the wafer is being held. Because of this, the two different gas flows must be carefully calibrated to provide the same lift force to the wafer. While the Bernoulli wand is calibrated using a nitrogen flow, hydrogen, being a less dense gas, must flow at a greater volumetric flow rate for the same lift.
The Bernoulli wand pressure/flows are seen in the chart of Table 1 below. Fine adjustments for optimum performance are done with hydrogen flowing in the Bernoulli wand. That is, the Bernoulli wand is first calibrated with nitrogen flowing, and then switched to a hydrogen flow for a final adjustment.
BERNOULLI WAND FLOW/PRESSURE
Wafer Size H2 Nominal Flow N2 Nominal Flow Maximum PSI
200 mm. 150 slm 50/60 slm 70
150 mm. 150 slm 50 slm 65
125 mm. 143 slm 50 slm 60
100 mm. 133 slm 40 slm 55
The pressure in the wafer handing chamber should normally run at about 1 to 18 inches of water above the ambient pressure with the reaction chamber isolation valve closed. During the time that the isolation valve is open, the pressure balance between the wafer handling chamber and reaction chamber should be about 1 to 5 inches of water. That is, the pressure in the handling chamber is slightly greater than the pressure in the reaction chamber. A precise pressure balance is maintained between the handling chamber and the reaction chamber to help ensure that no reactor exhaust gas is drawn into the reactor and into the handling chamber, and also to minimize the amount of curtain flow entering the reactor. The pressure regulators control the pressure within the loadlock and handling chambers and prevent any over-pressure which might cause gasses to flow into the reaction chamber. The pressure within the reaction chamber is generally known based on the type of scrubber which is positioned in the exhaust conduit. Some scrubbers produce a vacuum, and thus a throttle valve in the reaction chamber exhaust line may be necessary to ensure the precise balancing between the handling chamber and the reaction chamber.
Although this invention has been described in terms of certain preferred embodiments, other embodiments that will be apparent to those of ordinary skill in the art are intended to be within the scope of this invention. Accordingly, the scope of the invention is intended to be defined by the claims that follow. For example, wafer handlers using a paddle pickup or other handlers, besides the one described herein, may be used with the gas curtain system. Also isolation valves at the entry to the reactor, other than the one described, may be utilized.

Claims

WHAT IS CLAIMED IS:
1. A gas curtain apparatus for a wafer processing system, the system including a handling chamber and a reaction chamber with a delivery port therebetween having an isolation valve adapted for alternately opening and closing the port, comprising: a first series of apertures in an upper member adapted to direct gas generally downward in a first curtain on the handling chamber side of the delivery port and on the reaction chamber side of at least a portion of the isolation valve; and a second series of apertures in a lower member adapted to direct gas upward in a second curtain on the handling chamber side of the deliver port.
2. The apparatus of Claim 1, wherein said upper member comprises a manifold having a chamber formed within, said first series of apertures extending between said chamber and a lower surface of said manifold.
3. The apparatus of Claim 1, wherein said upper member comprises a pipe having a chamber formed within, said apertures extending through a lower wall of said pipe.
4. The apparatus of Claim 1, wherein said first series of apertures are directed at an angle toward said reaction chamber.
5. The apparatus of Claim 1, wherein said lower member comprises a manifold having a chamber formed within, said apertures extending between said chamber and an upper surface of said manifold.
6. The apparatus of Claim 1, wherein said lower member comprises a pipe having a chamber formed within, said second series of apertures extending through an upper wall of said pipe.
7. A wafer processing system, comprising: a load chamber adapted to receive wafers from an external source and having an exhaust; a handling chamber attached to and in communication with said load chamber; a reaction chamber attached to and in communication with said handling chamber and a delivery port provided therebetween; a wafer handler mounted in said handling chamber including an extension arm for transferring wafers to and from said reaction chamber through said delivery port; an isolation valve mounted in said handling chamber to alternately open and close the delivery port; and a gas curtain apparatus mounted in said handling chamber adjacent said isolation valve, said gas curtain apparatus having an upper portion positioned above the level of the delivery port for generating a first flow of gas generally downward, and a lower portion positioned below the level of the delivery port for generating a second flow of gas generally upward, both the first and second gas flows being exhausted from said load chamber exhaust when said isolation valve is closed.
8. The system of Claim 7, including one or more valves for switching the gas flow for said gas curtains from a first gas to a second gas during a cycle of moving a wafer from the load chamber, through the handling chamber, into and out of the reaction chamber, and to an unload chamber.
9. The system of Claim 7, wherein said wafer handler includes a Bernoulli wand through which gas is flowed to lift a wafer, and one or more valves for switching gas flow for said gas curtains and through said Bernoulli wand from a first gas to a second gas during a cycle of transferring a wafer from the load chamber, through the handling chamber, into and out of the reaction chamber, and to an unload chamber and the loading of a second wafer to start a second cycle.
10. A method of processing wafers, comprising the steps of: loading a wafer from an external source into a load chamber having an exhaust; extracting said wafer from said load chamber into a handling chamber attached to and in communication with said load chamber using a wafer handler mounted in said handling chamber; opening a delivery port between said handling chamber and a reaction chamber attached to and in communication with said handling chamber by actuating an isolation valve mounted in said handling chamber; generating an upward curtain of purge gas flow from a location below the level of said delivery port and a downward curtain of purge gas flow from a location above the level of said delivery port; delivering said wafer through said delivery port to said reaction chamber- closing said delivery port by actuating said isolation valve; and exhausting said upward and downward curtains of purge gas flow from said handling chamber by creating a pressure gradient in said handling chamber with said a pressure balanced exhaust system.
11. The method of Claim 10, wherein the step of extracting said wafer includes flowing gas through a Bernoulli wand on end of said wafer handler to lift said wafer.
12. The method of Claim 11, including using hydrogen gas through said Bernoulli wand and for said curtains when said wafers are to be moved through said handling chamber and into said reaction chamber and during the closing of said delivery port.
13. The method of Claim 12, including: opening said delivery port after said wafer has been processed in the reaction chamber; removing said wafer from the reaction chamber with said Bernoulli wand and transferring it to an unload chamber; extracting a second wafer from said load chamber; switching the gas flow from hydrogen to nitrogen during at least a portion of the cycle continuing after said closing of said delivery port and said extracting of said second wafer; and switching the gas flow from nitrogen to hydrogen in sufficient time to ensure that substantially any nitrogen in the handling chamber has been replaced by hydrogen before said delivery port is opened to deliver said second wafer into said reaction chamber.
14. The method of Claim 10, including using hydrogen gas through said Bernoulli wand and for said curtains when said wafers be moved through said handling chamber and into said reaction chamber and during the closing of said delivery port.
15. In a gas curtain apparatus for a wafer processing system including a handling chamber and a reaction chamber with a delivery port there between and having an isolation valve adapted for alternatively opening and closing of the port, a method of preventing unwanted material from entering the reaction chamber comprising: directing gas generally downwardly through a first series of apertures in an upper member to form a first gas curtain on the handling chamber side of the delivery port and on the reaction chamber side of at least a portion of the isolation valve; and directing gas upward through a second series of apertures in the lower member to form a second gas curtain on the handling chamber side of the delivery port.
16. The method of Claim 15, wherein the gas directed through said first series of apertures is directed at an angle toward said reaction chamber.
17. A wafer processing system, comprising: a handling chamber; a reaction chamber attached to and in communication with said handling chamber and a delivery port provided therebetween; an isolation valve mounted in said handling chamber to alternately open and close the delivery port; a gas curtain apparatus mounted in said handling chamber adjacent said delivery port; a supply of first gas; a supply of second gas; and one or more valves located between said first gas supply and said gas curtain apparatus and between said second gas supply and said gas curtain apparatus, for switching gas flow for said gas curtains from a first gas to a second gas during a cycle of transferring a wafer from the handling chamber into the reaction chamber through the delivery port.
18. The system of Claim 17, including: a wafer handler mounted in said handling chamber having an extension arm for transferring wafers to and from said reaction chamber through said delivery port.
19. The system of Claim 18, wherein said wafer handler includes a Bernoulli wand through which gas is flowed to lift a wafer, and said one or more valves meter gas flow for said Bernoulli wand as well as said gas curtains.
20. The system of Claim 19, including: a load lock chamber attached to and in communication with said handling chamber adapted to receive wafers from an external source and having an exhaust for exhausting said gas curtains from said handling chamber.
21. The system of Claim 17, wherein said gas curtain apparatus comprises an upper portion positioned above the level of the delivery port for generating a first flow of gas generally downward, and a lower portion positioned below the level of the delivery port for generating a second flow of gas generally upward.
22. The system of Claim 17, wherein one of said first or second gas is hydrogen.
23. The system of Claim 17, wherein said one or more valves are configured to only flow said first gas to said gas curtains in the event of a power failure.
24. The system of Claim 17, wherein said one or more valves are pneumatically actuated and configured to only flow said first gas to said gas curtains in the event of a loss of pneumatic pressure.
25. A wafer processing system, comprising: a handling chamber; a wafer handler mounted in said handling chamber for transferring wafers having an extension arm and a Bernoulli wand through which gas is flowed to lift a wafer; a supply of first gas; a supply of second gas; and one or more valves located between said first gas supply and said wafer handler and between said second gas supply and said wafer handler for switching gas flow for said Bernoulli wand from a first gas to a second gas.
26. The system of Claim 25, including: a gas curtain apparatus mounted in said handling chamber adjacent said delivery port.
27. The system of Claim 26, wherein said gas curtain apparatus comprises an upper portion positioned above the level of the delivery port for generating a first flow of gas generally downward, and a lower portion positioned below the level of the delivery port for generating a second flow of gas generally upward.
28. The system of Claim 27, wherein said one or more valves meter gas flow for said gas curtains as well as said Bernoulli wand.
29. The system of Claim 25, including: a load lock chamber attached to and in communication with said handling chamber adapted to receive wafers from an external source and having an exhaust for exhausting said gas curtains from said handling chamber.
30. The system of Claim 25, wherein one of said first or second gas is hydrogen.
31. The system of Claim 25, wherein said one or more valves are configured to only flow said first gas to said Bernoulli wand in the event of a power failure.
32. The system of Claim 25, wherein said one or more valves are pneumatically actuated and configured to only flow said first gas to said Bernoulli wand in the event of a loss of pneumatic pressure.
33. A method of transferring wafers in a wafer processing system, comprising the steps of: lifting a wafer into a handling chamber using a wafer handler mounted in said handling chamber; opening a delivery port between said handling chamber and a reaction chamber attached to and in communication with said handling chamber by actuating an isolation valve mounted in said handling chamber; generating an upward curtain of purge gas flow from a location below the level of said delivery port and a downward curtain of purge gas flow from a location above the level of said delivery port; delivering said wafer through said delivery port to said reaction chamber; closing said delivery port by actuating said isolation valve.
34. The method of Claim 33, wherein the step of extracting said wafer includes flowing gas through a Bernoulli wand on end of said wafer handler to lift said wafer.
35. The method of Claim 34, including using hydrogen gas through said Bernoulli wand and for said curtains when said wafers are to be moved through said handling chamber and into said reaction chamber and during the closing of said delivery port.
36. The method of Claim 35, including: opening said delivery port after said wafer has been processed in the reaction chamber; removing said wafer from the reaction chamber with said Bernoulli wand and transferring it to an unload chamber; extracting a second wafer from said load chamber; switching the gas flow from hydrogen to nitrogen during at least a portion of the cycle continuing after said closing of said delivery port and said extracting of said second wafer; and switching the gas flow from nitrogen to hydrogen in sufficient time to ensure that substantially any nitrogen in the handling chamber has been replaced by hydrogen before said delivery port is opened to deliver said second wafer into said reaction chamber. loading a wafer from an external source into a load chamber having an exhaust connected therewith; and removing said upward and downward curtains of purge gas flow from said handling chamber by exhausting into a pressure balanced exhaust system.
37. A method of transferring wafers in a wafer processing system, the system including a wafer handling chamber with a wafer handler mounted therein and a wafer processing chamber connected thereto, a delivery port and isolation valve separating the handling and processing chambers, and a gas curtain apparatus positioned in the handling chamber in the vicinity of the isolation valve, the method comprising the steps of: flowing a first gas through the gas curtain apparatus while the isolation valve is closed; switching the flow of gas through the gas curtain apparatus from said first gas to a second, different gas; sweeping said first gas from the vicinity of the delivery port with said gas curtain apparatus after said step of switching, then; opening said delivery port by actuating said isolation valve; delivering a wafer through said delivery port to said processing chamber by extending said wafer handler through said delivery port; and closing said delivery port by actuating said isolation valve.
38. The method of Claim 37, wherein the step of sweeping comprises generating an upward curtain of purge gas flow from a location below the level of said delivery port and a downward curtain of purge gas flow from a location above the level of said delivery port.
39. The method of Claim 37, including the step of: exhausting said first gas from said handling chamber and into a pressure balanced exhaust system in a direction away from said delivery port during said step of sweeping.
40. The method of Claim 37, wherein the wafer handler includes a Bernoulli pickup wand, and said method includes the step of: flowing said first gas through the Bernoulli pickup wand while the isolation valve is closed; switching the flow of gas through the Bernoulli pickup wand from said first gas to said second gas at the same time or before said gas curtain apparatus.
PCT/US1996/016346 1995-10-13 1996-10-14 Semiconductor processing system with gas curtain WO1997014179A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU74415/96A AU7441596A (en) 1995-10-13 1996-10-14 Semiconductor processing system with gas curtain

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US541395P 1995-10-13 1995-10-13
US60/005,413 1995-10-13
US08/729,550 1996-10-11
US08/729,550 US5997588A (en) 1995-10-13 1996-10-11 Semiconductor processing system with gas curtain

Publications (1)

Publication Number Publication Date
WO1997014179A1 true WO1997014179A1 (en) 1997-04-17

Family

ID=26674337

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1996/016346 WO1997014179A1 (en) 1995-10-13 1996-10-14 Semiconductor processing system with gas curtain

Country Status (3)

Country Link
US (1) US5997588A (en)
AU (1) AU7441596A (en)
WO (1) WO1997014179A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000003056A1 (en) 1998-07-10 2000-01-20 Asm America, Inc. System and method for reducing particles in epitaxial reactors
EP1160839A2 (en) * 2000-06-01 2001-12-05 Canon Kabushiki Kaisha Exposure apparatus, coating/developing apparatus, method of transferring a substrate, method of producing a device, semiconductor production factory, and method of maintaining an exposure apparatus
EP1569271A1 (en) * 2002-12-03 2005-08-31 Kondoh Industries Ltd. Semiconductor producing device using mini-environment system
DE102015223807A1 (en) 2015-12-01 2017-06-01 Siltronic Ag Process for producing a semiconductor wafer with epitaxial layer in a deposition chamber, apparatus for producing an epitaxial-layer semiconductor wafer and semiconductor wafer with epitaxial layer
CN113265648A (en) * 2021-04-01 2021-08-17 邱海楚 Integral type powder vapor deposition spraying device
US11145517B2 (en) * 2018-10-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Gas curtain for semiconductor manufacturing system

Families Citing this family (379)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6183565B1 (en) * 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
US6749687B1 (en) * 1998-01-09 2004-06-15 Asm America, Inc. In situ growth of oxide and silicon layers
US6458416B1 (en) * 2000-07-19 2002-10-01 Micron Technology, Inc. Deposition methods
US7192888B1 (en) * 2000-08-21 2007-03-20 Micron Technology, Inc. Low selectivity deposition methods
US6602346B1 (en) * 2000-08-22 2003-08-05 Novellus Systems, Inc. Gas-purged vacuum valve
US7094690B1 (en) * 2000-08-31 2006-08-22 Micron Technology, Inc. Deposition methods and apparatuses providing surface activation
TW511126B (en) * 2000-09-29 2002-11-21 Applied Materials Inc Apparatus for reducing particle residues in a semiconductor processing chamber
JP3910821B2 (en) * 2000-10-26 2007-04-25 東京エレクトロン株式会社 Substrate processing equipment
US6595370B2 (en) * 2000-11-29 2003-07-22 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for reducing contamination in a wafer transfer chamber
US7231141B2 (en) * 2001-04-23 2007-06-12 Asm America, Inc. High temperature drop-off of a substrate
US6521503B2 (en) 2001-04-23 2003-02-18 Asm America, Inc. High temperature drop-off of a substrate
US20020163632A1 (en) * 2001-05-02 2002-11-07 Ya-Chan Cheng Measuring system of a gas stream environment
KR100914363B1 (en) * 2001-07-15 2009-08-28 어플라이드 머티어리얼스, 인코포레이티드 Processing system
US7368014B2 (en) * 2001-08-09 2008-05-06 Micron Technology, Inc. Variable temperature deposition methods
US6672864B2 (en) 2001-08-31 2004-01-06 Applied Materials, Inc. Method and apparatus for processing substrates in a system having high and low pressure areas
US6902620B1 (en) * 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
DE10203833B4 (en) * 2002-01-31 2007-02-08 Siltronic Ag Method and device for differential pressure control on epitaxy reactors
US6800172B2 (en) * 2002-02-22 2004-10-05 Micron Technology, Inc. Interfacial structure for semiconductor substrate processing chambers and substrate transfer chambers and for semiconductor substrate processing chambers and accessory attachments, and semiconductor substrate processor
US6861321B2 (en) 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
US6858264B2 (en) * 2002-04-24 2005-02-22 Micron Technology, Inc. Chemical vapor deposition methods
US6814813B2 (en) 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
US7427329B2 (en) * 2002-05-08 2008-09-23 Asm International N.V. Temperature control for single substrate semiconductor processing reactor
DE10252543A1 (en) * 2002-11-08 2004-05-27 Applied Films Gmbh & Co. Kg Coating for a plastic substrate
US6926775B2 (en) 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
WO2005015613A2 (en) * 2003-08-07 2005-02-17 Sundew Technologies, Llc Perimeter partition-valve with protected seals
US7235138B2 (en) 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7056806B2 (en) 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7410355B2 (en) * 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
US7022627B2 (en) 2003-10-31 2006-04-04 Asm International N.V. Method for the heat treatment of substrates
US6940047B2 (en) * 2003-11-14 2005-09-06 Asm International N.V. Heat treatment apparatus with temperature control system
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7584942B2 (en) * 2004-03-31 2009-09-08 Micron Technology, Inc. Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7217670B2 (en) * 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
KR100665855B1 (en) * 2006-02-01 2007-01-09 삼성전자주식회사 Vacuum apparatus of semiconductor device manufacturing equipment and vacuum method the same
US7736583B2 (en) * 2006-10-12 2010-06-15 The Boc Group, Inc. Gas curtain assemby for muffled continuous furnaces
FR2915742B1 (en) * 2007-05-04 2014-02-07 Centre Nat Rech Scient PROCESS FOR THE DELIVERY OF DIHYDROGEN FROM HYDROGENIC SILICON
US7883745B2 (en) 2007-07-30 2011-02-08 Micron Technology, Inc. Chemical vaporizer for material deposition systems and associated methods
CN101210775B (en) * 2007-12-21 2012-07-04 湖南华联瓷业股份有限公司 Method and device for pre-cooling domestic roller-way kiln
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8216380B2 (en) * 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8524052B1 (en) 2010-04-02 2013-09-03 WD Media, LLC Cooling shower plate for disk manufacture
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9029809B2 (en) 2012-11-30 2015-05-12 Ultratech, Inc. Movable microchamber system with gas curtain
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
CH708881B1 (en) * 2013-11-20 2017-06-15 Besi Switzerland Ag Continuous furnace for substrates, which are equipped with components, and Die Bonder.
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) * 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10090174B2 (en) * 2016-03-01 2018-10-02 Lam Research Corporation Apparatus for purging semiconductor process chamber slit valve opening
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11331700B2 (en) 2017-02-10 2022-05-17 Kateeva, Inc. Manufacturing enclosure environmental containment systems and methods
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
EP3655352A4 (en) * 2017-07-21 2021-04-21 Electro Scientific Industries, Inc. Non-contact handler and method of handling workpieces using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11124878B2 (en) 2017-07-31 2021-09-21 Applied Materials, Inc. Gas supply member with baffle
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
KR20200108016A (en) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. Method of depositing a gap fill layer by plasma assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
KR102168381B1 (en) * 2018-06-07 2020-10-21 세메스 주식회사 Substrate treating method and substrate treating apparatus
US11286562B2 (en) * 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
CN111621755A (en) * 2019-02-28 2020-09-04 广东汉能薄膜太阳能有限公司 Air curtain isolation device and air curtain isolation cavity
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
EP3937219B1 (en) * 2020-07-06 2023-08-30 Siltronic AG Method for generating a gas curtain from purge gas in a slit valve tunnel and slit valve tunnel
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4821674A (en) * 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4836138A (en) * 1987-06-18 1989-06-06 Epsilon Technology, Inc. Heating system for reaction chamber of chemical vapor deposition equipment
JPH0245920A (en) * 1988-08-05 1990-02-15 Nec Kyushu Ltd Semiconductor manufacturing device
US5080549A (en) * 1987-05-11 1992-01-14 Epsilon Technology, Inc. Wafer handling system with Bernoulli pick-up
US5156521A (en) * 1987-10-15 1992-10-20 Epsilon Technology, Inc. Method for loading a substrate into a GVD apparatus
US5363872A (en) * 1993-03-16 1994-11-15 Applied Materials, Inc. Low particulate slit valve system and method for controlling same

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3314393A (en) * 1962-07-05 1967-04-18 Nippon Electric Co Vapor deposition device
US3635757A (en) * 1965-07-29 1972-01-18 Monsanto Co Epitaxial deposition method
US3696779A (en) * 1969-12-29 1972-10-10 Kokusai Electric Co Ltd Vapor growth device
BE760041A (en) * 1970-01-02 1971-05-17 Ibm GAS MASS TRANSFER METHOD AND APPARATUS
US3659551A (en) * 1970-08-10 1972-05-02 Anchor Hocking Corp Glass treating tunnel
US3660179A (en) * 1970-08-17 1972-05-02 Westinghouse Electric Corp Gaseous diffusion technique
FR2133498B1 (en) * 1971-04-15 1977-06-03 Labo Electronique Physique
JPS4834798A (en) * 1971-09-06 1973-05-22
US3785853A (en) * 1972-05-24 1974-01-15 Unicorp Inc Continuous deposition reactor
US4048955A (en) * 1975-09-02 1977-09-20 Texas Instruments Incorporated Continuous chemical vapor deposition reactor
US4116733A (en) * 1977-10-06 1978-09-26 Rca Corporation Vapor phase growth technique of III-V compounds utilizing a preheating step
US4438723A (en) * 1981-09-28 1984-03-27 Energy Conversion Devices, Inc. Multiple chamber deposition and isolation system and method
US4438724A (en) * 1982-08-13 1984-03-27 Energy Conversion Devices, Inc. Grooved gas gate
US4450786A (en) * 1982-08-13 1984-05-29 Energy Conversion Devices, Inc. Grooved gas gate
US4537795A (en) * 1982-09-16 1985-08-27 Sovonics Solar Systems Method for introducing sweep gases into a glow discharge deposition apparatus
US4459104A (en) * 1983-06-01 1984-07-10 Quartz Engineering & Materials, Inc. Cantilever diffusion tube apparatus and method
US4480585A (en) * 1983-06-23 1984-11-06 Energy Conversion Devices, Inc. External isolation module
US4500407A (en) * 1983-07-19 1985-02-19 Varian Associates, Inc. Disk or wafer handling and coating system
JPH06105742B2 (en) * 1983-11-28 1994-12-21 株式会社日立製作所 Vacuum processing method and device
US4543059A (en) * 1984-07-18 1985-09-24 Quartz Engineering & Materials, Inc. Slotted cantilever diffusion tube system and method and apparatus for loading
US4682565A (en) * 1984-11-19 1987-07-28 Sfe Technologies Vapor nozzle with gas barrier bars
JPS62206826A (en) * 1986-03-06 1987-09-11 Nippon Texas Instr Kk Thermal treatment equipment for semiconductor
JPS62166624U (en) * 1986-04-14 1987-10-22
EP0246453A3 (en) * 1986-04-18 1989-09-06 General Signal Corporation Novel multiple-processing and contamination-free plasma etching system
US5259883A (en) * 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
JP2714833B2 (en) * 1988-12-18 1998-02-16 日本真空技術株式会社 Loading / unloading room
US5105762A (en) * 1988-12-20 1992-04-21 Texas Instruments Incorporated Support and seal structure for CCVD reactor
US4949669A (en) * 1988-12-20 1990-08-21 Texas Instruments Incorporated Gas flow systems in CCVD reactors
US4950156A (en) * 1989-06-28 1990-08-21 Digital Equipment Corporation Inert gas curtain for a thermal processing furnace
US5064367A (en) * 1989-06-28 1991-11-12 Digital Equipment Corporation Conical gas inlet for thermal processing furnace
JP2975151B2 (en) * 1991-03-28 1999-11-10 キヤノン株式会社 Continuous production equipment for semiconductor devices
KR950006969B1 (en) * 1992-01-06 1995-06-26 삼성전자주식회사 Tube-apparatus for semiconductor manufacture
JPH05218176A (en) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk Heat treatment and transfer of article to be treated
US5374313A (en) * 1992-06-24 1994-12-20 Energy Conversion Devices, Inc. Magnetic roller gas gate employing transonic sweep gas flow to isolate regions of differing gaseous composition or pressure
ATE129361T1 (en) * 1992-08-04 1995-11-15 Ibm PRODUCTION LINE ARCHITECTURE WITH FULLY AUTOMATED AND COMPUTER CONTROLLED CONVEYING EQUIPMENT SUITABLE FOR SEALABLE PORTABLE PRESSURIZED CONTAINERS.
ATE129359T1 (en) * 1992-08-04 1995-11-15 Ibm DISTRIBUTION DEVICE WITH GAS SUPPLY-DELIVERY DEVICE FOR HANDLING AND STORING SEALABLE PORTABLE PRESSURIZED CONTAINERS.
ES2079829T3 (en) * 1992-08-04 1996-01-16 Ibm PRESSURE LINK APPARATUS TO TRANSFER A SEMICONDUCTIVE SLICE BETWEEN A SEALABLE PRESSURE TRANSPORTABLE CONTAINER AND A TREATMENT EQUIPMENT.
ATE152286T1 (en) * 1992-08-04 1997-05-15 Ibm PORTABLE SEALABLE PRESSURIZED CONTAINERS FOR STORING SEMICONDUCTOR WAFERS IN A PROTECTIVE GASY ENVIRONMENT
JPH0697080A (en) * 1992-09-10 1994-04-08 Mitsubishi Electric Corp Reaction chamber for chemical, vapor growth apparatus and chemical vapor growth apparatus using the same
US5370736A (en) * 1992-10-26 1994-12-06 Texas Instruments Incorporated Horizontal reactor hardware design
US5316794A (en) * 1992-12-11 1994-05-31 Applied Materials, Inc. Method for servicing vacuum chamber using non-reactive gas-filled maintenance enclosure

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4821674A (en) * 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US5080549A (en) * 1987-05-11 1992-01-14 Epsilon Technology, Inc. Wafer handling system with Bernoulli pick-up
US4836138A (en) * 1987-06-18 1989-06-06 Epsilon Technology, Inc. Heating system for reaction chamber of chemical vapor deposition equipment
US5156521A (en) * 1987-10-15 1992-10-20 Epsilon Technology, Inc. Method for loading a substrate into a GVD apparatus
JPH0245920A (en) * 1988-08-05 1990-02-15 Nec Kyushu Ltd Semiconductor manufacturing device
US5363872A (en) * 1993-03-16 1994-11-15 Applied Materials, Inc. Low particulate slit valve system and method for controlling same

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 14, no. 205 (E - 0921) 26 April 1990 (1990-04-26) *

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1097251A4 (en) * 1998-07-10 2005-01-12 Asm Inc System and method for reducing particles in epitaxial reactors
EP1097251A1 (en) * 1998-07-10 2001-05-09 ASM America, Inc. System and method for reducing particles in epitaxial reactors
EP1956112A3 (en) * 1998-07-10 2008-10-22 ASM America, Inc. System and method for reducing particles in epitaxial reactors
WO2000003056A1 (en) 1998-07-10 2000-01-20 Asm America, Inc. System and method for reducing particles in epitaxial reactors
EP1160839A3 (en) * 2000-06-01 2004-07-14 Canon Kabushiki Kaisha Exposure apparatus, coating/developing apparatus, method of transferring a substrate, method of producing a device, semiconductor production factory, and method of maintaining an exposure apparatus
EP1160839A2 (en) * 2000-06-01 2001-12-05 Canon Kabushiki Kaisha Exposure apparatus, coating/developing apparatus, method of transferring a substrate, method of producing a device, semiconductor production factory, and method of maintaining an exposure apparatus
EP1569271A1 (en) * 2002-12-03 2005-08-31 Kondoh Industries Ltd. Semiconductor producing device using mini-environment system
EP1569271A4 (en) * 2002-12-03 2009-12-30 Kondoh Ind Ltd Semiconductor producing device using mini-environment system
DE102015223807A1 (en) 2015-12-01 2017-06-01 Siltronic Ag Process for producing a semiconductor wafer with epitaxial layer in a deposition chamber, apparatus for producing an epitaxial-layer semiconductor wafer and semiconductor wafer with epitaxial layer
WO2017093102A1 (en) 2015-12-01 2017-06-08 Siltronic Ag Method for producing a semiconductor wafer having an epitaxial layer in a deposition chamber, apparatus for producing a semiconductor wafer having an epitaxial layer, and semiconductor wafer having an epitaxial layer
US10597795B2 (en) 2015-12-01 2020-03-24 Siltronic Ag Method for producing a semiconductor wafer with epitaxial layer in a deposition chamber, apparatus for producing a semiconductor wafer with epitaxial layer, and semiconductor wafer with epitaxial layer
US11145517B2 (en) * 2018-10-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Gas curtain for semiconductor manufacturing system
CN113265648A (en) * 2021-04-01 2021-08-17 邱海楚 Integral type powder vapor deposition spraying device
CN113265648B (en) * 2021-04-01 2022-09-16 湖南卓荣金属材料科技有限公司 Integral type powder vapor deposition spraying device

Also Published As

Publication number Publication date
US5997588A (en) 1999-12-07
AU7441596A (en) 1997-04-30

Similar Documents

Publication Publication Date Title
US5997588A (en) Semiconductor processing system with gas curtain
JP4300388B2 (en) Substrate cooling apparatus and substrate cooling method
EP0391906B1 (en) Chemical vapor deposition system
US5435682A (en) Chemical vapor desposition system
US5421889A (en) Method and apparatus for inverting samples in a process
US5020475A (en) Substrate handling and transporting apparatus
KR100415475B1 (en) Apparatus for growing thin films onto a substrate
US10011904B2 (en) Atomic layer deposition apparatus and loading methods
EP1025278B1 (en) Vertically-stacked process reactor and cluster tool system for atomic layer deposition
KR101220240B1 (en) Processing system for fabricating compound nitride semiconductor devices
US5562383A (en) Treatment apparatus
US6630053B2 (en) Semiconductor processing module and apparatus
EP0843340B1 (en) Method and apparatus for processing wafers
US7276124B2 (en) Reactor having a movable shutter
US5838121A (en) Dual blade robot
US7655092B2 (en) Tandem process chamber
US5788448A (en) Processing apparatus
US5092728A (en) Substrate loading apparatus for a CVD process
JP2014524151A (en) Multi-chamber CVD processing system
US20060251499A1 (en) Linear substrate delivery system with intermediate carousel
JP2007027791A (en) Processing chamber for atomic layer deposition process
US5156521A (en) Method for loading a substrate into a GVD apparatus
JP3288035B2 (en) Processing gas inlet and exhaust port structure
JP2004225878A (en) Board processing unit
KR20010083714A (en) Thin film deposition apparatus

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GE HU IL IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK TJ TM TR TT UA UG UZ VN AM AZ BY KG KZ MD RU TJ TM

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): KE LS MW SD SZ UG AT BE CH DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

NENP Non-entry into the national phase

Ref country code: JP

Ref document number: 97515243

Format of ref document f/p: F

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: CA