WO1997039607A1 - Dispositif de traitement au plasma - Google Patents

Dispositif de traitement au plasma Download PDF

Info

Publication number
WO1997039607A1
WO1997039607A1 PCT/JP1996/001018 JP9601018W WO9739607A1 WO 1997039607 A1 WO1997039607 A1 WO 1997039607A1 JP 9601018 W JP9601018 W JP 9601018W WO 9739607 A1 WO9739607 A1 WO 9739607A1
Authority
WO
WIPO (PCT)
Prior art keywords
plasma
antenna
chamber
plasma processing
processing apparatus
Prior art date
Application number
PCT/JP1996/001018
Other languages
English (en)
French (fr)
Inventor
Manabu Edamura
Ryoji Nishio
Ken Yoshioka
Saburo Kanai
Original Assignee
Hitachi, Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi, Ltd. filed Critical Hitachi, Ltd.
Priority to US09/155,906 priority Critical patent/US6245202B1/en
Priority to KR10-2001-7013615A priority patent/KR100428428B1/ko
Priority to KR10-2004-7000576A priority patent/KR100471728B1/ko
Priority to PCT/JP1996/001018 priority patent/WO1997039607A1/ja
Publication of WO1997039607A1 publication Critical patent/WO1997039607A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Definitions

  • the present invention relates to a plasma processing apparatus and a plasma processing method used for manufacturing a semiconductor or a substrate used for a liquid crystal display, and particularly to a plasma processing apparatus tt and a high-speed plasma processing apparatus for processing etching and the like. Apply plasma treatment method. Background art
  • the plasma source used in the plasma processing apparatus there are a high-frequency capacitively coupled plasma source, a microwave ECR plasma »and a high-frequency wave induction combined plasma, etc. It is used for each processing process.
  • a plasma processing image equipped with a high-frequency inductively coupled plasma source has rapidly spread in recent years.
  • One example of the inductively coupled plasma processing apparatus is disclosed in Japanese Patent Application Laid-Open No. 2-235332.
  • a loop, coil, or spiral high-frequency antenna which is constrained outside the processing chamber through an insulating material such as quartz, which forms a part of the champer, has a frequency of several hundred kHz.
  • the antenna may dazzle in the chamber in the high-frequency inductively coupled plasma processing apparatus.
  • a helical antenna that is a high-frequency 8-conducting coil is used. It is crimped to a position in the champ facing the semiconductor wafer to be processed.
  • the induction current is generated in the plasma, and the plasma and the ⁇ frequency antenna are inductively coupled in an electric circuit manner (the antenna is a primary coil, and the current in the plasma is 2).
  • the transformer circuit is regarded as the next coil.
  • the wave antenna for A is placed on the atmosphere side through an insulating material such as quartz for the plasma in the processing chamber.
  • an insulating material such as quartz for the plasma in the processing chamber.
  • the insulation must have sufficient strength to withstand the atmospheric pressure, and the material to be treated must be large. Under the current situation where the area is increasing, it is necessary to increase the thickness of the insulating material according to the area of the workpiece.
  • the antenna and the plasma are capacitively coupled in addition to the inductive coupling.
  • the material is frequently cut by the plasma. Therefore, in order to increase reliability sufficiently, it is necessary to thicken the insulating material. * As thicker, as described in the fife statement of Ke 11er et al. In Jounal of Vacuum Science All (5), Sept / Oct 1993, p. The generation efficiency of the plasma is significantly reduced, which has a negative effect on the ignitability and stability of the plasma.
  • the surface of the high-frequency antenna is protected by a material, but in the case of inductively coupled plasma lightning, strong plasma is generally generated in the immediate vicinity of the antenna. In the case of the ft using, the damage of the protective film is extremely large. Since the antenna itself is made of metal, if the protection R is broken, gold ions will be generated, and gold JR contamination will occur on the semiconductor wafer. In addition, the antenna itself needs to be replaced, resulting in a problem that maintenance requires a great deal of time and cost. In addition, a cooling plate is placed behind the antenna, and this plate needs to be insulated from the antenna. With such a structure, it is difficult to make the cooling plate thermally adhere to the antenna. Another drawback is that under low pressure such as in vacuum or plasma processing, heat transfer at the contact surface of the structure is extremely poor, so that the cooling effect of the cooling plate on the antenna cannot be expected much. There is.
  • the object behind the antenna crotched on the opposite side of the object As high as the side, a high density plasma is generated.
  • the plasma behind the antenna is not used effectively for the plasma treatment of the workpiece, which substantially reduces the plasma generation efficiency and exposes the backside chamber walls to strong plasma. Causes a problem.
  • the present invention has been made to solve the above problems and disadvantages of the prior art. That is, the object of the present invention is to control the plasma generation efficiency in the plasma processing apparatus ⁇ in which the high-frequency antenna is imaged on the large side, and to control the plasma generation efficiency in the plasma & processing apparatus installed in the processing chamber.
  • a plasma processing device that can generate stable plasma with high efficiency under wider turning conditions by solving the problem of surface protection and cooling, and the efficiency reduction due to plasma generated behind the antenna * To provide. It is another object of the present invention to provide a plasma processing apparatus that is highly reliable and easy to maintain.
  • the upper SB section is solved by integrating the high-frequency antenna with the chamber inside the processing chamber.
  • the antenna supplied with the power from the power source uses a suitable thickness of insulating material to cover the M with the jumper, and the surface in contact with the plasma to protect it from plasma or reactive gas for plasma processing.
  • an insulating material such as alumina or quartz.
  • the antenna comes into contact with the plasma through the range material.
  • the plasma processing apparatus according to the prior art in which the induction coil is E-lighted to the atmospheric side, is used.
  • the quartz window which is used as an insulating material, it can be made thinner because it does not need to be exposed to atmospheric pressure.
  • the insulating material is too thick to support atmospheric pressure, do not create a gland M on the antenna and surrounding material M, or reduce the pressure in this space. It is desirable to always keep the pressure inside the processing chamber New Actually, the insulating material and the W of the antenna may have a small gap M or a contact surface due to their structure. However, as described in the section of the prior art, under low pressure, heat transfer in this portion is difficult. Bad things can cause the antenna to heat up.
  • the plasma generation efficiency is improved, and stable plasma can be generated under a wider range of operating conditions. Further, even if the insulating material for protecting the antenna is cut down and reduced, only the material needs to be replaced, so that the maintainability is improved as compared with the antenna of the related art. As a result, the plasma processing performance and the operating rate of the device ⁇ are improved, and fine etching processing at high throughput, and commercial R processing and surface treatment can be performed.
  • FIG. 1 is a schematic view of one embodiment of a plasma processing apparatus * according to the present invention, in which a main part is shown in a longitudinal section, FIG. 2 is an exploded perspective view of an antenna part, and FIG. 3 is an enlarged view of the vicinity of the antenna.
  • FIG. 4 is a schematic view of another embodiment of the plasma processing apparatus according to the present invention, and FIG. 4 is a vertical cross-sectional view showing main components.
  • FIGS. FIG. 9 is a schematic diagram of still another embodiment of the plasma processing apparatus ⁇ , showing a main part in a fiber cross section. BEST MODE FOR CARRYING OUT THE INVENTION
  • FIG. 1 shows one embodiment of the plasma processing apparatus of the present invention.
  • the processing chamber 3 is, for example, an empty aluminum container whose surface is anodized and is electrically grounded.
  • Vacuum evacuation means 6 for sucking the gas in the champer is provided below the processing chamber 3, and a JR feeding system for loading and unloading the semiconductor wafer 1, which is an object to be processed, into and out of the chamber on the side. 5 are crotch.
  • an electric plant 2 for mounting the semiconductor wafer 1 is provided in the processing chamber 3.
  • the semiconductor wafer 1 carried into the processing chamber by the transfer system 5 is moved away from the electric plant 2 by the bush rod 13 crotched almost in the center of the contact » It is stuck and stuck by the electrostatic chuck 15 imaged inside the aperture 2 and held in the horizontal and vertical positions.
  • the electrode 2 is formed from a metal material such as aluminum or stainless steel.
  • the electric chuck 16 is formed by forming an inductor material such as alumina mixed with silicon carbide or titanium oxide on an electrode of aluminum, for example, with a thickness of about 1 mm. When a voltage of about several hundred volts is applied to the electrostatic chuck 16, the semiconductor wafer 1 is electrostatically attracted to the »chuck 16.
  • a high-frequency power source with a rain wave number of several hundred KHz to tens of MHz 2 is connected.
  • a coolant flow path 15 through which a coolant that needs to be cooled flows in order to keep the temperature of the wafer being processed heated by the plasma at a constant level is formed inside the electroplant 2.
  • Non-reactive gas such as He
  • He is supplied between the wafer 1 and the «3 ⁇ 4 2 in order to promote the ripening of the contact surface under low pressure.
  • the electrode surface other than the wafer mounting mane surface was made of ⁇ ⁇ material Protected from plasma and reactive gases by sedge pig 17 and cover 18 etc.
  • a high-frequency antenna system which is a special configuration of the present invention, is installed in the upward snow in the chamber facing the wafer.
  • the drip-shaped antenna 9 is sandwiched between insulating materials 25a, 25b, 25c such as alumina ceramics, and is horizontally BB-shaped on the opposite surface of the wafer 1.
  • the central part of the antenna 9 is connected to the current introduction element 30, and the matching element 7 and then the high-frequency power supply 8 are connected to the “current introduction element 30”.
  • the frequency of the 3 ⁇ 4-frequency moat 8 is not particularly limited, but generally ranges from several hundred kHz to several hundred MHz, and it is practical to have a commercial frequency of 13.56 MHz.
  • a groove corresponding to the shape of the antenna for accommodating the antenna 9 is formed on the lower surface of the metal member 25b, and a flow path 26 for flowing the refrigerant is formed on the upper surface.
  • a Faraday shield 28 is provided on the lower surface of the member 25a that sandwiches the antenna 9 therebetween.
  • the Faraday shield 28 is a thin gold JR plate with slits formed radially and is narrowed to the current input terminal 40, and is provided outside the container with the current introduction terminal 40.
  • Switch 39 is connected. The other side of the switch 39 is electrically grounded.
  • the shield 28 is for preventing the antenna 9 and the plasma 4 from being capacitively coupled with each other in a pneumatic circuit, and prevents the insulative cover 29 made of quartz or the like from being scraped off and reduced.
  • Switch 39 is positioned to solve the problem of plasma ignition.
  • a processing gas outlet 31 is formed in the lower surface of the antenna 9 at a position substantially in the center of the zenji cover 29.
  • the processing gas is introduced into the chamber 3 in a shaping manner from the processing gas introduction pipe 10 placed on the side of the chamber through the insulating material 25 a and the M of the force plate 29. It is desirable that the insulating materials 25 a, 25 b, 25 c and the antenna 9 have a completely integrated structure, but it is not possible to increase the processing dimensional accuracy of the alumina ceramics at a low cost, and Because of the difference in heat and ceramics, M of antenna 9 and material 25b has at least a BRR of the order of 0. Inn. As a result, this!
  • rare gases such as He, Ar, and Xe stored in the gas supply means 37 are disposed in the same diagonal as in the case between the planting 2 and the wafer 1. Introduce a non-reactive heat transfer accelerating gas such as elemental S gas into the space between the antenna 9 and the material 25b for a few torr.
  • the thickness of the material 25a is thin enough to withstand the atmospheric pressure. Absent. However, if the thickness is about several n » it can withstand a pressure of about several Torr. However, if the pressure difference between the pressure around the antenna and the pressure of the processing chamber increases due to the release of the chamber to the atmosphere or a sudden problem, the material 25a may be damaged. Therefore, the above pressure is constantly monitored using the pressure needles 33 and 34, and when a predetermined pressure difference occurs, the safety circuit 32 opens the valve 35 and cancels the pressure difference.
  • the supply means 37 for supplying the coolants 27 a and 27 b for the antenna and the non-reactive gas is provided by the cold arrowheads 19 a and 19 b for the electrodes and the non-reactive It is provided separately from the supply means 23 for supplying gas.
  • these supply means may be shared to reduce the cost of the entire processing apparatus.
  • the supply means 23 is in contact with a mass flow meter 20 for adjusting the supply flow i, pulp 21, a pressure needle 22 for detecting the line pressure, and the like.
  • a mass flow meter 38 and a valve 36 are also connected to the gas supply means 37 in the same Didi.
  • Fig. 3 shows an enlarged schematic diagram of the vicinity of the antenna.
  • the heat 45 generated by the antenna 9 is introduced into the antenna 8 part 37 a, is transmitted to the insulating material 25 b by the heat transfer promoting gas 37 filled in the KM, and flows through the refrigerant flow path 26. Transported outside.
  • This open space (between the glands) is formed airtight with respect to the atmosphere and the plasma generation space W.
  • the plasma generation space W is formed by sealing the insulating material 25a with a zero ring.
  • a small amount of the heat transfer promoting gas does not affect the plasma processing if it is in a small amount, so that the gas need not be airtight to the plasma generation space M.
  • a groove is formed on the surface of the metal member 25a and 25b so that the gas can be distributed well.
  • the temperature of the champer is one of the important parameters.
  • the temperature of the surface facing the wafer has a strong influence on the etching process. Therefore, as shown in FIG. 1, the cover member 29 facing the wafer is provided with temperature detecting means 41 for monitoring the surface temperature. The temperature detected by the temperature detecting means 41 is fed back to the pressure of the heat transfer promoting gas and the flow rate of the refrigerant to adjust the temperature of the cover material 29.
  • a liquid such as silicon grease or a viscous material is inserted into the gap M between the antenna 9 and the insulating material 25 b. It is possible to fill the gap or fill the gap with epoxy with high thermal conductivity, but in the field of semiconductor manufacturing, the usable materials are limited.
  • FIG. 4 shows a second embodiment of the present invention.
  • a spiral antenna 9 is formed in a tubular shape, and a cooling fluid is directly passed through the antenna.
  • the cooling efficiency of the antenna can be increased, but, on the other hand, it is necessary to flow a coolant through the antenna to which the frequency power is added, and the affinity due to the occurrence of corrosion is increased. There is a risk of lowering.
  • FIG. 5 shows another embodiment of the present invention.
  • the upper part of the chamber 3 is made of the insulating material 25c, the decrease in the plasma generation efficiency caused by the metal forming the chamber in the chamber described above is eliminated. This makes it possible to make the device S compact without having to worry about the thickness of the upper member of the antenna 9.
  • FIG. 6 shows still another embodiment of the present invention.
  • the antenna 9 is composed of an inner and outer two-system gun, and the system of each antenna is a one-turn coil. High frequency power is supplied to the antenna.
  • a matching circuit 7 for appropriately dividing power for each antenna gun is provided.
  • a matching circuit 7 controls the distribution of the plasma by changing the feed ratio to the inner and outer antenna systems.
  • it is possible to cut ground / non-ground the shield plate 28. It is also possible to connect the shield plate 28 to the high frequency power supply 43 or the DC power supply 44. By applying these electric powers to the shield plate 28, there is an effect that the reaction products adhered to the surface of the cover member 29 can be plasma-cleaned.
  • FIG. 7 shows still another embodiment of the present invention.
  • a coil-shaped antenna 9 is provided on the side surface of the chamber. Therefore, the force bar 29 or the shield 28 is also formed in a cylindrical shape, but has the same effect as when the power bar 29 or the shield 28 is arranged at a position facing the wafer as shown in FIG. However, in order to maintain the symmetry of the gas flow, it is desirable to set the processing gas outlet 31 at a position facing the wafer.
  • FIG. 8 shows still another embodiment of the present invention.
  • the induction-coupled plasma processing apparatus tt will be described.
  • the present invention can be similarly applied to a magnetic wave radiation type plasma processing apparatus tt from an antenna using a high frequency such as a microwave.
  • a microwave power of several hundred MHz to several GHz is supplied from the magnetron 51 to the antenna 9 via the waveguide 53, the same-ring converter 52, and the same line 54.
  • Electromagnetic waves are radiated from the antenna 9, and the magnetic coil 49 provided on the side of the antenna 9 and the auxiliary coil 50 provided below the magnetic field coil form a static magnetic field. Plasma is generated by the interaction between the electromagnetic wave and the magnetic field.
  • the structure near the antenna is almost the same as that of the embodiment shown in FIG. 1, but the Faraday shield 29 is omitted because it is not a rust junction type plasma processing apparatus.
  • the present invention can be applied to any device using an antenna, even if such an inductively coupled plasma is a plasma processing device having a completely different waste.
  • the embodiments of the present invention have been described by taking the plasma etching apparatus * for manufacturing semiconductor devices as an example.
  • the present invention is not limited to the plasma etching apparatus » and the plasma CVD apparatus *, the plasma etching apparatus, Universal use is possible for plasma sputter equipment.
  • the present invention can be applied to all processing of crystal display substrates and surface treatment.
  • the plasma generation method is not limited to the eight-conduction-coupled plasma device, and any type of plasma generation method that emits electromagnetic waves from an antenna can be used for various devices.

Description

明 細 鲁
プラズマ処理装 fi
技術分野
本発明は、 半導体や液晶ディスプレーに使角される基板等の製造に用 いられるプラズマ処理装 «およびプラズマ処理方法に係り、 特にエッチ ングゃ成腴等の処理に好速なプラズマ処理装 ttおよびプラズマ処理方法 に Wする。 背景技術
半導体素子の高集積化ならびに半導体ウェハの大口径化ゃ液晶ディス ブレーの大面穣化に伴い、 半導体索子上にエツチング処理や成 R処理す る処理装傲に求められる要求が、 年々皴しくなつている。 プラズマエツ チング装便、 プラズマ C V D装置およびプラズマアツシング装置といつ たプラズマ処理装 «においてもその状況は同じである。 つまり、 スルー プッ トを向上させるため、 プラズマの高密度化、 被処理物の大面種化へ の対応およぴクリ一ン化等の実現が重要 »題となっている。
上記プラズマ処理装傲に用いられるプラズマ源として、 高周波容量結 合型プラズマ源、 マイクロ波 E C Rプラズマ »およぴ高甩波誘導桔合型 プラズマ ¾等があり、 これらはその特徼を生かして橡々な ½理プロセス ごとに使い分けられている。 この 3つのプラズマ源の中で、 高周波誘導 結合型プラズマ源を備えたプラズマ処理装像が近年急速に普及している。 誘導結合型プラズマ処理装置の一例が特開平 2— 235332号公報に示 されている。 この誘導結合型プラズマ処理装懨においては、 チャ ンパの —部をなす石英などの絶緣材を介して処理チヤンパ外に配恨された、 ループ、 コイルあるいはらせん状の ¾周波アンテナに、 数 100kHzから 数 100MH zの高周波電力を耠«し、 アンテナによ り形成される誘導磁場 が、 処理チャ ンバ内に導入されたプロセスガスにエネルギを供給し、 ブ ラズマを発生および維持している。 なお、 高周波誘導結合型プラズマ処 理装 «においてアンテナをチャンバ内に眩ける場合もあり、 例えば、 特 閱平 7 106095号公報に記載の例では、 高周波 8 導コィルであるらせん 型のアンテナを、 チャンパ内の被処理物である半導体ウェハに対向する 位置に胶釁している。 これらの ¾用波 8導結合型プラズマ ftt理装置では、 プラズマ中に誘導電流が生じ、 プラズマと Λ周波アンテナが電気回路的 に誘導結合している (アンテナを一次コイル、 プラズマ中の電流を 2次 コイルとみなしたトランス回路) ため、 導結合型プラズマ ½理装雷と 呼ばれる。
f 導結合型プラズマ処理装《の利点は、 ( 1 ) 単純なアンテナと ¾周 波電 ¾という简単で安価な構成で、 数《To r rの低圧下で、 101 1 ~ 10 u (佃/ C B 3 ) という比敕的高密度のプラズマを発生できる こ と、 ( 2 ) 被処理物に対向して平面的にコイルを配¾することにより、 大面 積のプラズマを容易に発生させることができること、 (3 ) 処理チャン パ内部が简素であるので、 処理中に被処理物上に飛来する異物を少なく できること、 等にある。 これらの誘導結合型プラズマ «k理装 ¾では、 低 圧力の下で «密度のプラズマが発生し、 イオンの平均自由行程が大き く なる。 これにより、 被処理物に入射するイオンの方向を搶えることがで き、 微細加工に適した ¾ぃ 理速度が得られる。 発明の鬩示
ところで、 上記特鬩平 2 — 235332号公報に ffi載された誘導結合型ブ ラズマ処理装置においては、 処理チャンパ中のプラズマに対して、 A用 波アンテナを石英などの絶緣材を介して大気側に B£*している。 そのた め、 絶緣材には大気圧に耐える十分な ¾度が必要であり、 被処理物が大 面積化している現状では、 被処理物の面積に応じて絶緣材を厚くする必 要がある。
また、 アンテナとプラズマとは、 誘導結合以外に静電容量的にも結合 する。 そして、 艳緣材がプラズマによ り削られることが頻発する。 した がって、 信頼性を十分高めるためには、 絶緣材を厚くする必要がある。 絶緣材カ *厚 く な る と 、 例 え ίί、 Jounal of Vacuum Science All (5) , Sept/Oct 1993, P.2487 誌中の Ke 11 e rらの fife文に記載さ れているよ うに、 プラズマの生成効率が著しく低下し、 プラズマの着火 性、 安定性に恶影響を与える。
—方、 特閱平 7- 106095号公報に開示されたプラズマ処理装 ¾では、 アンテナをチャンバ内に胶置したので、 前述の生成効率の »βはある程 度解決されるが、 以下に述べる新たな不具合を生じる。
高周波アンテナの表面は艳緣材料によ り保謾されているが、 誘導結合 プラズマ装雷においては、 一般にアンテナの直近に強いプラズマが生成 されるため、 特にプラズマエッチング装置のような反応性のガスを用い る装 ftでは、 保護膜のダメージが極めて大きい。 アンテナ自身は金属製 なので、 保護 Rが破損すると金 Λイオンを発生し、 半導体ウェハにおい て金 JR汚染が生じる。 また、 アンテナそのものの交換が必要となり、 メ ンテナンスに多大の時間とコス トを要する、 という不具合を生じる。 さらに、 アンテナの背後には冷却プレー トが股置されており、 このブ レー トを、 アンテナに対して絶縁する必要がある。 このような構造では、 冷却プレー トをアンテナに対して熱的に密着させにくい。 真空中あるい はプラズマ処理中のような低圧力下では、 構造物の接触面での熱伝達は ¾めて悪いので、 冷却プレー トによるアンテナの冷却効果をあま り期待 できない、 という他の不具合がある。
さらに、 被処理物とは反対側に股けたアンテナの背後にも、 被処理物 側と同じよ うに高い密度のプラズマが生成される。 被処理物のプラズマ 処理には、 ァンテナ背後のプラズマは有効に使われないので、実質的な プラズマ生成効率が低下すると と もに、 背後のチャンバ壁が強いブラズ マにさ らされる、 という他の不具合を生じる。
本発明は、 上述の従来技術の »«および不具合を解決するためになさ れたものである。 すなわち本発明の目的は、 高周波アンテナを大^側に 胶像したプラズマ処理装 βにおいては、 プラズマ生成効率の課理、 処理 チヤンバ内に設鬣したプラズマ &理装置においては、 ¾餍波アンテナの 表面保護および冷却の猓题、 アンテナ背後に発生するプラズマによる効 率低下の課题を解決し、 よ り広い遠転条件下で、 安定したプラズマを高 い効率で生成できるプラズマ^理装 *を提供することにある。 また、 « 頼性が ¾く、 メ ンテナンスが容易なプラズマ処理装置を提供することを も 目的とする。
上記目的を達成するための本発明の第 1の態様は、
上 SB課题は、 高周波アンテナを処理チャンバ内節で、チャンバと一体 * 造とすることによ り解決される。 离用波電力を給電されるアンテナは、 チヤンパとの Mを適切な厚みの絶縁材料によって »綠し、 プラズマある いは、 プラズマ処理用の反応性ガスから保護するために、 プラズマに接 する表面をアルミナや石英などの絶緣材料で ¾う。 アンテナは、 範緣材 を介して、 プラズマに接することになるが、 本発明では、 大気圧を処理 チャンバの部分でもたせているので、 従来技術の大気側に誘導コィルを E雷するプラズマ処理装置に用いられる絶緣材の石英窓とは異なり、 こ の艳緣材は、 大気圧に »える必要が無いために、 薄くすることが可能で ある。 絶緣材は、 大気圧に财えない厚さであるために、 アンテナとその 周りの铯緣材の Mには、 腺 Mを作らないようにするか、 あるいは、 この 陳間の部分の圧力を、 常に処理チヤンパ内の圧力に近くすることが望ま しい。 実際は、 絶緣材とアンテナの Wには、 構造上、 微小な隙 Mあるい は接触面が生じることがあるが、 従来技術の項で述べたように、 低圧下 では、 この部分の熱伝達が悪いために、 アンテナが加熱してしまう とい う問題を生じる。 この問 を解決するために、 例えば、 H eガスのよう な非反応性ガスを数 To r r程度、 アンテナの用囲の腺 IWに導入するよう な構造とすることにより、 アンテナの熱を直接あるいは閬接的に冷却さ れる近傍の絶縁材に逃し、 アンテナの加熟の問题は解決されるし、 この 程度の圧力に対しては、 プラズマとアンテナの の艳緣材をそれほど厚 くする必要もない。
本発明のプラズマ処理装 *によれば、 プラズマの生成効率が向上し、 より広い運転条件下で、 安定したプラズマを生成できる。 また、 アンテ ナを保護する絶縁材が削れて減少しても、 艳緣材のみを交換すればよい ので、 従来技術のアンテナに比べてメ ンテナンス性が向上する。 その結 果、 プラズマ処理性能および装 βの稼働率が向上し、 ハイスルーブッ ト での微細なエッチング加工や商品 Κな成 R加工およぴ表面処理が可能に なる。
本発明のさらに他の目的および効果は、 以下の詳細な説明から明らか になるであろう。 図面の筒単な説明
第 1図は本発明に係るプラズマ処理装 *の一実施例の模式図で主要部 を縱断面で示した図、 第 2図はアンテナ部の分解斜視図、 第 3図はアン テナ付近を拡大して示した模式図、 第 4図は本発明に保るプラズマ処理 装置の他の実旌例の模式図で主要 »を縱断面で示した 0、 第 5 Ηないし 第 8図は本発明に係るプラズマ処理装 βのさらに他の実施例の模式図で 主要部を維断面で示した図である。 発明を実施するための最良の形態
第 1図に、 本発明のプラズマ処理装 «の一実 ½例を示す。 処理チャン バ 3は、 例えば表面をアルマイ ト処理したアルミニゥム製の其空容器で あり、 電気的に接地されている。 処理チャンバ 3の下方にはチャンパ内 のガスを吸引するための真空排気手段 6が、 側部には被 ½理物である半 導体ウェハ 1をチヤンパ内に擻入および搬出するための JR送システム 5 が股けられている。 処理チヤンパ 3内には、 半導体ウェハ 1 を載 ¾する ための電植 2が股 ttされている。 搬送システム 5により処理チヤンパ内 に搬入された半導体ウェハ 1 は、 鼋接のほぼ中央 »に股けられたブッ シュロッ ド 1 3により電植 2上に遠ばれた後、 ¾流電濾 2 4に接絞され «¾ 2内に像えられた餘電チャック 1 5で静鼋吸着され、 水平方向位置 および垂直方向位置が保持される。 電 ¾ 2は、 アルミニウムあるいはス テンレス等の金属材料から形成される。 »電チャック 1 6は、 例えばァ ルミニゥムの電極上に、 シリ コンカーバイ ドあるいは ¾化チタニゥムを 配合したアルミナ等の誘 «体材料を 1 mm程度の厚さ形成したものである。 静電チャック 1 6に数 1 0 0 V程度の電圧が印加されると、 半導体ゥェ ハ 1が »鼋チヤック 1 6に静電吸着される。 また、 プラズマ ½理中の半 導体ウェハ 1に入射するイオンのエネルギを制御するために、 電植 2に 整合器 1 1を介して数百 K H zから数十 M H zの雨波数の高周波電源 1 2が接続される。 さらに、 電植 2の内部には、 プラズマにより加熱され る処理中のウェハの温度を一定に保っため冷却要の冷媒が流通する冷媒 流路 1 5が形成されている。
ウェハ 1 と «¾ 2の間には、 低圧力下での接触面の熟伝達を促進させ るために、 H e等の非反応性ガスが數 To r r〜十数 To r r程度、 流路 1 4 を通じて導入される。 ウェハ載鬣面以外の電極表面は、 艳緣材でできた サセブタ 1 7およびカバー 1 8等によ り、 プラズマや反応性ガスから保 護されている。
—方、 ウェハと対向するチャンバ内の上方位雪には、 本発明の特截的 構成である高周波ァンテナシステムが設置されている。 滴卷状のアンテ ナ 9 をアルミナセラミ ッ クス等の絶縁材 2 5 a、 2 5 b、 2 5 cで挟み 込み、 ウェハ 1 の対向面に水平 BB¾している。 アンテナ 9の中央部は電 流導入 «子 3 0 に接統され、 この《流導入 «子 3 0には整合器 7、次い で高周波電源 8が接絞されている。 ¾周波電濠 8の周波数は、特に限定さ れないが、一般的には、数 100kHzから数 100MH zであり、 商用周波数であ る 13. 56 MH zがもつ と も実用的である。 铯緣材 2 5 bの下面には、 アン テナ 9 を収納するためにアンテナの形状に応じた溝が、 上面には冷媒を 流す流路 2 6が形成されている。
アンテナ 9 を挟み込む艳緣材 2 5 aの下面には、 第 2図の斜視分解図 に示したよ う に、 フ ァラデーシール ド 2 8が設置されている。 フ ァ ラ デーシールド 2 8は放射状にスリ ッ トが形成された薄い金 JR板で電流導 入端子 4 0に接絞されており、 この電流導入 «子 4 0 と容器外に設けら れたスィ ッチ 3 9 とが接 «されている。 そして、 スィ ッチ 3 9の一 «側 は電気的に接地されている。 シールド 2 8は、 アンテナ 9 とプラズマ 4 とが «気回路的に容量結合するのを防止する もので、 石英等からなる絶 緣カバー 2 9が削れて減少するのを防止する。 スィ ッチ 3 9は、 ブラズ マの着火の問 を解決するために股置されている。 すなわち、 絶緣カ バー 2 9の削れを防止するためには、 アンテナとプラズマとの容量的な 結合を防止する必要があるが、 反面、 プラズマが最初に着火する時には、 この容量桔合成分が必要である。 したがって、 プラズマが着火する前は、 スィ ツチ 3 9 を切断してシールド板 2 8 をアースから浮かせておき、 ブ ラズマが着火した後はスィ ツチ 3 9 を投入してシールドと して機能させ る。 これによ り、 カバ一材の削れの防止と着火性の両機能を確保できる。 —般的なプラズマエッチング装镢では、 ウェハを保持する電極に高周 波を印加して、 ウェハに負電位のバイアスを生じさせている。 しかしな がら、 このバイァスのウェハ面内分布はしばしば不均一になっている。 この不均一はウェハ 1 に対向した位置にしっかり したアースを胶けるこ とによ り解決できるが、 シールド板 2 8はこの対向アースと しても機能 するので、 ウェハに均一なパイァスをかけることができる。
アンテナ 9の下面を ¾ぅ絶艨カバー 2 9のほぼ中央 ¾には、 処理ガス の吹出し口 3 1が形成されている。 処理ガスは、 チャンバの側面に胶« された処理ガスの導入配管 1 0から絶縁材 2 5 a、 力パー板 2 9の Mを 轾て、 チヤンバ 3内にシャヮー状に導入される。 絶綠材 2 5 a , 2 5 b , 2 5 cとアンテナ 9 とは、 完全に一体構造とすることが望ま しいが、 ァ ルミナセラミ ツクスの加工寸法精度を安価に高精度化できないこと、 金 «とセラミ ックスの熱靡張が異なること等から、 アンテナ 9 と ¾緣材 2 5 b との Mには、 少な く と も 0. Innオーダの BR Rができる。その結果、 この! 近傍での熟伝達が低下し、アンテナ 9で発生した熱は、冷媒流路 2 6 にほとんど逃げない。本実施例では伝熱促進のために、 戴植 2 とゥ ェハ 1 の間の場合と同棣に、ガス供耠手段 3 7に格納された He、 A r、 X e 等の希ガスや S素ガス等の非反応性の伝熱促進ガスを数 T o r r程度、 ァ ンテナ 9および艳緣材 2 5 bの陳間に導入する。
前述したように、プラズマ生成劫率を高めるためには、アンテナ 9 とプ ラズマ 4の距讎を小さ くする必要があり、 そのため、 艳緣材 2 5 aの板 厚は薄く大気圧に耐え得ない。 しかしながら、 数 n»程度の厚さがあれば 数 T o r r程度の圧力には十分耐え得る。 ただし、 チャ ンパを大気開放し たり、突然に トラブルが発生してアンテナ周りの圧力と処理チヤンパの 圧力との圧力差が大き く なると、艳緣材 2 5 aが破損するおそれがある。 そこで、常時上記圧力を圧力針 3 3、 3 4 を用いてモニタ し、 所定の圧 力差が生じた場合に、 安全回路 3 2がバルブ 3 5 を開放し、圧力差を解 消する。本実施例においては、 アンテナ用の冷媒 2 7 a , 2 7 bおよび非 反応性ガスを供耠する供給手段 3 7 を、 電極用の冷鏃 1 9 a , 1 9 bおよ び非反応性ガスを供耠する供給手段 2 3 とは別に胺けている。 しかし、 これらの供給手段を共通化して、 処理装置全体のコス トを低減してもよ い。 なお、 供給手段 2 3には供給流 iを調整するマスフローメータ 2 0 およびパルプ 2 1、 ライ ン圧力を検知する圧力針 2 2等が接接されてい る。 同棣にガス供給手段 3 7にもマスフローメータ 3 8およびバルブ 3 6が接統されている。
第 3図に、 アンテナ付近を拡大した模式図を示す。 アンテナ 9で発生 した熱 4 5 は、 アンテナ 8部に導入され 3 7 a、 K M «に充填された伝 熱促進ガス 3 7 によ り絶緣材 2 5 bに伝わり、 冷媒流路 2 6 を轾て外 邾に運ばれる。 この空開 (腺間) は、大気およびプラズマ発生空 Wに対 して気密に形成されており、 プラズマ発生空 Wは絶縁材 2 5 aを 0リ ン グシールして形成されている。 伝熱促進ガスは、少量ならばプラズマ処 理に対して影響がないので、 必ずしもプラズマ発生空 Mに対して気密で ある必要がない。なお、 図示していないが、艳緣材 2 5 a、 2 5 bにガス がよ く行き渡るよう に、 緣材の表面に溝が形成されている。
伝熱促進ガスを利用することによ り、 ffl次的な効果も生まれる。 例え ばェッチング処理においては、 チャンパの温度が重要なバラメータの一 つであり、 特にウェハに対向する面の温度はエツチング処理に強い影響 を与える。 したがって、 第 1図に示すよ うにウェハに対向するカバー材 2 9 には表面温度をモニタする温度検出手段 4 1が設けられている。 温 度検出手段 4 1 によ り検出された温度を、 伝熱促進ガスの圧力と冷媒の 流量にフィ一ドバック してカバー材 2 9の温度を調節する。 なお、数 T o r r程度の非反応性ガスをァンテナ 9の周りに導入する代わ り に、 例えば、シリ コングリ ース等の液体、 あるいは粘性体をアンテナ 9 と絶緣材 2 5 b との Mの隙問に充填したり、高熱伝導性のエポキシ等 で隙間を埋めてもよいが、 半導体製造の分野では使用できる材質は制眼 される。
本発明の第 2の実施例を第 4図に示す。 この実施例においては、 渦卷 状のアンテナ 9 を管状に形成し、その中に直接冷却用の流体を流してい る。 このよ う に構成することによ り、 アンテナの冷却効率を高めるこ とができるが、反面、 «周波電力が付加されるアンテナ内に冷媒を流す 必要があり、腐蝕の発生による侰親性の低下のおそれがある。
ところで、 第 1図に示した実施例においては、 アンテナ 9の上に股け た絶錄材 2 5 b、 2 5 cの厚さを適切に股定する必要がある。ァンテナ 9によ り形成された変動磁 *範囲に金属製の処理チャンパ壁が存在する *合、 プラズマ中の電子がアンテナによる誘導効果によ り Λ濁波電源か らェネルギを供給されて加熱される。 しかしながら、 チャンパの金属に も誘導《流が発生して電力が消费されるので、 生成効率が低下する。 なお、 上紀特開平 7 - 106095号公報に開示されたプラズマ ½理装 «で は、 アンテナの背後にも プラズマが発生するので、アンテナと背後の チャンバとの Mの距雜を気にする必要はない。しかし、 アンテナ背後に 発生するプラズマは、 被処理物の処理に有効に使われない可能性が高く、 無駄なプラズマの生成によ り、実質的なプラズマ生成効率が低く なる。 本発明者らの実験によれば、少なく と も、 アンテナとプラズマの閲の距 離よ り も、アンテナとチヤ ンバの間の距雄が大きいことが必要であり、 できれば 2倍以上の距離があることが望ま しい。つま り、 アンテナ 9の 下面からカバー 2 9の下面までの K離が、アンテナ 9の上面からチャ ン バ 3の上部内面までの距離の 2倍以上あることが望ましい。 第 5図に、 本発明の他の実施例を示す。 本実施例においては、 チャン バ 3の上部を絶緣材 2 5 cで構成したので、前述したチャンバを椿成す る金属に起因するプラズマの生成効率の低下を解消している。これによ り、 アンテナ 9の上部の艳錄材の厚さを気にする必要がなく、装 Sをコ ンパク トにできる。
第 6図に、 本発明のさ らに他の実施例を示す。本実施例においては、 アンテナ 9は内側と外側の 2系銃から構成されており、 各アンテナの系 铳はワンターンのコイルである。 アンテナには高周波電力が給電される。 それぞれのアンテナ系銃に対して適切に電力を分 できる整合回路 7が 備えられている。 整合回路 7が、 内側と外側のアンテナ系統への給電割 合を変え、 プラズマの分布を制御する。 さらに、 本実施例においては、 シール ド板 2 8 に対して接地/非接地の切り瞽えが可能である。 また、 シール ド板 2 8 と «周波電源 4 3あるいは直流電源 4 4 との接続も可能 である。 これらの電力をシールド板 2 8に印加することによ り、 カバ一 材 2 9の表面に付着する反応生成物をプラズマクリーニングできる効果 がある。
第 7図に本発明のさらに他の実施例を示す。 本実施例では、コイル形 状のァ ンテナ 9がチヤ ンバの側面に ¾されている。したがって、 力 バー 2 9あるいはシールド 2 8等も筒型に形成されているが、 第 1 図の よう にウェハの対向位置に配 *した場合と同搛の効果がある。 ただし、 ガス流れの対称性を保持するため、 処理ガスの吹出し口 3 1 を、 ウェハ と対向する位置に股けることが望ま しい。
第 8図に、 本発明のさ らに他の実施锊を示す。本実施例においては、 誘導桔合型プラズマ処理装 ttについて説明するが、マイ クロ波等の高い 周波数を利用したァンテナからの «磁波放射型のプラズマ処理装 ttにも 同様に適用できる。 第 8図において、 マグネトロン 5 1から導波管 5 3、 同輪変換器 5 2 および同轴線路 5 4 を経てアンテナ 9に数百 M H zから数 G H zの ¾周 波電力が供給される。アンテナ 9からは電磁波が放射され、 アンテナ 9 の側方に設けた磁 *コィル 4 9およびこの磁場コイルの下方に胺けた補 助コイル 5 0とが静磁場を形成する。 上記電磁波とこの餘磁場との相互 作用によりプラズマが生成される。 アンテナ近傍の構造は第 1図に示し た実施例とほぼ同棣であるが、銹導接合型のプラズマ処理装 ¾ではない ので、ファラデーシールド 2 9を省いている。このよ うな、 誘導結合ブラ ズマとは、 全く異なる屎理のプラズマ処理装儺であっても、 アンテナを 用いる装置であれば全て本発明を適用できる。
以上、 本発明の実施例を半導体デバイス製造用のプラズマエツチング 装 *を例にとり示したが、 本発明は、プラズマエツチング装 »に限定さ れるものではなく、プラズマ C V D装 *、プラズマアツシング装置、 ブラ ズマスパッタ装霣などに遍用が可能である。 また、 半導体デバイスの处 理のみならず、 浓晶デイスブレイ基板の処理や表面処理全艘に邃用が可 能である。さらに、 プラズマ発生方式も 8導結合型プラズマ装置に限ら ず、 ァンテナから電磁波を放射するタイブのプラズマ発生方法であれば、 様々な装 ¾に邃用可能である。
なお、 本明細書に記載した好ましい実旅例は例示的なものであり ¾定 的なものではない。 発明の範囲は添付のクレームによって示されており、 それらのク レームの意味の中に入るすべての変形例は本発明に含まれる ものである。

Claims

請求の範囲
1 .気密に形成された処理チヤ ンバと、 この処理チヤ ンパに取り付けら れ処理用のガスを導入するガス導入手段と、 前記処理チヤンバ内に導入 された処理ガスを排気する排気手段と、 前記処理チヤ ンパ内に設けられ 被処理物を載 ttする載懂手段と、 プラズマ発生の電力を供給する電力供 給手段とを僮えたプラズマ処理装傲において、
前記 «力供給手段にプラズマ発生用のアンテナを接続し、 このアンテ ナを前記処理チャ ンバ内に配 *したことを特«とするプラズマ処理装置。
2 . 前記処理チャンバと前記アンテナ間に、 少なく と もアンテナとブラ ズマ発生位雷との間の距雄よ り も大きい厚さを有する絶緣材料を股けた ことを特徵とする請求項 1 IB載のプラズマ «I理装 «。
3 . 前記アンテナを、 前記載 tt手段の上面に対向して配 βしたことを特 徼とする請求項 1記載のプラズマ処理装置。
4 . 前記処理チャ ンバの前 IBアンテナを囲む部分を絶緣材料で形成した ことを特徴とする謂求項 1記載のプラズマ処理装置。
5 . 前記アンテナは少なく と も 2系铳胶けられ、 その各々が前配電力供 袷手段に接統されていることを特後とする請求項 1記載のプラズマ処理 装 11。
6 .前記アンテナとプラズマ発生空 raの wに、 導電性の材科からなる シールド板を殷置したこと を特徼とする請求項 1記載のプラズマ処理装 懂。
7 .前記シール ド板の接地と、 非接地とを切り換える切り換え手段を股 けたことを特藪とする請求項 6記載のプラズマ処理装 11。
8 .前記シールド板を ¾周波電源に接綾したことを特徼とする請求項 6 IS載のプラズマ処理装 ¾。
9 .前記シール ド板を ¾流«源に接続したことを特徽とする請求項 6記 載のプラズマ処理装雷。
1 0 .前記チャ ンバ内の前記アンテナと、 このアンテナの周囲に胶けた 艳縁材料とで区画される空間に、 少なく とも大気圧より も低い圧力の非 反応性ガスを導入する手段を股けたことを特後とする請求項 1記載のブ ラズマ処理装置。
1 1 .前記アンテナを覆う絶縁材料と、 この絶緣材料と前記アンテナと で区画された空 Mの圧力を検出する第 1の検出手段と、 この空 IWに開閉 自在な制御手段を有する速通路を介して接統された処理チヤンバ内の圧 力を検出する第 2の検出手段とを眩け、 この第 1および » 2の検出手设 の検出した前 IS 2つの圧力の圧力差が所定值以上のときに、 前 ¾通路 を開閉する制御手段を開にする手段を股けたことを特徵とする請求項 1 記載のプラズマ処理装泄。
1 2 .前記被処理物の温度を検出する 度検出手段と、 この温度検出手 段が検出した 度に基づいて前 ffi被処理物の温度を ϋ節する温度謂節手 段と、 前記アンテナを温度爾節する冷鏃供給手段とを股け、 前記 a度赒 節手段と前記冷媒供給手段とを共通にしたことを特徽とする請求項 1記 載のプラズマ処理装 ¾。
1 3 .前 E被処理物と前記載置台の間に非反応性ガスを供給する供給手 段を股け、 この供耠手段は前 1£アンテナ近傍に伝熱促進用の非反応性ガ スを供給するものであることを特 ftとする請求項 6 IB載のプラズマ処理 装置。
1 4 .前記アンテナの近傍に配鬣された部材に、 この部材の温度を検出 する温度検出手段を、 非反応性ガスを前記アンテナ近傍に供給する非反 応性ガス供耠手段をそれぞれ股けると共に、 この非反応性ガス供給手段 に非反応性ガスの圧力を制御する圧力制御手段を股け、 非反応性ガスの 圧力および前記温度検出手段の検出した部材の温度に基づき、 前記アン テナ近傍の節材の温度を制御する制御手段を股けたことを特 ftとする猜 求項 6記載のプラズマ処理装置。
1 5 .前記アンテナにはマイクロ波が前記電力供給手段によ り印加され ており、 このマイク口波の两波数が 4 0 0 kH zないし 1 0 0 Mh z出ある ことを特徽とする請求項 1に《Β載のプラズマ処理装 *。
1 6 .気密に形成された処理チヤンバ内に胶けられた載 ¾手段に載 *さ れた被処理物をこのチヤンバ内に胶けられたプラズマ発生手段が発生し たプラズマを用いてプラズマ処理するプラズマ ½理方法において、 前記処理チャンバに一体的に股けられたアンテナとプラズマが発生す る空間との Kに股けた導電性のシールド板を初めに非接地にし、 ブラズ マが点火した後に前 Kシールド板を接地に切り換えることを特«とする プラズマ処理方法。
1 7 . 半導体ウェハ面上に »されたプラズマ処理面を有する半導体デバ イスであって、 気密に形成された処理チヤン 内にこのチヤンパと一体 的に形成され、 プラズマ発生用の《力を供給する電力供給手段に接絞さ れたアンテナと、 このアンテナに対向して前 チヤンバ内に胶けられた 載雪台に载 11された前記半導体ウェハとの Mに生成されたプラズマによ り、—前記半導体ウェハ面上にプラズマ処理面を形成したことをことを特 接とする半導体デバイス。
1 8 .気密に形成された処理チヤンパ内に股けられた載置手段に載置さ れ、 このチヤンパ内に股けられたプラズマ発生手 Sが発生したプラズマ を用いてプラズマ処理面が形成される半導体デバイスのプラズマ処理方 法において、
前記処理チャンバに一体的に胶けられたアンテナとプラズマが発生す る空 Mとの間に胶けた導電性のシールド板を初めに非接地にし、 ブラズ マが点火した後に前記シールド板を接地に切り挑えることを特徽とする 半導体デバイスのプラズマ処理方法。
1 9 . 半導体ウェハ面上に施されたプラズマ処理面を有する液晶ディス ブレイ基板であって、 気密に形成された処理チヤンパ内にこのチャンパ と一体的に形成され、 プラズマ発生用の電力を供耠する電力供給手段に 接耪されたアンテナと、 このアンテナに対向して前記チャンバ内に股け られた載像合に載鬣された前 半導体ウェハとの Mに生成されたブラズ マにより、 前記半導体ウェハ面上にプラズマ処理面を形成したことをこ とを特微とする液 fiディスブレイ基板。
2 0 .気密に形成された処理チャンパ内に肤けられた載 ¾手段に载¾さ れ、 このチャンバ内に股けられたプラズマ発生手段が発生したプラズマ を用いてプラズマ処理面が形成される液晶ディスブレイ基板のプラズマ 処理方法において、
前記処理チヤンバに一体的に Kけられたアンテナとプラズマが発生す る空間との IWに胶けた導雪性のシールド: Rを初めに非接地にし、 ブラズ マが点火した後に前記シールド板を接地に切り換えることを特徽とする 液晶ディスブレイ基板のプラズマ ½理方法。
2 1 .気密に形成された 理チヤンパ内に股けられた載置手段に載 ¾さ れた被処理物をこのチヤンパ内に胶けられたプラズマ発生手段が発生し たプラズマを用いてプラズマ処理するプラズマ処理方法において、 前記処理チャンバを其空引きした後、 このチャンパに一体的に胶けら れたアンテナを用いてプラズマを発生させることを特«とするプラズマ 処理方法。
2 2 .前 Kアンテナはチャンバ壁面に埋め込んで形成されたものである ことを特徼とする請求項 2 1に ffi載のプラズマ処理方法。
PCT/JP1996/001018 1996-04-12 1996-04-12 Dispositif de traitement au plasma WO1997039607A1 (fr)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US09/155,906 US6245202B1 (en) 1996-04-12 1996-04-12 Plasma treatment device
KR10-2001-7013615A KR100428428B1 (ko) 1996-04-12 1996-04-12 플라즈마 처리장치
KR10-2004-7000576A KR100471728B1 (ko) 1996-04-12 1996-04-12 플라즈마 처리장치
PCT/JP1996/001018 WO1997039607A1 (fr) 1996-04-12 1996-04-12 Dispositif de traitement au plasma

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP1996/001018 WO1997039607A1 (fr) 1996-04-12 1996-04-12 Dispositif de traitement au plasma

Publications (1)

Publication Number Publication Date
WO1997039607A1 true WO1997039607A1 (fr) 1997-10-23

Family

ID=14153198

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP1996/001018 WO1997039607A1 (fr) 1996-04-12 1996-04-12 Dispositif de traitement au plasma

Country Status (3)

Country Link
US (1) US6245202B1 (ja)
KR (2) KR100471728B1 (ja)
WO (1) WO1997039607A1 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002500413A (ja) * 1997-12-31 2002-01-08 ラム リサーチ コーポレーション 電力供給された非磁性金属部材をプラズマac励起源とプラズマの間に含むプラズマ装置
WO2002019364A2 (en) * 2000-08-30 2002-03-07 Tokyo Electron Limited Inductively coupled plasma using an internal inductive element
US6511577B1 (en) * 1998-04-13 2003-01-28 Tokyo Electron Limited Reduced impedance chamber
JP2004500703A (ja) * 1999-07-12 2004-01-08 アプライド マテリアルズ インコーポレイテッド アンテナと誘電体ウインドとの間にシールド電極が置かれた誘導結合型プラスマプロセスチャンバ
US7163603B2 (en) * 2002-06-24 2007-01-16 Tokyo Electron Limited Plasma source assembly and method of manufacture
JP2008503868A (ja) * 2004-06-22 2008-02-07 東京エレクトロン株式会社 金属プラズマによるプラズマ処理のための内部アンテナ
JP2009129817A (ja) * 2007-11-27 2009-06-11 Shimadzu Corp イオンビーム処理装置

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
JP4332263B2 (ja) * 1998-10-07 2009-09-16 エルジー ディスプレイ カンパニー リミテッド 薄膜トランジスタの製造方法
US6573190B1 (en) * 1998-11-26 2003-06-03 Hitachi, Ltd. Dry etching device and dry etching method
US6523493B1 (en) * 2000-08-01 2003-02-25 Tokyo Electron Limited Ring-shaped high-density plasma source and method
JP3379506B2 (ja) * 2000-02-23 2003-02-24 松下電器産業株式会社 プラズマ処理方法及び装置
US6422173B1 (en) * 2000-06-30 2002-07-23 Lam Research Corporation Apparatus and methods for actively controlling RF peak-to-peak voltage in an inductively coupled plasma etching system
US6531030B1 (en) * 2000-03-31 2003-03-11 Lam Research Corp. Inductively coupled plasma etching apparatus
US6592710B1 (en) * 2001-04-12 2003-07-15 Lam Research Corporation Apparatus for controlling the voltage applied to an electrostatic shield used in a plasma generator
US6685798B1 (en) * 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6830653B2 (en) * 2000-10-03 2004-12-14 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US7591957B2 (en) * 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
JP4657473B2 (ja) * 2001-03-06 2011-03-23 東京エレクトロン株式会社 プラズマ処理装置
US6660177B2 (en) * 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
TWI241868B (en) * 2002-02-06 2005-10-11 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
US20080190558A1 (en) * 2002-04-26 2008-08-14 Accretech Usa, Inc. Wafer processing apparatus and method
US20080011332A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Method and apparatus for cleaning a wafer substrate
US20080017316A1 (en) * 2002-04-26 2008-01-24 Accretech Usa, Inc. Clean ignition system for wafer substrate processing
US7371992B2 (en) 2003-03-07 2008-05-13 Rapt Industries, Inc. Method for non-contact cleaning of a surface
US7304263B2 (en) * 2003-08-14 2007-12-04 Rapt Industries, Inc. Systems and methods utilizing an aperture with a reactive atom plasma torch
US7297892B2 (en) * 2003-08-14 2007-11-20 Rapt Industries, Inc. Systems and methods for laser-assisted plasma processing
US7129731B2 (en) * 2003-09-02 2006-10-31 Thermal Corp. Heat pipe with chilled liquid condenser system for burn-in testing
US7013956B2 (en) * 2003-09-02 2006-03-21 Thermal Corp. Heat pipe evaporator with porous valve
US20050067146A1 (en) * 2003-09-02 2005-03-31 Thayer John Gilbert Two phase cooling system method for burn-in testing
US20050067147A1 (en) * 2003-09-02 2005-03-31 Thayer John Gilbert Loop thermosyphon for cooling semiconductors during burn-in testing
US8017062B2 (en) * 2004-08-24 2011-09-13 Yeshwanth Narendar Semiconductor processing components and semiconductor processing utilizing same
KR100661744B1 (ko) * 2004-12-23 2006-12-27 주식회사 에이디피엔지니어링 플라즈마 처리장치
KR100661740B1 (ko) * 2004-12-23 2006-12-28 주식회사 에이디피엔지니어링 플라즈마 처리장치
KR100697557B1 (ko) * 2005-02-24 2007-03-21 주식회사 에이디피엔지니어링 플라즈마 처리장치 및 온도조절판 제조방법
KR100864111B1 (ko) * 2006-05-22 2008-10-16 최대규 유도 결합 플라즈마 반응기
KR100907438B1 (ko) * 2007-01-15 2009-07-14 (주)제이하라 플라즈마 발생장치
KR101281191B1 (ko) * 2007-01-24 2013-07-02 최대규 유도 결합 플라즈마 반응기
KR101118492B1 (ko) * 2007-02-16 2012-03-12 램 리써치 코포레이션 유도 코일, 플라즈마 발생 장치 및 플라즈마 발생 방법
JP4887202B2 (ja) * 2007-04-17 2012-02-29 東京エレクトロン株式会社 プラズマ処理装置及び高周波電流の短絡回路
KR100884334B1 (ko) * 2007-07-31 2009-02-18 세메스 주식회사 기판 처리 장치 및 방법
EP2053631A1 (fr) * 2007-10-22 2009-04-29 Industrial Plasma Services & Technologies - IPST GmbH Procédé et dispositif pour le traitement par plasma de substrats au défilé
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
US8917022B2 (en) * 2008-05-22 2014-12-23 Emd Corporation Plasma generation device and plasma processing device
JP4621287B2 (ja) * 2009-03-11 2011-01-26 株式会社イー・エム・ディー プラズマ処理装置
JP5400434B2 (ja) * 2009-03-11 2014-01-29 株式会社イー・エム・ディー プラズマ処理装置
WO2012032596A1 (ja) * 2010-09-06 2012-03-15 株式会社イー・エム・ディー プラズマ処理装置
CN103202105B (zh) * 2010-09-10 2015-11-25 Emd株式会社 等离子处理装置
US9398680B2 (en) * 2010-12-03 2016-07-19 Lam Research Corporation Immersible plasma coil assembly and method for operating the same
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20130220975A1 (en) * 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
KR101408643B1 (ko) * 2012-03-26 2014-06-17 주식회사 테스 플라즈마 처리장치
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
KR20150067382A (ko) * 2012-10-23 2015-06-17 신크론 컴퍼니 리미티드 박막 형성장치, 스퍼터링 캐소드 및 박막 형성방법
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) * 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9885493B2 (en) * 2013-07-17 2018-02-06 Lam Research Corporation Air cooled faraday shield and methods for using the same
US20150318150A1 (en) * 2014-04-30 2015-11-05 Lam Research Corporation Real-time edge encroachment control for wafer bevel
KR20160012740A (ko) 2014-07-25 2016-02-03 엘아이지인베니아 주식회사 플라즈마 발생모듈 및 이를 포함하는 플라즈마 처리장치
KR20160066872A (ko) 2014-12-03 2016-06-13 인베니아 주식회사 플라즈마 처리장치용 안테나 어셈블리 및 이를 포함하는 플라즈마 처리장치
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
JP6839624B2 (ja) * 2017-07-19 2021-03-10 東京エレクトロン株式会社 被処理体の処理装置、及び、処理装置の検査方法
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US11094508B2 (en) * 2018-12-14 2021-08-17 Applied Materials, Inc. Film stress control for plasma enhanced chemical vapor deposition
KR102189337B1 (ko) * 2019-07-17 2020-12-09 주식회사 유진테크 플라즈마 처리 장치

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06224155A (ja) * 1993-01-27 1994-08-12 Nec Corp Rf・ecrプラズマエッチング装置
JPH07106096A (ja) * 1993-10-04 1995-04-21 Tokyo Electron Ltd プラズマ処理装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0660414B2 (ja) * 1989-09-27 1994-08-10 株式会社芦田 Ecrプラズマcvd装置
JPH065555A (ja) * 1992-06-22 1994-01-14 Tokyo Electron Ltd プラズマ装置
US5433812A (en) * 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
JPH06236856A (ja) * 1993-02-09 1994-08-23 Hitachi Ltd プラズマ処理装置
JPH06275397A (ja) * 1993-03-20 1994-09-30 Tokyo Electron Ltd プラズマ発生方法及び装置並びにプラズマ処理装置
TW273067B (ja) * 1993-10-04 1996-03-21 Tokyo Electron Co Ltd
JP3337288B2 (ja) * 1993-10-20 2002-10-21 東京エレクトロン株式会社 プラズマ処理装置
JP3045443B2 (ja) * 1993-10-20 2000-05-29 東京エレクトロン株式会社 プラズマ処理装置
US5580385A (en) * 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
JPH0850996A (ja) * 1994-08-05 1996-02-20 Aneruba Kk プラズマ処理装置
KR100290813B1 (ko) * 1995-08-17 2001-06-01 히가시 데쓰로 플라스마 처리장치

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06224155A (ja) * 1993-01-27 1994-08-12 Nec Corp Rf・ecrプラズマエッチング装置
JPH07106096A (ja) * 1993-10-04 1995-04-21 Tokyo Electron Ltd プラズマ処理装置

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002500413A (ja) * 1997-12-31 2002-01-08 ラム リサーチ コーポレーション 電力供給された非磁性金属部材をプラズマac励起源とプラズマの間に含むプラズマ装置
JP4709376B2 (ja) * 1997-12-31 2011-06-22 ラム リサーチ コーポレーション 電力供給された非磁性金属部材をプラズマ高周波励起源とプラズマの間に含むプラズマ装置及び加工物を処理する方法
US6511577B1 (en) * 1998-04-13 2003-01-28 Tokyo Electron Limited Reduced impedance chamber
JP2004500703A (ja) * 1999-07-12 2004-01-08 アプライド マテリアルズ インコーポレイテッド アンテナと誘電体ウインドとの間にシールド電極が置かれた誘導結合型プラスマプロセスチャンバ
WO2002019364A2 (en) * 2000-08-30 2002-03-07 Tokyo Electron Limited Inductively coupled plasma using an internal inductive element
WO2002019364A3 (en) * 2000-08-30 2002-09-12 Tokyo Electron Ltd Inductively coupled plasma using an internal inductive element
US6494998B1 (en) 2000-08-30 2002-12-17 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
US7163603B2 (en) * 2002-06-24 2007-01-16 Tokyo Electron Limited Plasma source assembly and method of manufacture
JP2008503868A (ja) * 2004-06-22 2008-02-07 東京エレクトロン株式会社 金属プラズマによるプラズマ処理のための内部アンテナ
JP2009129817A (ja) * 2007-11-27 2009-06-11 Shimadzu Corp イオンビーム処理装置

Also Published As

Publication number Publication date
KR100428428B1 (ko) 2004-04-28
KR100471728B1 (ko) 2005-03-14
KR20020009597A (ko) 2002-02-01
KR20040011600A (ko) 2004-02-05
US6245202B1 (en) 2001-06-12

Similar Documents

Publication Publication Date Title
WO1997039607A1 (fr) Dispositif de traitement au plasma
US6363882B1 (en) Lower electrode design for higher uniformity
EP1076911B1 (en) Method and apparatus for ionized physical vapor deposition
US6171438B1 (en) Plasma processing apparatus and plasma processing method
US6320320B1 (en) Method and apparatus for producing uniform process rates
US6214162B1 (en) Plasma processing apparatus
JP3906203B2 (ja) 誘導結合プラズマ処理装置
US6727654B2 (en) Plasma processing apparatus
US5556475A (en) Microwave plasma reactor
US6518705B2 (en) Method and apparatus for producing uniform process rates
US9078336B2 (en) Radio-frequency antenna unit and plasma processing apparatus
KR102218686B1 (ko) 플라스마 처리 장치
US20080180030A1 (en) Plasma processing apparatus
EP0841838A1 (en) Plasma treatment apparatus and plasma treatment method
JP4193255B2 (ja) プラズマ処理装置及びプラズマ処理方法
US20040163595A1 (en) Plasma processing apparatus
JP3050732B2 (ja) プラズマ処理装置
JP2002184756A (ja) プラズマ処理装置
KR20000005308A (ko) 플라즈마 처리장치
JP2000164563A (ja) プラズマ処理装置
US20150156856A1 (en) Heat treatment apparatus
JP2004241592A (ja) プラズマ処理装置
IL159935A (en) Method and apparatus for producing uniform process rates
KR19990012237A (ko) 반도체 제조공정의 swp장치

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): CN JP KR US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): DE FR GB

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 09155906

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 1019980708020

Country of ref document: KR

122 Ep: pct application non-entry in european phase
WWP Wipo information: published in national office

Ref document number: 1019980708020

Country of ref document: KR

WWR Wipo information: refused in national office

Ref document number: 1019980708020

Country of ref document: KR