WO1997047036A1 - An integrated circuit which uses a damascene process for producing staggered interconnect lines - Google Patents

An integrated circuit which uses a damascene process for producing staggered interconnect lines Download PDF

Info

Publication number
WO1997047036A1
WO1997047036A1 PCT/US1997/002513 US9702513W WO9747036A1 WO 1997047036 A1 WO1997047036 A1 WO 1997047036A1 US 9702513 W US9702513 W US 9702513W WO 9747036 A1 WO9747036 A1 WO 9747036A1
Authority
WO
WIPO (PCT)
Prior art keywords
conductor
conductors
dielectric
pair
contact
Prior art date
Application number
PCT/US1997/002513
Other languages
French (fr)
Inventor
Basab Bandyopadhyay
H. Jim Fulford, Jr.
Robert Dawson
Fred N. Hause
Mark W. Michael
William S. Brennan
Original Assignee
Advanced Micro Devices, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices, Inc. filed Critical Advanced Micro Devices, Inc.
Publication of WO1997047036A1 publication Critical patent/WO1997047036A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • This invention relates to semiconductor fabrication and more particularly to an integrated circuit which employs staggered interconnects formed using a damascene process
  • the interconnect lines are produced such that one interconnect line is configured in a plane dissimilar from, and laterally spaced between, a pair of interconnect lines
  • By staggering the interconnect lines a higher density interconnect structure can be produced with minimal electric field coupling between the interconnect lines
  • An integrated circuit includes numerous conductors extending across the topography of a monolithic substrate
  • a set of interconnect lines (or conductors) which serve to electrically connect two or more components within a system is generally referred to as a "bus"
  • a collection of voltage levels are forwarded across the conductors to allow proper operation of the components
  • a microprocessor is connected to memories and input/output devices by certain bus structures
  • busses There are numerous types of busses which are classified according to their operation Examples of well-known types of busses include address busses, data busses and control busses
  • Conductors within a bus generally extend partially parallel to each other across the semiconductor topography
  • the conductors are isolated from each other and from underlying conductive elements by a dielectric, a suitable dielectric being, for example, silicon dioxide ("oxide")
  • a suitable dielectric being, for example, silicon dioxide ("oxide")
  • Conductors are thereby lithography patterned across the semiconductor topography, wherein the topography comprises a substrate with a dielectric placed thereon
  • the topography can also include one or more layers of conductors which are covered by a dielectric material
  • the layers of conductors overlaid with a dielectric present a topography upon which a subsequent layer of conductors can be patterned
  • Conductors are made from an electrically conductive material, a suitable material includes Al, Ti, Ta, W, Mo, polysihcon, or a combination thereof
  • a suitable material includes Al, Ti, Ta, W, Mo, polysihcon, or a combination thereof
  • Substrate includes any type of material which can retain dopant ions and the isolated conductivity regions brought about by those ions
  • substrate is a silicon-based material which receives p-type or n-type ions
  • interconnect lines are fashioned upon the topography and dielectically spaced above an underlying conductor or substrate by a dielectric thickness T dI Each conductor is dielectically spaced from other conductors within the same level of conductors (I e , substantially coplanar conductors) by a distance T d2 Accordingly, capacitance between vertically spaced conductors, or mtralevel capacitance C LS is determined as follows
  • capacitance between horizontally spaced, substantially coplanar conductors, or interlevel capacitance L is determined as follows
  • equations 1 and 3 indicate as follows the propagation delay or coupling of a conductor to an adjacent conductor
  • Propagation delay is an important characteristic of an integrated circuit since it limits the speed (frequency) at which the circuit or circuits can operate The shorter the propagation delay, the higher the speed of the circuit or circuits It is therefore important that propagation delay and/or capacitive coupling be minimized as much as possible given the geometric constraints of the semiconductor topography
  • Propagation delay is shown to be a function of both capacitance C l s as well as capacitance C L
  • C LS can be minimized by placing the conductor on one plane substantially perpendicular to conductors on another plane The cross-over points are therefore minimal with respect to one another
  • the inter-level capacitance C S IS made minimal Conductors on the same level, however, must not cross over one another if shorting is to be avoided
  • the spacing between conductors on the same level decreases This provides concerns with respect to mtra-level capacitance C L L A challenge thereby exists to reduce die size yet maintain C L as low as possible
  • the interconnect structure includes staggered interconnect lines formed in areas where interconnect lines are densely spaced.
  • the interconnect lines are staggered by forming one interconnect line in a plane dissimilar from and laterally spaced between a pair of interconnect lines.
  • the interconnect line formed between and in a plane dissimilar from a pair of interconnect lines is referred to as the intermediate interconnect line.
  • the intermediate interconnect line is either in a plane above or below the pair of interconnect lines.
  • the multilevel interconnect structure comprises three levels of interconnect.
  • the first level of interconnect includes a plurality of a substantially coplanar first conductors dielectically spaced from each other.
  • the second level of interconnect comprises a plurality of dielectically spaced, substantially copianar second conductors.
  • the third level of interconnect comprises a plurality of dielectically spaced, substantially coplanar third conductors.
  • the intermediate interconnect line is defined as one of the plurality of third conductors, and the pair of interconnect lines is defined as a pair of the plurality of second conductors spaced adjacent each other.
  • the multilevel interconnect structure and specifically the second and third conductors are arranged in a staggered configuration. Staggering the conductors on dissimilar elevational levels serves to increase the spacing between densely patterned second and third conductors. More particularly, direct electrical field between operating second and third conductors is minimized. Current within conductors is typically distributed throughout the cross-sectional area of the conductor. Elevating one conductor between a pair of conductors affords minimal direct electric field therebetween. The raised (or lowered) third conductor cross-coupling to the pair of second conductors is thereby reduced.
  • the improved multilevel interconnect structure thereby allows formation of a dense interconnect structure but within two separate planes instead of one. Placing interconnect lines on separate planes thereby reduces propagation delay of signals sent through the lines.
  • the present invention contemplates a multilevel interconnect structure, comprising a first conductor arranged upon a first plane, a second conductor arranged upon a second plane, and a third conductor arranged upon a third plane.
  • a pair of dielectric layers are arranged between the first and third planes.
  • the second conductor is electrically coupled to a first contact, wherein the second conductor and the first contact extend through the pair of dielectric layers from the first conductor to an upper surface of the pair of dielectric layers.
  • the second conductor and the first contact are dielectically spaced from the third conductor. Accordingly, the second and third conductors are diagonally spaced from each other.
  • the present invention further contemplates a method for forming a multilevel interconnect structure.
  • the method includes forming a pair of dielectrics upon a plurality of coplanar first conductors. A first contact is then placed partially through the pair of dielectrics. A second contact and a plurality of coplanar second conductors are aiso formed, wherein one of the plurality of second conductors is aligned directly over the first contact a lateral spaced distance away from the second contact. A plurality of coplanar third conductors are also formed. One of the plurality of coplanar third conductors is aligned directly over the second contact. According to one embodiment, the second contact extends entirely through the pair of conductors.
  • a plurality of coplanar second conductors are formed by removing portions of the pair of dielectrics, filling the removed portions with a second conductor material, and then removing the second conductor material at or slightly below an upper surface of the pair of dielectrics.
  • Fig. 1 is a top plan view of a multilevel interconnect structure
  • Fig. 2 is a cross-sectional view along plane 2 of Fig. 1 ;
  • Fig. 3 is a detailed view along area 3 of Fig. 2;
  • Fig. 4 is a cross-sectional view along plane 4 of Fig. 1 ;
  • Fig. 5 is a cross-sectional view of a semiconductor topography along plane 2 showing formation of first conductors (first interconnect);
  • Fig. 6 is a cross-sectional view of a processing step subsequent to that shown in Fig. 5, wherein a first layer of dielectric is formed upon the first interconnect;
  • Fig. 7 is a cross-sectional view of a processing step subsequent to that shown in Fig. 6, wherein vias are formed within the first layer of dielectric to the first interconnect;
  • Fig. 8 is a cross-sectional view of a processing step subsequent to that shown in Fig. 7, wherein a plug conductor material is deposited within the vias and across the first layer of dielectric;
  • Fig. 9 is a cross-sectional view of a processing step subsequent to that shown in Fig. 8, wherein the plug conductor material is removed from upper surfaces of the first layer of dielectric;
  • Fig. 10 is a cross-sectional view of a processing step subsequent to that shown in Fig. 9, wherein a second layer of dielectric is deposited upon the first layer of dielectric;
  • Fig. 1 1 is a cross-sectional view of a processing step subsequent to that shown in Fig. 10, wherein openings of varying sizes (i.e., trenches and vias) are formed within the second layer of dielectric;
  • Fig. 12 is a cross-sectional view of a processing step subsequent to that shown in Fig. 1 1 , wherein a second conductor material is deposited within the trenches, vias and across the second layer of dielectric;
  • Fig. 13 is a cross-sectional view of a processing step subsequent to that shown in Fig. 12, wherein the second conductor material is removed from upper surfaces of the second layer of dielectric to form second conductors (interconnect);
  • Fig. 14 is a cross-sectional view of a processing step subsequent to that shown in Fig. 13, wherein a third conductor material is deposited upon the second layer of dielectric;
  • Fig. 15 is a cross-sectional view of a processing step subsequent to that shown in Fig. 14, wherein the third conductor material is selectively removed to form third conductors (interconnect) within a multilayer interconnect structure of that shown in Fig. 1.
  • Interconnect structure 10 includes a plurality of interconnect lines lithography formed on dissimilar elevational levels Interconnect lines formed on the same elevational level are spaced from each other a distance defined by the layout rules associated with the manufacturer's process
  • the interconnect lines of interconnect structure 10 are shown, according to one exemplary embodiment, as having three levels
  • a first level includes a plurality of substantially coplanar, spaced set of first conductors 12
  • the second level includes a plurality of substantially coplanar set of second conductors 14
  • the third level includes a plurality of substantially coplanar set of third conductors 16
  • Fig 1 illustrates only one of the plurality of first conductors, two of the plurality of second conductors and one of the plurality of third conductors
  • First conductor 12 is shown as having dotted lines
  • second conductor 14 is shown as having dashed lines
  • third conductor 16 is shown as having solid lines
  • Fig 2 illustrates a cross-sectional view along plane 2 of Fig 1 More specifically, Fig 2 illustrates one exemplary layout attributed to numerous possible configurations of interconnect structure 10
  • Fig 2 depicts the various elevational levels of first, second and third conductors 12, 14 and 16, respectively
  • second conductors 14 are placed on elevational level between the first and third conductors 12 and 16, respectively
  • third conductors 16 can be placed within an elevational level below second conductors 14
  • Dielectric structure 18 comprises, according to one embodiment, two dielectric layers a first dielectric 22 and a second dielectric 24
  • Fig 3 illustrates a detailed view along area 3 of Fig 2 More specifically, Fig 3 illustrates diaconalK spaced second conductor 14 and third conductor 16, and the advantages thereof Current within conductors 1 and 16 cause electric field 26 to exist therebetween It is believed that electric field 26a is more significant tot greater magnitude) in high density areas 26a rather than low density areas 26b Given reasonable postulation electric field can be severe between conductive elements which are closely spaced in a lateral direction Llcctric field 26a exists and would be quite large if third conductor 16 were placed on the same elevational plane as conductor 14, and configured as third conductor 16a However, conductor 16a does not exist in accordance with the present invention and, instead, is raised or recessed to a separate elevational level across the boundary of second dielectric 24 It is believed that by raising or recessing (i e , staggering) third conductor 16 relative to second conductor 14, electric field and capacitive coupling will be reduced across the juncture between dielectric 24 and the overlying/underlying dielectric The distance
  • Fig 4 a cross-sectional view along plane 4 of Fig 1 is shown Fig 4 thereby depicts first conductor 12, second conductor 14 and third conductor 16 arranged on dissimilar elevational levels within mterlevel dielectric structure 18 as seen along plane 4
  • a first contact structure 28 is shown arranged between first conductor 12 and second conductor 14
  • First contact 28 thereby exists only within first dielectric 22
  • First contact 28 is dissimilar from contact 30, which is hereinafter referred to as second contact 30
  • Second contact 30 is formed according to several processmg steps within both first dielectric 22 and second dielectric 24, and includes two structures aligned upon one another
  • first conductor 12 is preferably formed by depositing a first conductor material and thereafter selectively removing the first conductor material using a photolithography technique
  • Semiconductor topography 20 is herein defined as having a silicon substrate with a dielectric layer placed thereon or, in the alternative, a silicon substrate having one or more levels of interconnect and a dielectric layer placed upon the upper interconnect level
  • First conductor 12 can either be made of a refractory metal, aluminum or polysilicon
  • first conductor 12 can comprise dopants implanted within a silicon substrate
  • first conductor 12 is any region which is electrically conductive, or can be rendered electrically conductive
  • First dielectric 22 is made of any dielectric material, a suitable material being silicon dioxide, or oxide Dielectric 22 can be deposited in numerous ways, a preferred deposition technique involves chemical vapor deposition (CVD) from a silicon bearing source, such as silane or TEOS After deposition, the upper surface of first dielectric 22 is plana ⁇ zed by removing peak regions commensurate with valley regions Removed areas are shown in phantom as reference numeral 32
  • Fig 7 illustrates the formation of vias 34
  • three vias 34 are etched entirely through first dielectric 22 to first conductor 12
  • Formation of vias 34 in select regions across first dielectric 22 is carried forth using photolithography
  • Vias 34 can be of any size, preferably less than the width of first, second or third conductors 12, 14 or 16, respectively
  • the geometry of vias 34 are chosen to allow fill material 36 to be deposited within the vias
  • Fill material 36 is shown in Fig 8, and includes a metal substance capable of flowing within a relatively small width via 34
  • Metal 36 is preferably made of tungsten (W) Material 36 is herein defined as a "plug" conductor material
  • Fig 9 illustrates removal of plug conductor material 36 from the upper surface of first dielectric 22 such that all which remains is material 36 within vias 34
  • the filled vias 34 are herein defined as contacts According to the embodiment shown, three contacts are present, and are referenced as first contact 28, second contact 30 and third contact 32 Contacts 28, 30 and 32 define an electrical path from first conductor 12 to the upper surface of dielectric 22
  • Fig 10 depicts the deposition of a second dielectric 24 upon first dielectric 22 as well as upon contacts 28, 30 and 32
  • Second dielectric 24 is suitably chosen from the same material as first dielectric 22, and is preferably deposited using chemical vapor deposition techniques Second dielectric 24 is deposited to a thickness substantially equal to the thickness of a conductor First dielectric 22, on the other hand, can be of varying thickness provided that a contact can be formed therein
  • Fig 1 1 illustrates selective removal of second dielectric 24 Specifically, dielectric 24 is removed in regions directly above contacts 28, 30 and 32 The removed regions, accordmg to one embodiment, comprise an intermediate via 34a and
  • Fig 12 illustrates deposition of a conductive material 40 upon second dielectric 24 and within trenches 38 and intermediate via 34a Conductive material 40 is herein referred to as a second conductor material
  • the second conductor material is generally a refractory material or aluminum
  • Fig 13 illustrates removal of second conductor material 40 from the upper surface of second dielectric 24, resulting in a contact interposed and dielectically spaced between a pair of second conductors 14
  • Contact 42 is aligned with and thereby forms a part of second contact structure 30
  • Second contact structure 30 thereby extends entirely through mterlevel dielectric structure 18, whereas first contact 28 extends only through first dielectric layer 22
  • Second conductors 14 can be of any width necessary to carry electric current within a conductor configured, for example, in a bus structure
  • Contact structures 28 and 30, on the other hand, are of minimum dimension necessary to form vertical contacts between conductors ranged on dissimilar levels
  • Figs 12 and 13 illustrate a damascene process, wherein a fill conductive material, such as second conductor material 40 is deposited across a dielectric and into openings formed within that dielectric
  • the conductive material is suitably deposited using, for example, chemical vapor deposition Removal is suitably earned out using either an etch or polish technique
  • Fig 14 illustrates blanket deposition of a third conductor material 46 Material 46 is deposited upon second dielectric 24 as well as across second conductors 14 and intermediate conductor 42 Fig 15 illustrates selective removal of third conductor material 46, leaving a third conductor 16 Third conductor 16 is shown according to one embodiment upon intermediate contact 42 Intermediate contact 42 thereby serves to electrically connect third conductor 16 to first conductor 12 Third conductor 16 is diagonally spaced from second conductor 14
  • Figs 5-15 are but three levels of possibly numerous levels of interconnect which can be formed. Further, the processing steps described above are illustrative of an exemplary interconnect structure 10, shown in Fig. 1. However, it is understood that the arrangement of first, second and third conductors 12, 14 and 16 can take on numerous forms, and contacts therebetween can be arranged in numerous ways. The processing sequence can be repeated so as to present numerous layers of conductors, certainly more than three, if desired.

Abstract

An improved multilevel interconnect structure is provided. The interconnect structure includes several levels of conductors (12, 14), wherein conductors on one level are staggered with respect to conductors on another level. In densely spaced interconnect areas, interposed conductors are drawn to dissimilar elevational levels to lessen the capacitive coupling between the interconnects. The conductors and vias are made by a damascene process. By staggering every other interconnect line in the densely patterned areas, the interconnects are capable of carrying a larger amount of current with minimal capacitive coupling therebetween.

Description

TITLE AN INTEGRATED CIRCUIT WHICH USES A DAMASCENE PROCESS FOR
PRODUCING STAGGERED INTERCONNECT LINES
BACKGROUND OF THE INVENTION
1 Field of the Invention
This invention relates to semiconductor fabrication and more particularly to an integrated circuit which employs staggered interconnects formed using a damascene process The interconnect lines are produced such that one interconnect line is configured in a plane dissimilar from, and laterally spaced between, a pair of interconnect lines By staggering the interconnect lines, a higher density interconnect structure can be produced with minimal electric field coupling between the interconnect lines
Description of the Relevant Art
An integrated circuit includes numerous conductors extending across the topography of a monolithic substrate A set of interconnect lines (or conductors) which serve to electrically connect two or more components within a system is generally referred to as a "bus" A collection of voltage levels are forwarded across the conductors to allow proper operation of the components For example, a microprocessor is connected to memories and input/output devices by certain bus structures There are numerous types of busses which are classified according to their operation Examples of well-known types of busses include address busses, data busses and control busses
Conductors within a bus generally extend partially parallel to each other across the semiconductor topography The conductors are isolated from each other and from underlying conductive elements by a dielectric, a suitable dielectric being, for example, silicon dioxide ("oxide") Conductors are thereby lithography patterned across the semiconductor topography, wherein the topography comprises a substrate with a dielectric placed thereon The topography can also include one or more layers of conductors which are covered by a dielectric material The layers of conductors overlaid with a dielectric present a topography upon which a subsequent layer of conductors can be patterned
Conductors are made from an electrically conductive material, a suitable material includes Al, Ti, Ta, W, Mo, polysihcon, or a combination thereof Substrate includes any type of material which can retain dopant ions and the isolated conductivity regions brought about by those ions Typically, substrate is a silicon-based material which receives p-type or n-type ions
Generally speaking, interconnect lines (or conductors) are fashioned upon the topography and dielectically spaced above an underlying conductor or substrate by a dielectric thickness TdI Each conductor is dielectically spaced from other conductors within the same level of conductors (I e , substantially coplanar conductors) by a distance Td2 Accordingly, capacitance between vertically spaced conductors, or mtralevel capacitance CLS is determined as follows
CLS « eWLL/Tdl (Eq 1 )
Further, capacitance between horizontally spaced, substantially coplanar conductors, or interlevel capacitance L is determined as follows
CLL » eTcL/Td2 (Eq 2)
, where e is the permittivity of the dielectric material (the dielectric material between the conductor and substrate or the dielectric material between conductors), WL is the conductor width, and L is the conductor length Resistance of the conductor is calculated as follows
R = (rL)/WLTc (Eq 3)
, where r represents resistivity of the conductive material, and Tc is the interconnect thickness Combinations of equations 1 and 3, and/or equations 2 and 3 indicate as follows the propagation delay or coupling of a conductor to an adjacent conductor
RCLS , reL2/TcTdl
RCLL « reL2/WLTdl
Propagation delay is an important characteristic of an integrated circuit since it limits the speed (frequency) at which the circuit or circuits can operate The shorter the propagation delay, the higher the speed of the circuit or circuits It is therefore important that propagation delay and/or capacitive coupling be minimized as much as possible given the geometric constraints of the semiconductor topography
Propagation delay is shown to be a function of both capacitance Cl s as well as capacitance C L In general, CLS can be minimized by placing the conductor on one plane substantially perpendicular to conductors on another plane The cross-over points are therefore minimal with respect to one another Accordmgly, the inter-level capacitance C S IS made minimal Conductors on the same level, however, must not cross over one another if shorting is to be avoided As the circuit density increases, the spacing between conductors on the same level decreases This provides concerns with respect to mtra-level capacitance CLL A challenge thereby exists to reduce die size yet maintain CL as low as possible
Increases in C parasitic capacitance pose two major problems First, an increase in parasitic capacitance generally causes an increase in the time at which a transition on the one end of the conductor occurs at the end Increase in transition time (l e , increase in speed degregation) thereby requires a longer drive period
If the conductor extends along a critical speed path, speed degregation on the line will jeopardize functionality of the overall circuit. Second, a larger parasitic capacitance causes an increase in crosstalk noise. A conductor which does not transition, nonetheless receives crosstalk noise from neighboring lines which do.
It is thereby important to minimize propagation delay especially in critical speed paths and/or between conductors which are spaced close to one another. Geometric constraints make it difficult to increase conductor thickness Tc or dielectric thickness T^. Still further, instead of reducing length L of a conductor, most modern integrated circuits employ longer interconnect lines which compound the propagation delay problems. Accordingly, a need arises for reducing propagation delay and cross coupling by somehow maximizing dielectric thickness T^ between conductors on the same level. More specifically the desired configuration must be one which provides maximum spacing between densely arranged conductors, or conductors in critical speed paths.
SUMMARY OF THE INVENTION
Problems outlined above are in large part solved by an improved multilevel interconnect structure. The interconnect structure includes staggered interconnect lines formed in areas where interconnect lines are densely spaced. The interconnect lines are staggered by forming one interconnect line in a plane dissimilar from and laterally spaced between a pair of interconnect lines. The interconnect line formed between and in a plane dissimilar from a pair of interconnect lines is referred to as the intermediate interconnect line. The intermediate interconnect line is either in a plane above or below the pair of interconnect lines. According to one exemplary embodiment, the multilevel interconnect structure comprises three levels of interconnect. The first level of interconnect includes a plurality of a substantially coplanar first conductors dielectically spaced from each other. The second level of interconnect comprises a plurality of dielectically spaced, substantially copianar second conductors. The third level of interconnect comprises a plurality of dielectically spaced, substantially coplanar third conductors. According to one exemplary embodiment, the intermediate interconnect line is defined as one of the plurality of third conductors, and the pair of interconnect lines is defined as a pair of the plurality of second conductors spaced adjacent each other.
The multilevel interconnect structure, and specifically the second and third conductors are arranged in a staggered configuration. Staggering the conductors on dissimilar elevational levels serves to increase the spacing between densely patterned second and third conductors. More particularly, direct electrical field between operating second and third conductors is minimized. Current within conductors is typically distributed throughout the cross-sectional area of the conductor. Elevating one conductor between a pair of conductors affords minimal direct electric field therebetween. The raised (or lowered) third conductor cross-coupling to the pair of second conductors is thereby reduced. The improved multilevel interconnect structure thereby allows formation of a dense interconnect structure but within two separate planes instead of one. Placing interconnect lines on separate planes thereby reduces propagation delay of signals sent through the lines.
Broadly speaking, the present invention contemplates a multilevel interconnect structure, comprising a first conductor arranged upon a first plane, a second conductor arranged upon a second plane, and a third conductor arranged upon a third plane. A pair of dielectric layers are arranged between the first and third planes. The second conductor is electrically coupled to a first contact, wherein the second conductor and the first contact extend through the pair of dielectric layers from the first conductor to an upper surface of the pair of dielectric layers. The second conductor and the first contact are dielectically spaced from the third conductor. Accordingly, the second and third conductors are diagonally spaced from each other. By placing second and third conductors in different elevation levels, effective distance between those conductors is increased. By staggering the second and third conductors, an increase in effective distance between the conductors occurs but not at the expense of die size.
The present invention further contemplates a method for forming a multilevel interconnect structure. The method includes forming a pair of dielectrics upon a plurality of coplanar first conductors. A first contact is then placed partially through the pair of dielectrics. A second contact and a plurality of coplanar second conductors are aiso formed, wherein one of the plurality of second conductors is aligned directly over the first contact a lateral spaced distance away from the second contact. A plurality of coplanar third conductors are also formed. One of the plurality of coplanar third conductors is aligned directly over the second contact. According to one embodiment, the second contact extends entirely through the pair of conductors. According to another embodiment, a plurality of coplanar second conductors are formed by removing portions of the pair of dielectrics, filling the removed portions with a second conductor material, and then removing the second conductor material at or slightly below an upper surface of the pair of dielectrics.
BRIEF DESCRIPTION OF THE DRAWINGS
Other objects and advantages of the invention will become apparent upon reading the following detailed description and upon reference to the accompanying drawings in which:
Fig. 1 is a top plan view of a multilevel interconnect structure;
Fig. 2 is a cross-sectional view along plane 2 of Fig. 1 ;
Fig. 3 is a detailed view along area 3 of Fig. 2;
Fig. 4 is a cross-sectional view along plane 4 of Fig. 1 ;
Fig. 5 is a cross-sectional view of a semiconductor topography along plane 2 showing formation of first conductors (first interconnect);
Fig. 6 is a cross-sectional view of a processing step subsequent to that shown in Fig. 5, wherein a first layer of dielectric is formed upon the first interconnect;
Fig. 7 is a cross-sectional view of a processing step subsequent to that shown in Fig. 6, wherein vias are formed within the first layer of dielectric to the first interconnect;
Fig. 8 is a cross-sectional view of a processing step subsequent to that shown in Fig. 7, wherein a plug conductor material is deposited within the vias and across the first layer of dielectric;
Fig. 9 is a cross-sectional view of a processing step subsequent to that shown in Fig. 8, wherein the plug conductor material is removed from upper surfaces of the first layer of dielectric;
Fig. 10 is a cross-sectional view of a processing step subsequent to that shown in Fig. 9, wherein a second layer of dielectric is deposited upon the first layer of dielectric;
Fig. 1 1 is a cross-sectional view of a processing step subsequent to that shown in Fig. 10, wherein openings of varying sizes (i.e., trenches and vias) are formed within the second layer of dielectric;
Fig. 12 is a cross-sectional view of a processing step subsequent to that shown in Fig. 1 1 , wherein a second conductor material is deposited within the trenches, vias and across the second layer of dielectric;
Fig. 13 is a cross-sectional view of a processing step subsequent to that shown in Fig. 12, wherein the second conductor material is removed from upper surfaces of the second layer of dielectric to form second conductors (interconnect);
Fig. 14 is a cross-sectional view of a processing step subsequent to that shown in Fig. 13, wherein a third conductor material is deposited upon the second layer of dielectric; and
Fig. 15 is a cross-sectional view of a processing step subsequent to that shown in Fig. 14, wherein the third conductor material is selectively removed to form third conductors (interconnect) within a multilayer interconnect structure of that shown in Fig. 1.
While the invention is susceptible to various modifications and alternative forms, specific embodiments thereof are shown by way of example in the drawings and will herein be described in detail. It should be understood, however, that the drawings and detailed description thereto are not intended to limit the invention to the particular form disclosed, but on the contrary, the intention is to cover all modifications, equivalents and alternatives falling within the spirit and scope of the present invention as defined by the appended claims. DETAILED DESCRIPTION OF THE INVENTION
Turning now drawings, a top plan view of a multilevel interconnect structure 10 is shown Interconnect structure 10 includes a plurality of interconnect lines lithography formed on dissimilar elevational levels Interconnect lines formed on the same elevational level are spaced from each other a distance defined by the layout rules associated with the manufacturer's process The interconnect lines of interconnect structure 10 are shown, according to one exemplary embodiment, as having three levels A first level includes a plurality of substantially coplanar, spaced set of first conductors 12 The second level includes a plurality of substantially coplanar set of second conductors 14 The third level includes a plurality of substantially coplanar set of third conductors 16 For sake of brevity, Fig 1 illustrates only one of the plurality of first conductors, two of the plurality of second conductors and one of the plurality of third conductors First conductor 12 is shown as having dotted lines, second conductor 14 is shown as having dashed lines, and third conductor 16 is shown as having solid lines
Fig 2 illustrates a cross-sectional view along plane 2 of Fig 1 More specifically, Fig 2 illustrates one exemplary layout attributed to numerous possible configurations of interconnect structure 10 Fig 2 depicts the various elevational levels of first, second and third conductors 12, 14 and 16, respectively According to the exemplary embodiment shown, second conductors 14 are placed on elevational level between the first and third conductors 12 and 16, respectively It is understood, however, that according to an alternative embodiment, third conductors 16 can be placed within an elevational level below second conductors 14
Fig 2 illustrates an interlevel dielectric structure 18 formed upon semiconductor topography 20 Dielectric structure 18 comprises, according to one embodiment, two dielectric layers a first dielectric 22 and a second dielectric 24
Fig 3 illustrates a detailed view along area 3 of Fig 2 More specifically, Fig 3 illustrates diaconalK spaced second conductor 14 and third conductor 16, and the advantages thereof Current within conductors 1 and 16 cause electric field 26 to exist therebetween It is believed that electric field 26a is more significant tot greater magnitude) in high density areas 26a rather than low density areas 26b Given reasonable postulation electric field can be severe between conductive elements which are closely spaced in a lateral direction Llcctric field 26a exists and would be quite large if third conductor 16 were placed on the same elevational plane as conductor 14, and configured as third conductor 16a However, conductor 16a does not exist in accordance with the present invention and, instead, is raised or recessed to a separate elevational level across the boundary of second dielectric 24 It is believed that by raising or recessing (i e , staggering) third conductor 16 relative to second conductor 14, electric field and capacitive coupling will be reduced across the juncture between dielectric 24 and the overlying/underlying dielectric The distance between midpoints (the center location of cross-sectional area) of each conductor is simply larger if the conductors are diagonally spaced (staggered) than if they are merely laterally spaced within the same elevational level Accordingly, instead of third conductor 16 being configured as 16a, third conductor 16 is raised in a staggered position with respect to second conductors 14
Turning now to Fig 4, a cross-sectional view along plane 4 of Fig 1 is shown Fig 4 thereby depicts first conductor 12, second conductor 14 and third conductor 16 arranged on dissimilar elevational levels within mterlevel dielectric structure 18 as seen along plane 4 A first contact structure 28 is shown arranged between first conductor 12 and second conductor 14 First contact 28 thereby exists only within first dielectric 22 First contact 28 is dissimilar from contact 30, which is hereinafter referred to as second contact 30 Second contact 30 is formed according to several processmg steps within both first dielectric 22 and second dielectric 24, and includes two structures aligned upon one another
Turning now to Fig 5, a cross-sectional view of semiconductor topography 20 is shown along plane 2 Fig 5 illustrates an early processing step in which first conductor 12 is formed upon topography 20 First conductor 12 is preferably formed by depositing a first conductor material and thereafter selectively removing the first conductor material using a photolithography technique Semiconductor topography 20 is herein defined as having a silicon substrate with a dielectric layer placed thereon or, in the alternative, a silicon substrate having one or more levels of interconnect and a dielectric layer placed upon the upper interconnect level First conductor 12 can either be made of a refractory metal, aluminum or polysilicon In the alternative, first conductor 12 can comprise dopants implanted within a silicon substrate Thus, first conductor 12 is any region which is electrically conductive, or can be rendered electrically conductive
Fig 6 illustrates first dielectric 22 deposited upon first conductor 12 and topography 20 First dielectric 22 is made of any dielectric material, a suitable material being silicon dioxide, or oxide Dielectric 22 can be deposited in numerous ways, a preferred deposition technique involves chemical vapor deposition (CVD) from a silicon bearing source, such as silane or TEOS After deposition, the upper surface of first dielectric 22 is planaπzed by removing peak regions commensurate with valley regions Removed areas are shown in phantom as reference numeral 32
Fig 7 illustrates the formation of vias 34 According to one embodiment, three vias 34 are etched entirely through first dielectric 22 to first conductor 12 Formation of vias 34 in select regions across first dielectric 22 is carried forth using photolithography Vias 34 can be of any size, preferably less than the width of first, second or third conductors 12, 14 or 16, respectively The geometry of vias 34 are chosen to allow fill material 36 to be deposited within the vias Fill material 36 is shown in Fig 8, and includes a metal substance capable of flowing within a relatively small width via 34 Metal 36 is preferably made of tungsten (W) Material 36 is herein defined as a "plug" conductor material
Fig 9 illustrates removal of plug conductor material 36 from the upper surface of first dielectric 22 such that all which remains is material 36 within vias 34 The filled vias 34 are herein defined as contacts According to the embodiment shown, three contacts are present, and are referenced as first contact 28, second contact 30 and third contact 32 Contacts 28, 30 and 32 define an electrical path from first conductor 12 to the upper surface of dielectric 22 Fig 10 depicts the deposition of a second dielectric 24 upon first dielectric 22 as well as upon contacts 28, 30 and 32 Second dielectric 24 is suitably chosen from the same material as first dielectric 22, and is preferably deposited using chemical vapor deposition techniques Second dielectric 24 is deposited to a thickness substantially equal to the thickness of a conductor First dielectric 22, on the other hand, can be of varying thickness provided that a contact can be formed therein Fig 1 1 illustrates selective removal of second dielectric 24 Specifically, dielectric 24 is removed in regions directly above contacts 28, 30 and 32 The removed regions, accordmg to one embodiment, comprise an intermediate via 34a and a pair of trenches 38 Via 34a and trenches 38 are produced by etching through second dielectric 24 for a time sufficient to detect the presence of plug material within the etch byproduct Thus, etch is terminated when contacts 28, 30 and 32 are exposed
Fig 12 illustrates deposition of a conductive material 40 upon second dielectric 24 and within trenches 38 and intermediate via 34a Conductive material 40 is herein referred to as a second conductor material The second conductor material is generally a refractory material or aluminum Fig 13 illustrates removal of second conductor material 40 from the upper surface of second dielectric 24, resulting in a contact interposed and dielectically spaced between a pair of second conductors 14 Contact 42 is aligned with and thereby forms a part of second contact structure 30 Second contact structure 30 thereby extends entirely through mterlevel dielectric structure 18, whereas first contact 28 extends only through first dielectric layer 22 Second conductors 14 can be of any width necessary to carry electric current within a conductor configured, for example, in a bus structure Contact structures 28 and 30, on the other hand, are of minimum dimension necessary to form vertical contacts between conductors ranged on dissimilar levels
Figs 12 and 13 illustrate a damascene process, wherein a fill conductive material, such as second conductor material 40 is deposited across a dielectric and into openings formed within that dielectric
Thereafter, the conductor residing over the dielectric is removed The conductive material is suitably deposited using, for example, chemical vapor deposition Removal is suitably earned out using either an etch or polish technique
Fig 14 illustrates blanket deposition of a third conductor material 46 Material 46 is deposited upon second dielectric 24 as well as across second conductors 14 and intermediate conductor 42 Fig 15 illustrates selective removal of third conductor material 46, leaving a third conductor 16 Third conductor 16 is shown according to one embodiment upon intermediate contact 42 Intermediate contact 42 thereby serves to electrically connect third conductor 16 to first conductor 12 Third conductor 16 is diagonally spaced from second conductor 14
The processing sequence beginning with Fig 5 and concluding with Fig 15 can be repeated subsequent to Fig 15, wherein third conductor 16 suffices as first conductor 12, and wherein a first dielectric layer 22 can be deposited upon third conductor 16 Accordingly, the processing steps shown in Figs 5-15 are but three levels of possibly numerous levels of interconnect which can be formed. Further, the processing steps described above are illustrative of an exemplary interconnect structure 10, shown in Fig. 1. However, it is understood that the arrangement of first, second and third conductors 12, 14 and 16 can take on numerous forms, and contacts therebetween can be arranged in numerous ways. The processing sequence can be repeated so as to present numerous layers of conductors, certainly more than three, if desired. The drawings and descriptions thereto are illustrative only of one exemplary form. For example, there may be instances where, depending upon the number of first and second conductors, there may be fewer or more than three contacts. The exemplary embodiments shown are therefore merely exemplary of a single form of numerous forms, all of this would be obvious to a person skilled in the art having the benefit of this disclosure. Various modifications and changes may be made to each and every processing step as would be obvious to a person skilled in the art having the benefit of this disclosure. It is intended that the following claims be interpreted to embrace all such modifications and changes and, accordingly, the specification and drawings are to be regarded in an illustrative rather than a restrictive sense.

Claims

WHAT IS CLAIMED IS:
1 A method for forming a multilevel interconnect structure, comprising
forming a first conductor upon a semiconductor topography,
depositing a first dielectric upon said first conductor,
etching through said first dielectric in select regions directly above said first conductor to form three vias,
depositing a plug conductor material within said three vias to form three plugs,
depositing a second dielectric upon said first dielectric and said three plugs,
etching through said second dielectric directly above said three plugs to form an intermediate via coplanar with and interposed between a pair of trenches,
depositing a second conductor material within said intermediate via and withm said pair of trenches to form a fourth plug within said intermediate via and a pair of second conductors within the pair of trenches, and
forming a third conductor directly above said fourth plug
2 The method as recited in claim 1 wherein said depositing a plug conductor material comprises depositing a plug conductor material and removing said plug conductor material to an elevational level commensurate with an upper surface ot the first dielectric
3 The method as recited in claim 2, wherein said removing comprises chemical mechanical polishing
4 The method as recited in claim 1 , wherein said depositing a second conductor material comprises
depositing a plug conductor material comprising tungsten within said intermediate via and said pair of trenches, and
removing said plug conductor material to an elevational level commensurate with an upper surface of the second dielectric
5 The method as recited in claim 4 wherein said removing comprises chemical mechanical polishing The method as recited in claim 1 , wherein said forming a third conductor comprises
depositing a third conductor material across the second dielectric, the fourth plug and the pair of trenches, and
selectively removing the third conductor material in all regions except directly above the fourth plug
A multilevel interconnect structure, comprising
a first conductor arranged upon a first plane,
a third conductor arranged upon a third plane,
a pair of dielectric layers arranged between the first and third planes, and
a second conductor arranged within a second plane and electrically coupled to a first contact, wherein the second conductor and the first contact extend through said pair of dielectric layers from said first conductor to an upper surface of said pair of dielectric layers a spaced distance away from said third conductor
8 The multi-layer interconnect as recited in claim 7. further comprising a second contact extending through said pair of dielectric layers between said first conductor and said third conductor
9 The multi-layer interconnect as recited in claim 8, wherein said second contact extends along an axis parallel to another axis through which said first contact extends
10 The multi-layer interconnect as recited in claim 7, wherein said second conductor and said first contact comprise tungsten
1 1 The multi-layer interconnect as recited in claim 7, wherein said first conductor and said third conductor comprise aluminum
12 The multi-layer interconnect as recited in claim 7, wherein said pair of dielectric layers comprise oxide
13 A method for forming a multilevel interconnect structure, comprising
forming a pair of dielectrics upon a plurality of coplanar first conductors, forming a first contact partially through said pair of dielectrics and forming a second contact and a plurality of coplanar second conductors, wherein one of said plurality of second conductors is aligned directly over the first contact a lateral spaced distance away from the second contact, and
forming a plurality of coplanar third conductors, one of which is aligned directly over said second contact
14 The method as recited in claim 13, wherein said second contact extends entirely through said pair of conductors
15 The method as recited in claim 13, wherein forming said plurality of coplanar second conductors comprises
removing portions of one of the pair of dielectrics.
filling the removed portions within a second conductor material, and
removing said second conductor material slightly below an upper surface of the pair of dielectrics
PCT/US1997/002513 1996-06-05 1997-02-18 An integrated circuit which uses a damascene process for producing staggered interconnect lines WO1997047036A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/655,244 US5846876A (en) 1996-06-05 1996-06-05 Integrated circuit which uses a damascene process for producing staggered interconnect lines
US08/655,244 1996-06-05

Publications (1)

Publication Number Publication Date
WO1997047036A1 true WO1997047036A1 (en) 1997-12-11

Family

ID=24628108

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1997/002513 WO1997047036A1 (en) 1996-06-05 1997-02-18 An integrated circuit which uses a damascene process for producing staggered interconnect lines

Country Status (2)

Country Link
US (2) US5846876A (en)
WO (1) WO1997047036A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0966035A1 (en) * 1998-06-17 1999-12-22 Siemens Aktiengesellschaft Semiconductor metallization system and method

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5854128A (en) * 1996-04-29 1998-12-29 Micron Technology, Inc. Method for reducing capacitive coupling between conductive lines
US5846876A (en) * 1996-06-05 1998-12-08 Advanced Micro Devices, Inc. Integrated circuit which uses a damascene process for producing staggered interconnect lines
US5861647A (en) * 1996-10-02 1999-01-19 National Semiconductor Corporation VLSI capacitors and high Q VLSI inductors using metal-filled via plugs
US6133139A (en) * 1997-10-08 2000-10-17 International Business Machines Corporation Self-aligned composite insulator with sub-half-micron multilevel high density electrical interconnections and process thereof
JP3697044B2 (en) * 1997-12-19 2005-09-21 株式会社ルネサステクノロジ Semiconductor integrated circuit device and manufacturing method thereof
US6358837B1 (en) 1998-03-31 2002-03-19 Lsi Logic Corporation Method of electrically connecting and isolating components with vertical elements extending between interconnect layers in an integrated circuit
US6441419B1 (en) 1998-03-31 2002-08-27 Lsi Logic Corporation Encapsulated-metal vertical-interdigitated capacitor and damascene method of manufacturing same
DE69828968D1 (en) 1998-09-25 2005-03-17 St Microelectronics Srl Connection structure in several levels
US6358831B1 (en) * 1999-03-03 2002-03-19 Taiwan Semiconductor Manufacturing Company Method for forming a top interconnection level and bonding pads on an integrated circuit chip
US20060017162A1 (en) * 1999-03-12 2006-01-26 Shoji Seta Semiconductor device and manufacturing method of the same
US6504202B1 (en) 2000-02-02 2003-01-07 Lsi Logic Corporation Interconnect-embedded metal-insulator-metal capacitor
US6483176B2 (en) * 1999-12-22 2002-11-19 Kabushiki Kaisha Toshiba Semiconductor with multilayer wiring structure that offer high speed performance
US6342734B1 (en) 2000-04-27 2002-01-29 Lsi Logic Corporation Interconnect-integrated metal-insulator-metal capacitor and method of fabricating same
US6566186B1 (en) 2000-05-17 2003-05-20 Lsi Logic Corporation Capacitor with stoichiometrically adjusted dielectric and method of fabricating same
US6341056B1 (en) 2000-05-17 2002-01-22 Lsi Logic Corporation Capacitor with multiple-component dielectric and method of fabricating same
US6713378B2 (en) * 2000-06-16 2004-03-30 Micron Technology, Inc. Interconnect line selectively isolated from an underlying contact plug
US6350695B1 (en) 2000-06-16 2002-02-26 Chartered Semiconductor Manufacturing Ltd. Pillar process for copper interconnect scheme
US6383943B1 (en) 2000-10-16 2002-05-07 Taiwan Semiconductor Manufacturing Company Process for improving copper fill integrity
US6524926B1 (en) 2000-11-27 2003-02-25 Lsi Logic Corporation Metal-insulator-metal capacitor formed by damascene processes between metal interconnect layers and method of forming same
KR100400033B1 (en) * 2001-02-08 2003-09-29 삼성전자주식회사 Semiconductor device having multi-interconnection structure and manufacturing method thereof
US20020155693A1 (en) * 2001-04-23 2002-10-24 Chartered Semiconductor Manufacturing Ltd. Method to form self-aligned anti-via interconnects
US6426558B1 (en) * 2001-05-14 2002-07-30 International Business Machines Corporation Metallurgy for semiconductor devices
JP3897006B2 (en) * 2003-07-30 2007-03-22 セイコーエプソン株式会社 Method for forming multilayer wiring
JP2006203143A (en) * 2005-01-24 2006-08-03 Nec Electronics Corp Semiconductor device
JP2008218921A (en) * 2007-03-07 2008-09-18 Nec Electronics Corp Pattern for measuring amount of positional misalignment and measuring method, and semiconductor device
US8461460B2 (en) 2008-07-09 2013-06-11 Invensas Corporation Microelectronic interconnect element with decreased conductor spacing
US10204791B1 (en) * 2017-09-22 2019-02-12 Power Integrations, Inc. Contact plug for high-voltage devices
US10770378B1 (en) 2019-05-02 2020-09-08 Texas Instruments Incorporated Isolated component design
KR20220053293A (en) * 2020-10-22 2022-04-29 에스케이하이닉스 주식회사 Semiconductor Apparatus Including Test Dummy Pattern, Method of Manufacturing The Same and Method of Inspection Error Using The Test Dummy Pattern

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5471093A (en) * 1994-10-28 1995-11-28 Advanced Micro Devices, Inc. Pseudo-low dielectric constant technology

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5034347A (en) * 1987-10-05 1991-07-23 Menlo Industries Process for producing an integrated circuit device with substrate via hole and metallized backplane
US4832789A (en) * 1988-04-08 1989-05-23 American Telephone And Telegrph Company, At&T Bell Laboratories Semiconductor devices having multi-level metal interconnects
JPH02100341A (en) * 1988-10-06 1990-04-12 Toshiba Corp Pattern formation of semiconductor device
US4962058A (en) * 1989-04-14 1990-10-09 International Business Machines Corporation Process for fabricating multi-level integrated circuit wiring structure from a single metal deposit
JP3469251B2 (en) * 1990-02-14 2003-11-25 株式会社東芝 Method for manufacturing semiconductor device
US5305519A (en) * 1991-10-24 1994-04-26 Kawasaki Steel Corporation Multilevel interconnect structure and method of manufacturing the same
US5252516A (en) * 1992-02-20 1993-10-12 International Business Machines Corporation Method for producing interlevel stud vias
KR970007601B1 (en) * 1993-03-15 1997-05-13 Hyundai Electronics Ind Method of forming contact hall of a semiconductor device
JPH06326106A (en) * 1993-03-18 1994-11-25 Sony Corp Method of forming dummy pattern
KR0136684B1 (en) * 1993-06-01 1998-04-29 Matsushita Electric Ind Co Ltd Semiconductor device and manufacture thereof
US5380546A (en) * 1993-06-09 1995-01-10 Microelectronics And Computer Technology Corporation Multilevel metallization process for electronic components
JP3068378B2 (en) * 1993-08-03 2000-07-24 日本電気アイシーマイコンシステム株式会社 Semiconductor storage device
JP2600600B2 (en) * 1993-12-21 1997-04-16 日本電気株式会社 Abrasive, method for manufacturing the same, and method for manufacturing semiconductor device using the same
US5514613A (en) * 1994-01-27 1996-05-07 Integrated Device Technology Parallel manufacturing of semiconductor devices and the resulting structure
JP3277098B2 (en) * 1994-07-26 2002-04-22 株式会社東芝 Method for manufacturing semiconductor device
US5602423A (en) * 1994-11-01 1997-02-11 Texas Instruments Incorporated Damascene conductors with embedded pillars
KR0138305B1 (en) * 1994-11-30 1998-06-01 김광호 Forming method of wiring in semiconductor device
US5759911A (en) * 1995-08-22 1998-06-02 International Business Machines Corporation Self-aligned metallurgy
US5693568A (en) * 1995-12-14 1997-12-02 Advanced Micro Devices, Inc. Reverse damascene via structures
US5846876A (en) * 1996-06-05 1998-12-08 Advanced Micro Devices, Inc. Integrated circuit which uses a damascene process for producing staggered interconnect lines

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5471093A (en) * 1994-10-28 1995-11-28 Advanced Micro Devices, Inc. Pseudo-low dielectric constant technology

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0966035A1 (en) * 1998-06-17 1999-12-22 Siemens Aktiengesellschaft Semiconductor metallization system and method
KR100598256B1 (en) * 1998-06-17 2006-07-07 지멘스 악티엔게젤샤프트 Semiconductor metalization system and method

Also Published As

Publication number Publication date
US5846876A (en) 1998-12-08
US6150721A (en) 2000-11-21

Similar Documents

Publication Publication Date Title
US5846876A (en) Integrated circuit which uses a damascene process for producing staggered interconnect lines
US5827776A (en) Method of making an integrated circuit which uses an etch stop for producing staggered interconnect lines
JP3672752B2 (en) Dual damascene structure and method for forming the same
US6884710B2 (en) Semiconductor device having multi-layer copper line and method of forming same
US7960226B2 (en) Method of forming on-chip decoupling capacitor with bottom electrode layer having surface roughness
KR100389174B1 (en) Buried metal dual damascene plate capacitor
US5953626A (en) Dissolvable dielectric method
US5834845A (en) Interconnect scheme for integrated circuits
US6376330B1 (en) Dielectric having an air gap formed between closely spaced interconnect lines
US6740976B2 (en) Semiconductor device including via contact plug with a discontinuous barrier layer
US20030109127A1 (en) Semiconductor device and method for fabricating the same
EP0963606B1 (en) An integrated circuit which uses a recessed local conductor for producing staggered interconnect lines
US20040075131A1 (en) Integrated circuit capacitor structure
US6153833A (en) Integrated circuit having interconnect lines separated by a dielectric having a capping layer
US5856707A (en) Vias and contact plugs with an aspect ratio lower than the aspect ratio of the structure in which they are formed
EP1006572A1 (en) Slotted damascene lines for low resistive wiring lines for integrated circuit
US6090703A (en) Method of forming an integrated circuit having conductors of enhanced cross-sectional area with etch stop barrier layer
CN1103496C (en) Method of forming shielding wire adjacement to signal wire
JP4097702B2 (en) Integrated circuit multilayer interconnect structure formed by single via etching and double fill process
KR100457404B1 (en) Multilevel wiring structure of integrated circuit formed by single via etch and double charge process

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
NENP Non-entry into the national phase

Ref country code: JP

Ref document number: 98500549

Format of ref document f/p: F