WO1998014999A1 - Variable high temperature chuck for high density plasma chemical vapor deposition - Google Patents

Variable high temperature chuck for high density plasma chemical vapor deposition Download PDF

Info

Publication number
WO1998014999A1
WO1998014999A1 PCT/US1997/017576 US9717576W WO9814999A1 WO 1998014999 A1 WO1998014999 A1 WO 1998014999A1 US 9717576 W US9717576 W US 9717576W WO 9814999 A1 WO9814999 A1 WO 9814999A1
Authority
WO
WIPO (PCT)
Prior art keywords
cap
electrostatic chuck
lower electrode
electrode
set forth
Prior art date
Application number
PCT/US1997/017576
Other languages
French (fr)
Inventor
Brian Mcmillin
Michael Barnes
Butch Berney
Huong Nguyen
Original Assignee
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corporation filed Critical Lam Research Corporation
Priority to EP97943648A priority Critical patent/EP0938747B1/en
Priority to JP51678898A priority patent/JP4149002B2/en
Priority to AT97943648T priority patent/ATE449419T1/en
Priority to DE69739660T priority patent/DE69739660D1/en
Priority to AU45072/97A priority patent/AU4507297A/en
Publication of WO1998014999A1 publication Critical patent/WO1998014999A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Definitions

  • the present invention relates to an electrostatic chuck (ESC) for holding a work object. More particularly, it relates to an electrostatic chuck with active temperatur control, for holding and controlling the temperature of a semiconductor wafer during integrated circuit fabrication.
  • ESC electrostatic chuck
  • a number of different chucks are widely used to hold semiconductor wafers during processing.
  • Mechanical chucks can secure the wafer by using arms or clamps to press the wafer against a supporting surface.
  • the clamping force is inherently non-uniform, resulting in uneven stresses in the wafer which can cause deformation, and uneven thermal contact between the wafer and the support.
  • Vacuum chucks secure the wafer by evacuating a void beneath the wafer backside, thereby generating a clamping force due to the pressure differential between the processing chamber and the wafer backside.
  • Vacuum chucks can provide a more uniform clamping force than can mechanical chucks, but in the low pressure environments required for many semiconductor processing applications, the pressure differential is insufficient to generate an adequate clamping force.
  • Electrostatic chucks combine the advantages of the more uniform clamping ability of vacuum chucks and the usability in vacuum environments of mechanical chucks.
  • ESC electrostatic chuck
  • a voltage difference is applied between the wafer and a metallic electrode or pair of electrodes, the wafer and electrode (s) being separated by an interposed dielectric layer.
  • the magnitude of the electrostatic clamping force thus generated depends on the applied voltage, the separation between the wafer and the electrode (s) , and the dielectric constant of the interposed layer.
  • ESCs have gained wide usage in the semiconductor processing industry.
  • it is desirable to control the temperature of the wafer during processing it is desirable to control the temperature of the wafer during processing. Typical processes that benefit from an elevated and controlled wafer temperature include plasma enhanced chemical vapor deposition (CVD) , etching, physical vapor deposition (PVD) and the like.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • Electrostatic chucks incorporating means for heating the chuck are disclosed in the prior art.
  • An example of a heated ESC is disclosed in Abe U.S. Patent No. 4,384,918.
  • Abe discloses a bipolar ESC with electrodes embedded in an insulating sheet., A voltage supplied between the two electrodes electrostatically chucks a semiconductor wafer placed on the top surface of the sheet.
  • the insulating sheet is placed on a supporting base that can be configured with an electrical heater.
  • the wafer is heated by conduction of heat from the heated supporting base through the electrode-containing insulating sheet.
  • the base can be cooled by a water jacket.
  • the device in the '918 patent allows the base to be heated or, in a different configuration, to be cooled.
  • the heating and cooling cannot be effectively controlled. Poor and uneven thermal communication between the wafer and the insulating sheet, and between the insulating sheet and the heated base, and the absence of a means for combining the heating and cooling configurations render temperature control difficult to achieve.
  • Logan U.S. Patent No. 5,155,652 Another heated ESC is disclosed in Logan U.S. Patent No. 5,155,652.
  • Logan discloses a method for temperature cycling a wafer during processing, using a stacked composite ESC assembly. Electrically insulating, thermally conductive layers are interspersed with layers having electrically conductive patterns. The layer assembly is bonded to a heat sinking base with cooling channels. One of the electrically conductive layers provides the two electrodes for the bipolar ESC clamping voltage, and another layer provides a resistive heating element. These layers are attached to a thermally conductive heat sink base containing channels for a coolant liquid and chambers that can be evacuated or filled with a gas, such as helium.
  • the wafer is heated by providing energy to the resistive heating element and evacuating the chambers in the base to insulate the wafer from the heat sinking base.
  • the wafer can be cooled by turning off the heating element and filling the chambers in the heat sink base with a heat conductive gas, such as helium, to communicate thermally with the next layer of the assembly.
  • the Logan device is capable of temperature cycling, but the heating and cooling functions do not act together to maintain the wafer at a desired temperature.
  • the stacked composite assembly is complex to manufacture and is susceptible to polarization of the boron nitride dielectric layer interposed between the wafer and the electrodes.
  • the Logan device is also not suited for high bias power applications where large heat fluxes must be removed to prevent thermal runaway of the wafer because their temperature cooling chambers inhibit good thermal communication with the heat sinking base.
  • Nozawa U.S. Patent No. 5,221,403 discloses a monopolar ESC device with a temperature controlled base member and a detachable second member to support the wafer.
  • the base member contains channels for a circulating liquid held at a predetermined temperature.
  • a thermally conductive gas provides heat conduction between the first and second members by filling the minimal space between the layers.
  • the purpose of the thermally conductive gas is to maintain the wafer and the base member at the same temperature, the temperature being predetermined by the choice of cooling liquid temperature. The range of temperatures achievable is thus limited to the range of temperatures of the liquid used.
  • the Nozawa design is not well suited for high temperature/high bias applications because in order to reach high chuck temperatures (i.e., around 100-400°C) , a high temperature circulating fluid is necessary which may result in safety hazards or clean room incompatibilities. More importantly, the high temperature of the circulating liquid limits the amount of heat which can be removed from the ESC during processing which can lead to thermal runaway.
  • Another object is to provide an ESC with both active heating control and active cooling control. Another object is to provide an actively temperature controlled ESC with feedback control to maintain a predetermined temperature.
  • the present invention relates to a monopolar electrostatic chuck for electrostatically holding an object, such as a semiconducting wafer, comprising: a metallic electrode cap with a dielectric top surface, the cap having a first set of channels through which a coolant liquid can be circulated to cool the electrode cap, and a second set of channels extending through the cap through which a heat conductive gas can flow to provide cooling to the back side of the wafer; a metallic lower electrode having sets of channels that form conduits with the channels of the electrode cap to provide the cooling liquid and conductive gas, the upper surface of the electrode being in direct contact with the bottom surface of the electrode cap and secured mechanically, with a heat-resistive O-ring seal between the bottom surface of the electrode cap and the top surface of the lower electrode; voltage supply means for applying DC and/or RF voltage (s) to both the electrode cap and lower electrode whereby an attractive electrostatic force will be generated between the electrode cap and an object disposed on the
  • the electrode cap may be composed of a metallic electrode coated with a suitable insulator, such as aluminum with a hard anodized coating.
  • the insulator coating could be any other suitable insulator medium such as alumina (with an anodization layer thickness of about 0.002 inches) or aluminum nitride or other ceramic material.
  • the cap may also be a metallic plate coated with a ceramic such as aluminum nitride that is disposed on it through deposition, spray, bonding or other similar process.
  • the cap may also be made of diamond coated molybdenum or ceramic bonded to a metallic plate.
  • the electrode cap may be composed of molybdenum with a diamond coating about 5-50 ⁇ m thick.
  • the electrode cap can comprise two pieces, a cap top and a cap bottom, to facilitate changing damaged ESC surfaces and to reduce machining costs.
  • the electrode cap top may be composed of a metallic electrode coated with a suitable insulator, such as aluminum with a hard anodized coating.
  • the insulator coating could be any other suitable insulator medium such as alumina or aluminum nitride or other ceramic material.
  • the cap top may also be a metallic plate coated with a ceramic such as aluminum nitride that is disposed on it through deposition, spray, bonding or other similar process.
  • the cap top may also be made of diamond coated molybdenum or ceramic bonded to a metallic plate, and can be attached to the cap bottom with threaded fasteners and O-ring sealed.
  • a heat conductive gas such as helium, can be introduced between the cap top and cap bottom to improve thermal communication between the layers.
  • FIG. 1 is a schematic cross section of an electrostatic chuck in accordance with an embodiment of this invention
  • FIG. 2 is a schematic cross section of a two (or three) piece electrode cap in accordance with another embodiment of this invention.
  • FIG. 3 is a schematic cross section of a two or three piece electrode cap with an embedded spiral cable heater in accordance with a further embodiment of this invention.
  • FIG. 4 is a schematic cross section of a two or three piece electrode cap with an embedded annular strip heater in accordance with a further embodiment of this invention.
  • FIG. 1 is a schematic cross section of an ESC 100 according to the present invention.
  • the body of the ESC includes an electrode cap 1 and a lower electrode 2.
  • the lower electrode 2 can be composed of, for example, anodized aluminum.
  • the top surface of the lower electrode 2 is in contact with the bottom surface of the electrode cap 1.
  • the electrode cap 1 and lower electrode 2 assembly is disposed on top of an electrically and thermally insulating ring 3 to insulate the ESC from the processing chamber.
  • the ceramic ring 3 can be composed of, for example, A1 2 0 3 .
  • the electrode cap 1 may be composed of a metallic electrode coated with a suitable insulator, such as aluminum with a hard anodized coating.
  • the insulator coating could be any other suitable insulator medium such as alumina or aluminum nitride or other ceramic material.
  • the electrode cap 1 may be an aluminum metal electrode with an anodized aluminum (A1 2 0 3 ) insulating layer.
  • An aluminum alloy such as 6061-T6 or 5052-H32 may be suitably employed.
  • the anodization coating on the top surface of electrode cap 1 provides a dielectric layer lc, which may have a thickness of 0.001-0.005 inches.
  • the smoothness and flatness finish of the top surface (the clamping or chucking surface) of the dielectric layer lc should be less than about 20 micro-inches and 0.001 inches, respectively.
  • the electrode cap 1 is composed of molybdenum, and the dielectric layer lc of the cap is a diamond film with a thickness of about 5-50 ⁇ m.
  • the corners of the electrode cap 1 should be radiused (i.e., the upper corners of the edge are rounded; see FIG. 1) to about 0.02-0.10", preferably 0.02-0.06", to minimize stress concentrations in the dielectric layer lc and to prevent enhancement of the electric field by a sharp corner.
  • the article 4 to be chucked such as a semiconductor wafer with front and back surfaces, is disposed on the chucking surface lc with the back surface of the wafer 4 in contact with the top surface of the chucking surface lc.
  • the radius of the chucking surface lc should be less than the wafer radius by a few millimeters to prevent exposure of the chucking surface to the plasma.
  • Threaded mechanical fasteners 8 attach the electrode cap 1 to the lower electrode 2.
  • a lip portion of 5 the electrode cap 1 containing the mechanical fasteners 8 extends beyond the edge of the chucking surface lc as shown in FIG. 1, and must be protected from exposure to the plasma on the front side of the wafer 4 during processing. This protection can be achieved by positioning a protective ring
  • the protective ring 15 can be composed of a ceramic, such as A1 2 0 3 .
  • O-ring seals 9 may be provided to minimize leakage of the coolant gas through the interface between the electrode cap and the lower electrode.
  • Channels 5, 5a and 5b are provided to supply a path for a heat conductive gas such as helium, argon or the like to the backside of the wafer 4 to facilitate wafer cooling.
  • the heat conductive gas flows through the central channel 5 and into the channels 5a and 5b that extend through the
  • the conductive gas is introduced through the channels and presented at the backside of the wafer.
  • Two channels 5a are shown in the cross-section of FIG. 1, but typically 4 to 8 channels are used. Likewise, only one channel 5b is shown
  • the heat conductive coolant gas will fill the minimal space between the top of the chucking surface lc and the backside of the wafer 4. Grooves (not shown) may also be provided on the chucking surface lc to facilitate uniform
  • Channels 6 and 6a are provided to supply a path for a circulating liquid for actively cooling the electrode cap 1.
  • the coolant liquid can be water or any suitable liquid, for example, ethylene glycol, silicon oil, fluorinert (liquid
  • the cooling rate can be controlled by using different coolant liquids, by varying the flow rate of the coolant, or by varying the initial temperature of the coolant.
  • the coolant temperature may range from 20-250°C, but preferably from 20-150°C, and more preferably 20-100°C.
  • Electrical connections provide RF power to both the electrode cap 1 and the lower electrode 2 during wafer processing.
  • the RF power is typically about 0-3000 with a DC bias of ⁇ -1000 V.
  • Another set of electrical connections (not shown) provides a clamping voltage about 150 to 500 V, preferably about 300 V, more negative than the average DC bias voltage, to both the electrode cap 1 and the lower electrode 2.
  • a temperature sensor 7 actively monitors the temperature of the electrode cap 1.
  • the temperature sensor can be, for example, a thermocouple placed in thermal contact with the electrode cap 1.
  • One or more resistive cartridge electrical heaters 10 are mounted in the lower electrode 2 to heat the electrode to a temperature of about 100-350°C.
  • the heaters have a power of about 100-400 W each.
  • the cap temperature is typically maintained at ⁇ 200°C (usually 100-150°C) to prevent cracking of the anodization due to differing thermal expansion coefficients for the anodized layer and base material.
  • the cap temperature can be higher, but is typically ⁇ 350°C.
  • two cartridge heaters may be operated in an on/off mode and the coolant liquid, fluorinert, may be circulated using a fixed flow rate and also operating in an on/off mode.
  • a circulating coolant flows through the channels 6a in the electrode cap 1 to cool the ESC, and power is provided to the resistive heaters 10 to heat the ESC.
  • the circulating coolant flow can be turned off while the heaters are on for heating the ESC, and turned on while the heaters are off for cooling the ESC.
  • the circulating coolant can flow continuously.
  • the heater input and circulating coolant flow are adjusted so that the chuck is maintained at the desired temperature.
  • the feedback control system makes use of real time temperature measurement of the electrode cap 1 to determine 5 the required coolant flow and heater input to maintain the electrode cap at the desired temperature.
  • a commercially available temperature controller can read the temperature sensor 7.
  • the temperature controller can operate a solenoid switch to direct the flow of coolant liquid to the 0 channels in the ESC, and can switch off the power to the heating elements, when the temperature of the chuck is higher than a predetermined temperature.
  • the temperature controller can operate a solenoid switch to divert the flow 5 of coolant liquid to a pathway bypassing the ESC, and can switch on the power to the heating elements.
  • the temperature controller can operate an electronic flow controller to reduce or increase the flow rate of coolant liquid and thus vary the cooling rate.
  • the temperature 0 controller can also operate a variable power supply to increase or decrease the input power to the heating elements to reduce or increase the heating rate.
  • the electrode cap can comprise two pieces.
  • FIG. 2 is a schematic cross section of a two-piece electrode cap with a cap top la and a cap bottom lb. The use of a two piece electrode cap facilitates changing damaged ESC surfaces and reduces machining costs.
  • the electrode cap top la may be composed of a metallic electrode coated with a suitable insulator, such as aluminum with a hard anodized coating.
  • the insulator coating could be any other suitable insulator medium such as alumina or aluminum nitride or other ceramic material.
  • the cap top la may also be a metallic plate .coated with a ceramic such as aluminum nitride that is disposed on it through deposition, spray, bonding or other similar process.
  • the cap top la may also be made of diamond-coated molybdenum, or a ceramic, such as aluminum nitride, bonded to a metallic plate.
  • An electrode cap comprising a cap top and a cap bottom, wherein the cap top is a ceramic bonded to a metallic plate, could be considered a three piece electrode cap. For simplicity, however, it will henceforth be referred to as a two piece cap.
  • the cap top la is attached to the cap bottom lb with threaded fasteners 11 and vacuum sealed with an O-ring 16
  • a heat conductive cooling gas such as helium, is delivered to the clamping surface lc by means of channels 5a and 5c. Typically four to eight channels 5a are used. Channel 5c can be machined in either the cap top la or the cap bottom lb. Although only one channel 5c is shown in FIG. 2, four to eight channels are typically used. Additionally, grooves (not shown) can be provided on the top surface of the dielectric layer lc to facilitate coolant gas distribution, as discussed in connection with FIG. 1.
  • the mechanical clamping of the cap top to the cap bottom creates adequate thermal communication between the cap components, but it can be improved by introducing a heat conductive gas, such as helium, between the upper surface of the cap bottom lb and the lower surface of the cap top la.
  • a heat conductive gas such as helium
  • the same helium supply used for wafer cooling can also be used to supply helium between the cap components la and lb or, alternatively, a separate supply can be used if a different gas pressure is desired.
  • the two piece electrode cap in FIG. 2 may additionally incorporate the other elements of the electrode cap described in reference to FIG. 1, such as cooling channels, temperature sensor, and the like. For simplicity, these additional features are not shown in FIG. 2.
  • the active temperature control described above in reference to FIG. 1 is used with the electrode cap of FIG. 2 in the same manner.
  • FIGS. 3 and 4 show additional embodiments of this invention.
  • a two or three piece electrode cap is shown.
  • the caps in FIGS. 3 and 4 include a distributed resistive heater attached to the upper surface of the electrode cap bottom lb. These heaters may be placed in the electrode cap top la or in the electrode cap bottom lb.
  • the resistive heater may be a spirally wound cable heater 17 as shown in FIG.
  • the cable heater 17 for example a nichrome wire heater, is preferred because it allows a relatively large interface surface between the cap top la and cap bottom lb resulting in improved thermal communication.
  • the heater may be 1/16 inch in diameter and 65 inches long, with a stainless steel sheath, providing around 500 W at 120 V.
  • the cable can be inserted in a spiral machined into the cap bottom lb and pressed into place to yield a flush upper surface on the cap bottom lb.
  • the cable heater would be embedded within the mold of a cast cap bottom.
  • the mica foil heaters 18 in FIG. 4 are composed of an etched foil encapsulated by mica insulation on all sides. These mica foil heaters are typically less than 1 mm thick and can easily provide as much as 100 W/in 2 when operating at 260°C. Alternatively, these mica heaters can be enclosed in a steel sheath (typically less than 5 mm thick) and provide up to 50 W/in 2 power densities. Other annular heaters could also be used, for example, boron nitride heaters.
  • FIGS. 3 and 4 may additionally incorporate the other elements of the electrode cap described in reference to FIGS. 1 and 2, such as cooling channels, temperature sensor, and the like. For simplicity, these additional features are not shown in FIGS. 3 and 4.
  • the lower electrode 2 in FIG. 1 when used with the electrode caps of FIGS. 3 or 4 , may not contain the resistive cartridge heaters 10.
  • the active temperature control achieved through the use of feedback control described above in reference to FIG. 1 is used with the electrode cap of FIGS. 3 and 4 in the same manner, except that the heating voltage may be supplied to the cable or foil heating elements instead of, or in addition to, to the resistive cartridge heating elements 10.

Abstract

An electrostatic chuck (100) comprises an electrode cap (1) with a dielectric layer (1c) for attracting and holding the back side of a semiconductor wafer (4) positioned on the top surface of the dielectric layer (1c), and a lower electrode (2). The electrostatic chuck (100) is heated by resistive heating elements (10) attached to or embedded within the chuck (100). The electrostatic chuck (100) is cooled by circulating liquid coolant through channels (6, 6a) in the body of the chuck. Coolant gas is provided through channels (5, 5a, 5b) at the back side of the semiconductor wafer (4) to improve thermal transfer. A feedback control mechanism maintains the chuck, and consequently the wafer, at a predetermined temperature by actively controlling both the heating and the coooling functions.

Description

VARIABLE HIGH TEMPERATURE CHOCK FOR HIGH DENSITY PLASMA CHEMICAL VAPOR DEPOSITION
BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention relates to an electrostatic chuck (ESC) for holding a work object. More particularly, it relates to an electrostatic chuck with active temperatur control, for holding and controlling the temperature of a semiconductor wafer during integrated circuit fabrication.
2. Description of the Prior Art
A number of different chucks are widely used to hold semiconductor wafers during processing. Mechanical chucks can secure the wafer by using arms or clamps to press the wafer against a supporting surface. The clamping force is inherently non-uniform, resulting in uneven stresses in the wafer which can cause deformation, and uneven thermal contact between the wafer and the support. Vacuum chucks secure the wafer by evacuating a void beneath the wafer backside, thereby generating a clamping force due to the pressure differential between the processing chamber and the wafer backside. Vacuum chucks can provide a more uniform clamping force than can mechanical chucks, but in the low pressure environments required for many semiconductor processing applications, the pressure differential is insufficient to generate an adequate clamping force.
Electrostatic chucks combine the advantages of the more uniform clamping ability of vacuum chucks and the usability in vacuum environments of mechanical chucks. In an electrostatic chuck (ESC) , a voltage difference is applied between the wafer and a metallic electrode or pair of electrodes, the wafer and electrode (s) being separated by an interposed dielectric layer. The magnitude of the electrostatic clamping force thus generated depends on the applied voltage, the separation between the wafer and the electrode (s) , and the dielectric constant of the interposed layer. As a result of their advantages over other chucking devices, ESCs have gained wide usage in the semiconductor processing industry. In many semiconductor wafer processing applications it is desirable to control the temperature of the wafer during processing. Typical processes that benefit from an elevated and controlled wafer temperature include plasma enhanced chemical vapor deposition (CVD) , etching, physical vapor deposition (PVD) and the like.
Electrostatic chucks incorporating means for heating the chuck are disclosed in the prior art. An example of a heated ESC is disclosed in Abe U.S. Patent No. 4,384,918. Abe discloses a bipolar ESC with electrodes embedded in an insulating sheet., A voltage supplied between the two electrodes electrostatically chucks a semiconductor wafer placed on the top surface of the sheet. The insulating sheet is placed on a supporting base that can be configured with an electrical heater. The wafer is heated by conduction of heat from the heated supporting base through the electrode-containing insulating sheet. Alternatively, the base can be cooled by a water jacket.
The device in the '918 patent allows the base to be heated or, in a different configuration, to be cooled. The heating and cooling, however, cannot be effectively controlled. Poor and uneven thermal communication between the wafer and the insulating sheet, and between the insulating sheet and the heated base, and the absence of a means for combining the heating and cooling configurations render temperature control difficult to achieve.
Another heated ESC is disclosed in Logan U.S. Patent No. 5,155,652. Logan discloses a method for temperature cycling a wafer during processing, using a stacked composite ESC assembly. Electrically insulating, thermally conductive layers are interspersed with layers having electrically conductive patterns. The layer assembly is bonded to a heat sinking base with cooling channels. One of the electrically conductive layers provides the two electrodes for the bipolar ESC clamping voltage, and another layer provides a resistive heating element. These layers are attached to a thermally conductive heat sink base containing channels for a coolant liquid and chambers that can be evacuated or filled with a gas, such as helium.
In the Logan device, the wafer is heated by providing energy to the resistive heating element and evacuating the chambers in the base to insulate the wafer from the heat sinking base. Alternatively, the wafer can be cooled by turning off the heating element and filling the chambers in the heat sink base with a heat conductive gas, such as helium, to communicate thermally with the next layer of the assembly. The Logan device is capable of temperature cycling, but the heating and cooling functions do not act together to maintain the wafer at a desired temperature. In addition, the stacked composite assembly is complex to manufacture and is susceptible to polarization of the boron nitride dielectric layer interposed between the wafer and the electrodes. This can lead to variations and even loss of control in chucking and de-chucking of substrates. The Logan device is also not suited for high bias power applications where large heat fluxes must be removed to prevent thermal runaway of the wafer because their temperature cooling chambers inhibit good thermal communication with the heat sinking base.
Nozawa (U.S. Patent No. 5,221,403) discloses a monopolar ESC device with a temperature controlled base member and a detachable second member to support the wafer. The base member contains channels for a circulating liquid held at a predetermined temperature. A thermally conductive gas provides heat conduction between the first and second members by filling the minimal space between the layers. In the '403 patent, the purpose of the thermally conductive gas is to maintain the wafer and the base member at the same temperature, the temperature being predetermined by the choice of cooling liquid temperature. The range of temperatures achievable is thus limited to the range of temperatures of the liquid used. Further, the Nozawa design is not well suited for high temperature/high bias applications because in order to reach high chuck temperatures (i.e., around 100-400°C) , a high temperature circulating fluid is necessary which may result in safety hazards or clean room incompatibilities. More importantly, the high temperature of the circulating liquid limits the amount of heat which can be removed from the ESC during processing which can lead to thermal runaway.
None of the ESCs currently available is able to provide adequate temperature control for wafers during plasma-based processing. A device is needed that can maintain a wafer at a predetermined elevated processing temperature. Both the heating and the cooling functions must be controllable to provide a wide range of accessible temperatures at which a wafer can be held with precision.
SUMMARY OF THE INVENTION
In general, it is an object of the invention to provide an ESC with improved temperature control.
Another object is to provide an ESC with both active heating control and active cooling control. Another object is to provide an actively temperature controlled ESC with feedback control to maintain a predetermined temperature.
In accordance with the above objects and others that will be mentioned and will become apparent below, the present invention relates to a monopolar electrostatic chuck for electrostatically holding an object, such as a semiconducting wafer, comprising: a metallic electrode cap with a dielectric top surface, the cap having a first set of channels through which a coolant liquid can be circulated to cool the electrode cap, and a second set of channels extending through the cap through which a heat conductive gas can flow to provide cooling to the back side of the wafer; a metallic lower electrode having sets of channels that form conduits with the channels of the electrode cap to provide the cooling liquid and conductive gas, the upper surface of the electrode being in direct contact with the bottom surface of the electrode cap and secured mechanically, with a heat-resistive O-ring seal between the bottom surface of the electrode cap and the top surface of the lower electrode; voltage supply means for applying DC and/or RF voltage (s) to both the electrode cap and lower electrode whereby an attractive electrostatic force will be generated between the electrode cap and an object disposed on the top surface of the electrode cap; heating means for heating the ESC; and feedback means for controlling the temperature of the wafer.
In general, the electrode cap may be composed of a metallic electrode coated with a suitable insulator, such as aluminum with a hard anodized coating. The insulator coating could be any other suitable insulator medium such as alumina (with an anodization layer thickness of about 0.002 inches) or aluminum nitride or other ceramic material. The cap may also be a metallic plate coated with a ceramic such as aluminum nitride that is disposed on it through deposition, spray, bonding or other similar process. The cap may also be made of diamond coated molybdenum or ceramic bonded to a metallic plate. Specifically, the electrode cap may be composed of molybdenum with a diamond coating about 5-50 μm thick.
In alternative embodiments, the electrode cap can comprise two pieces, a cap top and a cap bottom, to facilitate changing damaged ESC surfaces and to reduce machining costs. In general, the electrode cap top may be composed of a metallic electrode coated with a suitable insulator, such as aluminum with a hard anodized coating. The insulator coating could be any other suitable insulator medium such as alumina or aluminum nitride or other ceramic material. The cap top may also be a metallic plate coated with a ceramic such as aluminum nitride that is disposed on it through deposition, spray, bonding or other similar process. The cap top may also be made of diamond coated molybdenum or ceramic bonded to a metallic plate, and can be attached to the cap bottom with threaded fasteners and O-ring sealed. A heat conductive gas, such as helium, can be introduced between the cap top and cap bottom to improve thermal communication between the layers.
BRIEF DESCRIPTION OF THE DRAWINGS
For a further understanding of the objects and advantages of the present invention, reference should be had to the following detailed description, taken in conjunction with the accompanying figures, wherein:
FIG. 1 is a schematic cross section of an electrostatic chuck in accordance with an embodiment of this invention;
FIG. 2 is a schematic cross section of a two (or three) piece electrode cap in accordance with another embodiment of this invention;
FIG. 3 is a schematic cross section of a two or three piece electrode cap with an embedded spiral cable heater in accordance with a further embodiment of this invention; and
FIG. 4 is a schematic cross section of a two or three piece electrode cap with an embedded annular strip heater in accordance with a further embodiment of this invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The embodiments of this invention are explained with reference to FIGS. 1-4.
FIG. 1 is a schematic cross section of an ESC 100 according to the present invention. The body of the ESC includes an electrode cap 1 and a lower electrode 2. The lower electrode 2 can be composed of, for example, anodized aluminum. The top surface of the lower electrode 2 is in contact with the bottom surface of the electrode cap 1. The electrode cap 1 and lower electrode 2 assembly is disposed on top of an electrically and thermally insulating ring 3 to insulate the ESC from the processing chamber. The ceramic ring 3 can be composed of, for example, A1203.
In general, the electrode cap 1 may be composed of a metallic electrode coated with a suitable insulator, such as aluminum with a hard anodized coating. The insulator coating could be any other suitable insulator medium such as alumina or aluminum nitride or other ceramic material. For example, the electrode cap 1 may be an aluminum metal electrode with an anodized aluminum (A1203) insulating layer. An aluminum alloy such as 6061-T6 or 5052-H32 may be suitably employed. The anodization coating on the top surface of electrode cap 1 provides a dielectric layer lc, which may have a thickness of 0.001-0.005 inches. The coating should be sealed, non-porous, and provide an electrical voltage breakdown strength of at least 500 volts/mil (1 mil = 0.001 inch) . The smoothness and flatness finish of the top surface (the clamping or chucking surface) of the dielectric layer lc should be less than about 20 micro-inches and 0.001 inches, respectively. Alternatively, the electrode cap 1 is composed of molybdenum, and the dielectric layer lc of the cap is a diamond film with a thickness of about 5-50 μm.
The corners of the electrode cap 1 should be radiused (i.e., the upper corners of the edge are rounded; see FIG. 1) to about 0.02-0.10", preferably 0.02-0.06", to minimize stress concentrations in the dielectric layer lc and to prevent enhancement of the electric field by a sharp corner. The article 4 to be chucked, such as a semiconductor wafer with front and back surfaces, is disposed on the chucking surface lc with the back surface of the wafer 4 in contact with the top surface of the chucking surface lc. The radius of the chucking surface lc should be less than the wafer radius by a few millimeters to prevent exposure of the chucking surface to the plasma.
Threaded mechanical fasteners 8 attach the electrode cap 1 to the lower electrode 2. A lip portion of 5 the electrode cap 1 containing the mechanical fasteners 8 extends beyond the edge of the chucking surface lc as shown in FIG. 1, and must be protected from exposure to the plasma on the front side of the wafer 4 during processing. This protection can be achieved by positioning a protective ring
10 15 on the lip portion of electrode cap 1, as shown in FIG. 1. The protective ring 15 can be composed of a ceramic, such as A1203. In a preferred embodiment, O-ring seals 9 may be provided to minimize leakage of the coolant gas through the interface between the electrode cap and the lower electrode.
15 Channels 5, 5a and 5b .are provided to supply a path for a heat conductive gas such as helium, argon or the like to the backside of the wafer 4 to facilitate wafer cooling. The heat conductive gas flows through the central channel 5 and into the channels 5a and 5b that extend through the
20 dielectric layer lc to the back surface of the wafer 4.
Hence, the conductive gas is introduced through the channels and presented at the backside of the wafer. Two channels 5a are shown in the cross-section of FIG. 1, but typically 4 to 8 channels are used. Likewise, only one channel 5b is shown
25 for simplicity, but typically 4-8 or more channels 5b are used. The heat conductive coolant gas will fill the minimal space between the top of the chucking surface lc and the backside of the wafer 4. Grooves (not shown) may also be provided on the chucking surface lc to facilitate uniform
30 distribute of gas to improve heat transfer.
Channels 6 and 6a are provided to supply a path for a circulating liquid for actively cooling the electrode cap 1. The coolant liquid can be water or any suitable liquid, for example, ethylene glycol, silicon oil, fluorinert (liquid
35 teflon) or a water/glycol mixture. The cooling rate can be controlled by using different coolant liquids, by varying the flow rate of the coolant, or by varying the initial temperature of the coolant. The coolant temperature may range from 20-250°C, but preferably from 20-150°C, and more preferably 20-100°C.
Electrical connections (not shown) provide RF power to both the electrode cap 1 and the lower electrode 2 during wafer processing. The RF power is typically about 0-3000 with a DC bias of < -1000 V. Another set of electrical connections (not shown) provides a clamping voltage about 150 to 500 V, preferably about 300 V, more negative than the average DC bias voltage, to both the electrode cap 1 and the lower electrode 2.
A temperature sensor 7 actively monitors the temperature of the electrode cap 1. The temperature sensor can be, for example, a thermocouple placed in thermal contact with the electrode cap 1. One or more resistive cartridge electrical heaters 10 are mounted in the lower electrode 2 to heat the electrode to a temperature of about 100-350°C. The heaters have a power of about 100-400 W each. For the anodized aluminum cap, the cap temperature is typically maintained at < 200°C (usually 100-150°C) to prevent cracking of the anodization due to differing thermal expansion coefficients for the anodized layer and base material. For the diamond or ceramic coated design, the cap temperature can be higher, but is typically < 350°C. In a preferred embodiment, two cartridge heaters may be operated in an on/off mode and the coolant liquid, fluorinert, may be circulated using a fixed flow rate and also operating in an on/off mode.
To maintain a desired wafer temperature, a circulating coolant flows through the channels 6a in the electrode cap 1 to cool the ESC, and power is provided to the resistive heaters 10 to heat the ESC. The circulating coolant flow can be turned off while the heaters are on for heating the ESC, and turned on while the heaters are off for cooling the ESC. Alternatively, the circulating coolant can flow continuously. Through a feedback control loop, the heater input and circulating coolant flow are adjusted so that the chuck is maintained at the desired temperature.
The feedback control system makes use of real time temperature measurement of the electrode cap 1 to determine 5 the required coolant flow and heater input to maintain the electrode cap at the desired temperature. For example, a commercially available temperature controller can read the temperature sensor 7. The temperature controller can operate a solenoid switch to direct the flow of coolant liquid to the 0 channels in the ESC, and can switch off the power to the heating elements, when the temperature of the chuck is higher than a predetermined temperature. When the wafer temperature is lower than a predetermined temperature, the temperature controller can operate a solenoid switch to divert the flow 5 of coolant liquid to a pathway bypassing the ESC, and can switch on the power to the heating elements. Alternatively, the temperature controller can operate an electronic flow controller to reduce or increase the flow rate of coolant liquid and thus vary the cooling rate. The temperature 0 controller can also operate a variable power supply to increase or decrease the input power to the heating elements to reduce or increase the heating rate.
For a given processing condition (e.g., pressure, source power, bias power etc.), one can select the
25 appropriate ESC temperature and backside pressure to reach and maintain the desired wafer temperature. As an example, for high density plasma dielectric gap fill deposition of Si02, one may desire a wafer temperature of around 375°C. With this ESC design, following an initial transient (around
30 30 seconds) , one can maintain the desired wafer temperature of around 375°C indefinitely at 2000 W bias power, as determined by a fluoroptic temperature probe (Luxtron™) . The representative ESC parameters corresponding to this example are as follows: Two 400 W cartridge heaters; 55°C, 50/50
35 glycol/water liquid coolant at 0.6 gal/min flow; 2 Torr backside helium pressure; electrode cap temperature of 120°C, - 300 V clamping voltage; 2500 W source power and 2000 W bias power. For lower bias powers to achieve the same wafer temperature, one might use a higher ESC temperature. To obtain lower wafer temperatures, one might increase the helium pressure or reduce the electrode cap temperature. In an alternative embodiment, the electrode cap can comprise two pieces. FIG. 2 is a schematic cross section of a two-piece electrode cap with a cap top la and a cap bottom lb. The use of a two piece electrode cap facilitates changing damaged ESC surfaces and reduces machining costs. In general, the electrode cap top la may be composed of a metallic electrode coated with a suitable insulator, such as aluminum with a hard anodized coating. The insulator coating could be any other suitable insulator medium such as alumina or aluminum nitride or other ceramic material. The cap top la may also be a metallic plate .coated with a ceramic such as aluminum nitride that is disposed on it through deposition, spray, bonding or other similar process. The cap top la may also be made of diamond-coated molybdenum, or a ceramic, such as aluminum nitride, bonded to a metallic plate. (An electrode cap comprising a cap top and a cap bottom, wherein the cap top is a ceramic bonded to a metallic plate, could be considered a three piece electrode cap. For simplicity, however, it will henceforth be referred to as a two piece cap.) The cap top la is attached to the cap bottom lb with threaded fasteners 11 and vacuum sealed with an O-ring 16
(such as a Kalrez™ O-ring) suitable for high temperature use. For simplicity, only one fastener 11 is shown in the cross- sectional diagram of FIG. 2, but typically 4 or more fasteners are used. A heat conductive cooling gas, such as helium, is delivered to the clamping surface lc by means of channels 5a and 5c. Typically four to eight channels 5a are used. Channel 5c can be machined in either the cap top la or the cap bottom lb. Although only one channel 5c is shown in FIG. 2, four to eight channels are typically used. Additionally, grooves (not shown) can be provided on the top surface of the dielectric layer lc to facilitate coolant gas distribution, as discussed in connection with FIG. 1.
The mechanical clamping of the cap top to the cap bottom creates adequate thermal communication between the cap components, but it can be improved by introducing a heat conductive gas, such as helium, between the upper surface of the cap bottom lb and the lower surface of the cap top la. For simplicity, the same helium supply used for wafer cooling can also be used to supply helium between the cap components la and lb or, alternatively, a separate supply can be used if a different gas pressure is desired.
The two piece electrode cap in FIG. 2 may additionally incorporate the other elements of the electrode cap described in reference to FIG. 1, such as cooling channels, temperature sensor, and the like. For simplicity, these additional features are not shown in FIG. 2. The active temperature control described above in reference to FIG. 1 is used with the electrode cap of FIG. 2 in the same manner. FIGS. 3 and 4 show additional embodiments of this invention. In FIG. 3, a two or three piece electrode cap is shown. The caps in FIGS. 3 and 4 include a distributed resistive heater attached to the upper surface of the electrode cap bottom lb. These heaters may be placed in the electrode cap top la or in the electrode cap bottom lb. The resistive heater may be a spirally wound cable heater 17 as shown in FIG. 3, for example, or a thin annular strip or mica foil heater 18 as shown in FIG. 4. The cable heater 17, for example a nichrome wire heater, is preferred because it allows a relatively large interface surface between the cap top la and cap bottom lb resulting in improved thermal communication. For example, the heater may be 1/16 inch in diameter and 65 inches long, with a stainless steel sheath, providing around 500 W at 120 V. The cable can be inserted in a spiral machined into the cap bottom lb and pressed into place to yield a flush upper surface on the cap bottom lb. Preferably, the cable heater would be embedded within the mold of a cast cap bottom.
Alternatively, the mica foil heaters 18 in FIG. 4 are composed of an etched foil encapsulated by mica insulation on all sides. These mica foil heaters are typically less than 1 mm thick and can easily provide as much as 100 W/in2 when operating at 260°C. Alternatively, these mica heaters can be enclosed in a steel sheath (typically less than 5 mm thick) and provide up to 50 W/in2 power densities. Other annular heaters could also be used, for example, boron nitride heaters.
The embodiments described in FIGS. 3 and 4 may additionally incorporate the other elements of the electrode cap described in reference to FIGS. 1 and 2, such as cooling channels, temperature sensor, and the like. For simplicity, these additional features are not shown in FIGS. 3 and 4. In addition, the lower electrode 2 in FIG. 1, when used with the electrode caps of FIGS. 3 or 4 , may not contain the resistive cartridge heaters 10. The active temperature control achieved through the use of feedback control described above in reference to FIG. 1 is used with the electrode cap of FIGS. 3 and 4 in the same manner, except that the heating voltage may be supplied to the cable or foil heating elements instead of, or in addition to, to the resistive cartridge heating elements 10.
While the foregoing detailed description has described several embodiments of the electrostatic chuck with active temperature control in accordance with this invention, it is to be understood that the above description is illustrative only and is not intended to limit the disclosed invention. It will be appreciated that other various changes may be made without departing from the spirit and scope of the present invention, as defined by the claims.

Claims

CLAIMSWhat is claimed is:
1. An electrostatic chuck for electrostatically attracting and holding an object with front and back surfaces, comprising: an electrode cap with top and bottom surfaces and provided with a dielectric layer disposed on said top surface, said electrode cap being provided with a first channel means and a second channel means; a lower electrode with top and bottom surfaces, said top surface of said lower electrode being fastened to said bottom surface of said electrode cap, said lower electrode being provided with a third channel means and a fourth channel means, wherein said third channel means of said lower electrode and said first channel means of said electrode cap form a conduit to convey a heat conductive gas from said bottom surface of said lower electrode to said back surface of said object, and wherein said second channel means of said electrode cap and said fourth channel means of said lower electrode form a conduit to convey a circulating coolant liquid from said bottom surface of said lower electrode to said electrode cap; heating means for heating said electrostatic chuck; and feedback control to maintain said chuck at a predetermined temperature.
2. An electrostatic chuck as set forth in claim 1 further comprising DC bias means for biasing said electrostatic chuck to provide an electrostatic clamping voltage and RF bias means for applying bias power to said object.
3. An electrostatic chuck as set forth in claim 1 wherein said feedback control includes a means to control the heating means and a means to control the circulating coolant liquid means.
4. An electrostatic chuck as set forth in claim 3 wherein the means to control the heating means includes a variable voltage power supply provided to the heating means, whereby the amount of power provided to the heating means can be controlled.
5. An electrostatic chuck as set forth in claim 3 wherein the means to control the circulating liquid means includes a liquid flow controller whereby the rate of flow of said circulating liquid through the electrode cap can be controlled.
6. An electrostatic chuck as set forth in claim 1 wherein the heating means is a multiplicity of resistive cylindrical cartridge heaters.
7. An electrostatic chuck as set forth in claim 6 wherein the resistive cartridge heaters are mounted in the lower electrode.
8. An electrostatic chuck as set forth in claim 6 wherein the resistive cartridge heaters are mounted in the electrode cap.
9. An electrostatic chuck as set forth in claim 1 wherein the heating means is a resistive cable heater.
10. An electrostatic chuck as set forth in claim 9 wherein the resistive cable heater is mounted in the lower electrode.
11. An electrostatic chuck as set forth in claim 9 wherein the resistive cable heater is mounted in the electrode cap.
12. An electrostatic chuck as set forth in claim 1 wherein the heating means is an annular strip heater.
13. An electrostatic chuck as set forth in claim 12 wherein the annular strip heater is mounted in the lower electrode.
14. An electrostatic chuck as set forth in claim 12 wherein the annular strip heater is mounted in the electrode cap.
15. An electrostatic chuck as set forth in claim 1 wherein the electrode cap is composed of aluminum and the dielectric layer is composed of aluminum oxide.
16. An electrostatic chuck as set forth in claim 1 wherein the electrode cap is composed of molybdenum and the dielectric layer is composed of diamond.
17. An electrostatic chuck as set forth in claim 16 wherein the thickness of the diamond dielectric layer is approximately 5-50 μm.
18. An electrostatic chuck as set forth in claim 1 wherein the electrode cap is metallic and the dielectric layer is composed of a ceramic.
19. An electrostatic chuck as set forth in claim
18 wherein the ceramic is aluminum nitride.
20. An electrostatic chuck as set forth in claim 1 wherein the heat conductive gas is helium, argon, nitrogen or oxygen.
21. An electrostatic .chuck as set forth in claim 1 wherein the circulating liquid coolant is deionized water, ethylene glycol, fluorinert, silicon oil or a mixture of deionized water and ethylene glycol.
22. An electrostatic chuck for electrostatically attracting and holding an object with front and back surfaces, comprising: an electrode cap with top and bottom surfaces and provided with a dielectric layer disposed on said top surface, said electrode cap being provided with a first channel means and a second channel means; a lower electrode with top and bottom surfaces, said top surface of said lower electrode being fastened to said bottom surface of said electrode cap, said lower electrode being provided with a third channel means and a fourth channel means, wherein said third channel means of said lower electrode and said first channel means of said electrode cap form a conduit to convey a heat conductive gas from said bottom surface of said lower electrode to said back surface of said object, and wherein said second channel means of said electrode cap and said fourth channel means of said lower electrode form a conduit to convey a circulating coolant liquid from said bottom surface of said lower electrode to said electrode cap; a multiplicity of resistive cartridge heaters mounted in said lower electrode; and 5 feedback control to maintain said chuck at a predetermined temperature, said feedback control including a means to control the heating means and a means to control the circulating coolant liquid means, said means to control the heating means including a power supply provided to the
10 heating means, whereby the power provided to the heating means can be turned on or off, said means to control the circulating liquid means including a solenoid activated valve whereby the flow of said circulating liquid through the electrode cap can be regulated from normal flow to trickle or
15 no flow.
23. An electrostatic chuck as set forth in claim 22 wherein the electrode cap is composed of aluminum and the dielectric layer is composed of aluminum oxide.
24. An electrostatic chuck as set forth in claim 20 22 wherein the electrode cap is composed of molybdenum and the dielectric layer is composed of diamond, said dielectric layer having a thickness of approximately 5-50 μm.
25. An electrostatic chuck for electrostatically attracting and holding an object with front and back
25 surfaces, comprising: an electrode cap including a cap top with top and bottom surfaces and provided with a dielectric layer disposed on said top surface of said cap top, and a cap bottom with top and bottom surfaces, said top surface of said cap bottom
30 being fastened to said bottom surface of said cap top, said electrode cap being provided with a first channel means and a second channel means; a lower electrode with top and bottom surfaces, said top surface of said lower electrode being fastened to
35 said bottom surface of said electrode cap, said lower electrode being provided with a third channel means and a fourth channel means, wherein said third channel means of said lower electrode and said first channel means of said electrode cap form a conduit to convey a heat conductive gas from said bottom surface of said lower electrode to said back surface of said object, and wherein said second channel means of said electrode cap and said fourth channel means of said lower electrode form a conduit to convey a circulating coolant liquid from said bottom surface of said lower electrode to said electrode cap; and heating means for heating said electrostatic chuck;
26. An electrostatic chuck as set forth in claim
25 further comprising DC bias means for biasing said electrostatic chuck to provide an electrostatic clamping voltage and RF bias means for applying bias power to said object.
27. An electrostatic chuck as set forth in claim
25 further comprising feedback control to maintain said chuck at a predetermined temperature.
28. An electrostatic chuck as set forth in claim
27 wherein said feedback control includes a means to control the heating means and a means to control the circulating coolant liquid means.
29. An electrostatic chuck as set forth in claim
28 wherein the means to control the heating means includes a variable voltage power supply provided to the heating means, whereby the amount of power provided to the heating means can be controlled.
30. An electrostatic chuck as set forth in claim 28 wherein the means to control the circulating liquid means includes a liquid flow controller whereby the rate of flow of said circulating liquid through the electrode cap can be controlled.
- 31. An electrostatic chuck as set forth in claim
25 wherein the heating means is a multiplicity of resistive cylindrical cartridge heaters.
32. An electrostatic chuck as set forth in claim
31 wherein the resistive cartridge heaters are mounted in the lower electrode.
33. An electrostatic chuck as set forth in claim 31 wherein the resistive cartridge heaters are mounted in the electrode cap.
34. An electrostatic chuck as set forth in claim 5 25 wherein the heating means is a resistive cable heater.
35. An electrostatic chuck as set forth in claim 34 wherein the resistive cable heater is mounted in the lower electrode.
36. An electrostatic chuck as set forth in claim 10 34 wherein the resistive cable heater is mounted in the electrode cap.
37. An electrostatic chuck as set forth in claim 25 wherein the heating means is an annular strip heater.
38. An electrostatic chuck as set forth in claim 15 37 wherein the annular strip heater is mounted in the lower electrode.
39. An electrostatic chuck as set forth in claim 37 wherein the annular strip heater is mounted in the electrode cap.
20 40. An electrostatic chuck as set forth in claim
25 wherein the cap top is composed of aluminum and the dielectric layer is composed of aluminum oxide.
41. An electrostatic chuck as set forth in claim 25 wherein the cap top is composed of molybdenum and the
25 dielectric layer is composed of diamond.
42. An electrostatic chuck as set forth in claim 41 wherein the thickness of the diamond dielectric layer is approximately 5-50 μm.
43. An electrostatic chuck as set forth in claim 30 25 wherein the cap top is metallic and the dielectric layer is composed of a ceramic.
44. An electrostatic chuck as set forth in claim 43 wherein the ceramic is aluminum nitride.
45. An electrostatic chuck as set forth in claim 35 25 wherein the heat conductive gas is helium, argon, nitrogen or oxygen.
46. An electrostatic chuck as set forth in claim 25 wherein the circulating liquid coolant is deionized water, ethylene glycol, fluorinert, silicon oil or a mixture of deionized water and ethylene glycol.
47. An electrostatic chuck for electrostatically attracting and holding an object with front and back surfaces, comprising: an electrode cap including a cap top with top and bottom surfaces and provided with a dielectric layer disposed on said top surface of said cap top, and a cap bottom with top and bottom surfaces, said top surface of said cap bottom being fastened to said bottom surface of said cap top, said electrode cap being provided with a first channel means and a second channel means; a lower electrode with top and bottom surfaces, said top surface of said lower electrode being fastened to said bottom surface of said electrode cap, said lower electrode being provided with a third channel means and a fourth channel means, wherein said third channel means of said lower electrode and said first channel means of said electrode cap form a conduit to convey a heat conductive gas from said bottom surface of said lower electrode to said back surface of said object, and wherein said second channel means of said electrode cap and said fourth channel means of said lower electrode form a conduit to convey a circulating coolant liquid from said bottom surface of said lower electrode to said electrode cap; a multiplicity of resistive cartridge heaters mounted in said lower electrode; and feedback control to maintain said chuck at a predetermined temperature, said feedback control including a means to control the heating means and a means to control the circulating coolant liquid means, said means to control the heating means including a power supply provided to the heating means, whereby the power provided to the heating means can be turned on or off, said means to control the circulating liquid means including a solenoid activated valve whereby the flow of said circulating liquid through the electrode cap can be regulated from normal flow to trickle or no flow.
48. An electrostatic chuck as set forth in claim 47 wherein the cap top is composed of aluminum and the dielectric layer is composed of aluminum oxide.
49. An electrostatic chuck as set forth in claim 47 wherein the cap top is composed of molybdenum and the dielectric layer is composed of diamond, said dielectric layer having a thickness of approximately 5-50 μm.
50. An electrostatic chuck as set forth in claim 47 wherein the cap top is metallic and the dielectric layer is composed of aluminum nitride.
51. An electrostatic chuck for electrostatically attracting and holding an object with front and back surfaces, comprising: an electrode cap including a cap top with top and bottom surfaces and provided with a dielectric layer disposed on said top surface of said cap top, and a cap bottom with top and bottom surfaces, said top surface of said cap bottom being fastened to said bottom surface of said cap top, said electrode cap being provided with a first channel means and a second channel means; a lower electrode with top and bottom surfaces, said top surface of said lower electrode being fastened to said bottom surface of said electrode cap, said lower electrode being provided with a third channel means and a fourth channel means, wherein said third channel means of said lower electrode and said first channel means of said electrode cap form a conduit to convey a heat conductive gas from said bottom surface of said lower electrode to said back surface of said object, and wherein said second channel means of said electrode cap and said fourth channel means of said lower electrode form a conduit to convey a circulating coolant liquid from said bottom surface of said lower electrode to said electrode cap; heating means for heating said electrostatic chuck, said heating means being embedded in said top surface of said cap bottom; and feedback control to maintain said chuck at a predetermined temperature, said feedback control including a means to control the heating means and a means to control the circulating coolant liquid means, said means to control the heating means including a power supply provided to the heating means, whereby the power provided to the heating means can be turned on or off, said means to control the circulating liquid means including a solenoid activated valve whereby the flow of said circulating liquid through the electrode cap can be regulated from normal flow to trickle or no flow.
52. An electrostatic .chuck as set forth in claim
51 wherein the heating means is a resistive cable heater.
53. An electrostatic chuck as set forth in claim 51 wherein the heating means is a mica foil heater.
54. An electrostatic chuck as set forth in claim 51 wherein the cap top is composed of aluminum and the dielectric layer is composed of aluminum oxide.
55. An electrostatic chuck as set forth in claim 51 wherein the cap top is composed of molybdenum and the dielectric layer is composed of diamond, said dielectric layer having a thickness of approximately 5-50 μm.
56. An electrostatic chuck as set forth in claim 51 wherein the cap top is metallic and the dielectric layer is composed of aluminum nitride.
AMENDED CLAIMS
[received by the International Bureau on 10 March 1998 (10.03.98); original claims 1-56 replaced by new claims 1-51 (12 pages)]
1. An electrostatic chuck for electrostatically attracting and holding an object with front and back surfaces, comprising: an electrode cap with top and bottom surfaces, said electrode cap being provided with a first channel means and a second channel means; a lower electrode with top and bottom surfaces, said top surface of said lower electrode being fastened to said bottom surface of said electrode cap, said lower electrode being provided with a third channel means and a fourth channel means, said third and fourth channel means passing entirely through said lower electrode and connecting said lower electrode bottom surface with said lower electrode top surface, wherein said third channel means of said lower electrode and said first channel means of said electrode cap form a conduit to convey a heat conductive gas from said bottom surface of said lower electrode to said back surface of said object, and wherein said second channel means of said electrode cap and said fourth channel means of said lower electrode form a conduit to convey a circulating coolant liquid from said bottom surface of said lower electrode to said electrode cap; heating means for heating said electrostatic chuck mounted in said lower electrode, at least a portion of the heating means being situated, in a vertical direction, below where said circulating coolant enters said electrode cap; and feedback control to maintain said chuck at a predetermined temperature .
2. An electrostatic chuck as set forth in claim 1 further comprising DC bias means for biasing said electrostatic chuck to provide an electrostatic clamping voltage and RF bias means for applying bias power to said object.
3. An electrostatic chuck as set forth in claim 1 wherein said feedback control includes a means to control the heating means and a means to control the circulating coolant liquid means.
4. An electrostatic chuck as set forth in claim 3 wherein the means to control the heating means includes a variable voltage power supply provided to the heating means, whereby the amount of power provided to the heating means can be controlled.
5. An electrostatic chuck as set forth in claim 3 wherein the means to control the circulating liquid means includes a liquid flow controller whereby the rate of flow of said circulating liquid through the electrode cap can be controlled.
6. An electrostatic chuck as set forth in claim 1 wherein the heating means is a multiplicity of resistive cylindrical cartridge heaters.
7. An electrostatic chuck as set forth in claim 1 wherein the heating means is a resistive cable heater.
8. An electrostatic chuck as set forth in claim 1 wherein the heating means is an annular strip heater.
9. An electrostatic chuck as set forth in claim 1 wherein the electrode cap is composed of aluminum and the top surface of the electrode cap is provided with a dielectric layer composed of aluminum oxide.
10. An electrostatic chuck as set forth in claim 1 wherein the electrode cap is composed of molybdenum and the top surface of the electrode cap is provided with a dielectric layer composed of diamond.
11. An electrostatic chuck as set forth in claim 10 wherein the thickness of the diamond dielectric layer is approximately 5-50 μm.
12. An electrostatic chuck as set forth in claim 1 wherein the electrode cap is metallic and the top surface of the electrode cap is provided with a dielectric layer composed of a ceramic.
13. An electrostatic chuck as set forth in claim 12 wherein the ceramic is aluminum nitride.
14. An electrostatic chuck as set forth in claim 1 wherein the heat conductive gas is helium, argon, nitrogen or oxygen.
15. An electrostatic chuck as set forth in claim 1 wherein the circulating liquid coolant is deionized water, ethylene glycol, fluorinert, silicon oil or a mixture of deionized water and ethylene glycol.
16. An electrostatic chuck for electrostatically attracting and holding an object with front and back surfaces, comprising: an electrode cap with top and bottom surfaces, said electrode cap being provided with a first channel means and a second channel means; a lower electrode with top and bottom surfaces, said top surface of said lower electrode being fastened to said bottom surface of said electrode cap, said lower electrode being provided with a third channel means and a fourth channel means, said third and fourth channel means passing entirely through said lower electrode and connecting said lower electrode bottom surface with said lower electrode top surface, wherein said third channel means of said lower electrode and said first channel means of said electrode cap form a conduit to convey a heat conductive gas from said bottom surface of said lower electrode to said back surface of said object, and wherein said second channel means of said electrode cap and said fourth channel means of said lower electrode form a conduit to convey a circulating coolant liquid from said bottom surface of said lower electrode to said electrode cap; a multiplicity of resistive cartridge heaters mounted in said lower electrode, at least a portion of the heaters being situated, in a vertical direction, below where said circulating coolant enters said electrode cap; and feedback control to maintain said chuck at a predetermined temperature, said feedback control including a means to control the heating means and a means to control the circulating coolant liquid means, said means to control the heating means including a power supply provided to the heating means, whereby the power provided to the heating means can be turned on or off, said means to control the circulating liquid means including a solenoid activated valve whereby the flow of said circulating liquid through the electrode cap can be regulated from normal flow to trickle or no flow.
17. An electrostatic chuck as set forth in claim 16 wherein the electrode cap is composed of aluminum and the top surface of the electrode cap is provided with a dielectric layer composed of aluminum oxide.
18. An electrostatic chuck as set forth in claim 16 wherein the electrode cap is composed of molybdenum and the top surface of the electrode cap is provided with a dielectric layer composed of diamond, said dielectric layer having a thickness of approximately 5-50 μm.
19. An electrostatic chuck for electrostatically attracting and holding an object with front and back surfaces, comprising: an electrode cap including a cap top with top and bottom surfaces, and a cap bottom with top and bottom surfaces, said top surface of said cap bottom being fastened to said bottom surface of said cap top, said electrode cap being provided with a first channel means and a second channel means ; a lower electrode with top and bottom surfaces, said top surface of said lower electrode being fastened to said bottom surface of said electrode cap, said lower electrode being provided with a third channel means and a fourth channel means, said third and fourth channel means passing entirely through said lower electrode and connecting said lower electrode bottom surface with said lower electrode top surface, wherein said third channel means of said lower electrode and said first channel means of said electrode cap form a conduit to convey a heat conductive gas from said bottom surface of said lower electrode to said back surface of said object, and wherein said second channel means of said electrode cap and said fourth channel means of said lower electrode form a conduit to convey a circulating coolant liquid from said bottom surface of said lower electrode to said electrode cap; and heating means for heating said electrostatic chuck mounted in said lower electrode, at least a portion of said heating means being situated, in a vertical direction, below where said circulating coolant enters said electrode cap.
20. An electrostatic chuck as set forth in claim 19 further comprising DC bias means for biasing said electrostatic chuck to provide an electrostatic clamping voltage and RF bias means for applying bias power to said object.
21. An electrostatic chuck as set forth in claim 19 further comprising feedback control to maintain said chuck at a predetermined temperature.
22. An electrostatic chuck as set forth in claim 21 wherein said feedback control includes a means to control the heating means and a means to control the circulating coolant liquid means.
23. An electrostatic chuck as set forth in claim 22 wherein the means to control the heating means includes a variable voltage power supply provided to the heating means, whereby the amount of power provided to the heating means can be controlled.
24. An electrostatic chuck as set forth in claim 22 wherein the means to control the circulating liquid means includes a liquid flow controller whereby the rate of flow of said circulating liquid through the electrode cap can be controlled.
25. An electrostatic chuck as set forth in claim 19 wherein the heating means is a multiplicity of resistive cylindrical cartridge heaters.
26. An electrostatic chuck as set forth in claim 19 wherein the heating means is a resistive cable heater.
27. An electrostatic chuck as set forth in claim 19 wherein the heating means is an annular strip heater.
28. An electrostatic chuck as set forth in claim 19 wherein the cap top is composed of aluminum and the top surface of the electrode cap is provided with a dielectric layer composed of aluminum oxide.
29. An electrostatic chuck as set forth in claim 19 wherein the cap top is composed of molybdenum and the top surface of the electrode cap is provided with a dielectric layer composed of diamond.
30. An electrostatic chuck as set forth in claim 29 wherein the thickness of the diamond dielectric layer is approximately 5-50 μm.
31. An electrostatic chuck as set forth in claim 19 wherein the cap top is metallic and the top surface of the electrode cap is provided with a dielectric layer composed of a ceramic.
32. An electrostatic chuck as set forth in claim 31 wherein the ceramic is aluminum nitride.
33. An electrostatic chuck as set forth in claim 19 wherein the heat conductive gas is helium, argon, nitrogen or oxygen.
34. An electrostatic chuck as set forth in claim 19 wherein the circulating liquid coolant is deionized water, ethylene glycol, fluorinert, silicon oil or a mixture of deionized water and ethylene glycol.
35. An electrostatic chuck for electrostatically attracting and holding an object with front and back surfaces, comprising: an electrode cap including a cap top with top and bottom surfaces , and a cap bottom with top and bottom surfaces, said top surface of said cap bottom being fastened to said bottom surface of said cap top, said electrode cap being provided with a first channel means and a second channel means ; a lower electrode with top and bottom surfaces, said top surface of said lower electrode being fastened to said bottom surface of said electrode cap, said lower electrode being provided with a third channel means and a fourth channel means, said third and fourth channel means passing entirely through said lower electrode and connecting said lower electrode bottom surface with said lower electrode top surface, wherein said third channel means of said lower electrode and said first channel means of said electrode cap form a conduit to convey a heat conductive gas from said bottom surface of said lower electrode to said back surface of said object, and wherein said second channel means of said electrode cap and said fourth channel means of said lower electrode form a conduit to convey a circulating coolant liquid from said bottom surface of said lower electrode to said electrode cap; a multiplicity of resistive cartridge heaters mounted in said lower electrode, at least a portion of the heaters being situated, in a vertical direction, below where said circulating coolant enters said electrode cap; and feedback control to maintain said chuck at a predetermined temperature, said feedback control including a means to control the heating means and a means to control the circulating coolant liquid means, said means to control the heating means including a power supply provided to the heating means, whereby the power provided to the heating means can be turned on or off, said means to control the circulating liquid means including a solenoid activated valve whereby the flow of said circulating liquid through the electrode cap can be regulated from normal flow to trickle or no flow.
36. An electrostatic chuck as set forth in claim 35 wherein the cap top is composed of aluminum and the top surface of the electrode cap is provided with a dielectric layer composed of aluminum oxide.
37. An electrostatic chuck as set forth in claim 35 wherein the cap top is composed of molybdenum and the top surface of the electrode cap is provided with a dielectric layer composed of diamond, said dielectric layer having a thickness of approximately 5-50 μm.
38. An electrostatic chuck as set forth in claim 35 wherein the cap top is metallic and the top surface of the electrode cap is provided with a dielectric layer composed of aluminum nitride.
39. An electrostatic chuck for electrostatically attracting and holding an object with front and back surfaces, comprising: an electrode cap with top and bottom surfaces, said electrode cap being provided with a first channel means and a second channel means; a lower electrode with top and bottom surfaces, said top surface of said lower electrode being fastened to said bottom surface of said electrode cap, said lower electrode being provided with a third channel means and a fourth channel means, said third and fourth channel means passing entirely through said lower electrode and connecting said lower electrode bottom surface with said lower electrode top surface, wherein said third channel means of said lower electrode and said first channel means of said electrode cap form a conduit to convey a heat conductive gas from said bottom surface of said lower electrode to said back surface of said object, and wherein said second channel means of said electrode cap and said fourth channel means of said lower electrode form a conduit to convey a circulating coolant liquid from said bottom surface of said lower electrode to said electrode cap; heating means for heating said electrostatic chuck, said heating means being mounted on a bottom surface of said lower electrode; a temperature sensor arranged to measure a temperature of said electrode cap; and feedback control to maintain said chuck at a predetermined temperature, said feedback control including a means to control the heating means and a means to control a flow of said circulating liquid through the electrode cap.
40. An electrostatic chuck in accordance with claim 39, wherein said heating means comprises a multiplicity of resistive cartridge heaters.
41. A method for controlling a temperature of an object during plasma processing, said object having a front and a back surface, said method comprising the steps of: providing an electrostatic chuck comprising: an electrode cap with top and bottom surfaces, said electrode cap being provided with a first channel means and a second channel means; a lower electrode with top and bottom surfaces, said top surface of said lower electrode being fastened to said bottom surface of said electrode cap, said lower electrode being provided with a third channel means and a fourth channel means, said third and fourth channel means passing entirely through said lower electrode and connecting said lower electrode bottom surface with said lower electrode top surface, wherein said third channel means of said lower electrode and said first channel means of said electrode cap form a conduit to convey a heat conductive gas from said bottom surface of said lower electrode to said back surface of said object, and wherein said second channel means of said electrode cap and said fourth channel means of said lower electrode form a conduit to convey a circulating coolant liquid from said bottom surface of said lower electrode to said electrode cap; heating means for heating said electrostatic chuck; and a temperature sensor arranged to detect a measured temperature of said electrode cap, wherein said object is positioned atop said electrode cap; turning on said heating means and turning off said circulating coolant to heat said electrostatic chuck, whenever the measured temperature of the cap is below a predetermined range, and turning off said heating means and turning on said circulating coolant to cool said electrostatic chuck, whenever the measured temperature of the cap is above said predetermined range.
42. The method of claim 41, wherein said circulating coolant is maintained at a temperature between 20-100 °C.
43. The method of claim 41, wherein said predetermined range of the cap temperature is within the range of 100-350 °C.
44. The method of claim 43, wherein a temperature of the object is maintained at about 375 °C.
45. The method of claim 41, wherein a temperature of the object is maintained at about 375 °C.
46. The method of claim 41, wherein the object is a semiconductor wafer.
47. An electrostatic chuck in accordance with claim 1, wherein the object is a semiconductor wafer.
48. An electrostatic chuck in accordance with claim 16, wherein the object is a semiconductor wafer.
49. An electrostatic chuck in accordance with claim 19, wherein the object is a semiconductor wafer.
50. An electrostatic chuck in accordance with claim 35, wherein the object is a semiconductor wafer.
51. An electrostatic chuck in accordance with claim 39, wherein the object is a semiconductor wafer.
PCT/US1997/017576 1996-09-30 1997-09-30 Variable high temperature chuck for high density plasma chemical vapor deposition WO1998014999A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
EP97943648A EP0938747B1 (en) 1996-09-30 1997-09-30 Variable high temperature chuck for high density plasma chemical vapor deposition
JP51678898A JP4149002B2 (en) 1996-09-30 1997-09-30 Electrostatic chuck and method for controlling object temperature during plasma processing
AT97943648T ATE449419T1 (en) 1996-09-30 1997-09-30 ADJUSTABLE, HIGH TEMPERATURE HOLDER FOR HIGH DENSITY PLASMA CVD
DE69739660T DE69739660D1 (en) 1996-09-30 1997-09-30 ADJUSTABLE, HIGH-TEMPERATURE HOLDER FOR PLASMA CVD OF A HIGH DENSITY
AU45072/97A AU4507297A (en) 1996-09-30 1997-09-30 Variable high temperature chuck for high density plasma chemical vapor deposition

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/724,005 US5835334A (en) 1996-09-30 1996-09-30 Variable high temperature chuck for high density plasma chemical vapor deposition
US08/724,005 1996-09-30

Publications (1)

Publication Number Publication Date
WO1998014999A1 true WO1998014999A1 (en) 1998-04-09

Family

ID=24908563

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1997/017576 WO1998014999A1 (en) 1996-09-30 1997-09-30 Variable high temperature chuck for high density plasma chemical vapor deposition

Country Status (8)

Country Link
US (1) US5835334A (en)
EP (1) EP0938747B1 (en)
JP (1) JP4149002B2 (en)
KR (1) KR100372281B1 (en)
AT (1) ATE449419T1 (en)
AU (1) AU4507297A (en)
DE (1) DE69739660D1 (en)
WO (1) WO1998014999A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004024649A1 (en) * 2004-05-18 2006-06-08 Thallner, Erich, Dipl.-Ing. Adjustment device and device for adjusting a wafer
EP1530088B1 (en) * 2003-11-05 2007-08-08 ASML Netherlands B.V. Lithographic apparatus
WO2016025573A1 (en) * 2014-08-15 2016-02-18 Applied Materials, Inc. Method and apparatus of processing wafers with compressive or tensile stress at elevated temperatures in a plasma enhanced chemical vapor deposition system

Families Citing this family (170)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5956609A (en) * 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US6328096B1 (en) * 1997-12-31 2001-12-11 Temptronic Corporation Workpiece chuck
US6359264B1 (en) * 1998-03-11 2002-03-19 Applied Materials, Inc. Thermal cycling module
US6433314B1 (en) * 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6200911B1 (en) * 1998-04-21 2001-03-13 Applied Materials, Inc. Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
JP3453069B2 (en) * 1998-08-20 2003-10-06 東京エレクトロン株式会社 Substrate temperature controller
ATE295616T1 (en) * 1998-09-22 2005-05-15 Ballard Power Systems COOLING SUBSYSTEM WITH ANTI-FREEZE
JP3892609B2 (en) 1999-02-16 2007-03-14 株式会社東芝 Hot plate and method for manufacturing semiconductor device
US6169652B1 (en) 1999-03-12 2001-01-02 Euv, L.L.C. Electrostatically screened, voltage-controlled electrostatic chuck
KR100476845B1 (en) * 1999-04-06 2005-03-17 동경 엘렉트론 주식회사 Electrode, wafer stage, plasma device, method of manufacturing electrode and wafer stage
US6431112B1 (en) * 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6395095B1 (en) * 1999-06-15 2002-05-28 Tokyo Electron Limited Process apparatus and method for improved plasma processing of a substrate
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
JP2001068538A (en) * 1999-06-21 2001-03-16 Tokyo Electron Ltd Electrode structure, mounting base structure, plasma treatment system, and processing unit
KR100574924B1 (en) * 1999-08-18 2006-04-28 삼성전자주식회사 Electrostatic chuck comprising a ceramic ring and method for manufacturing the same
US6278089B1 (en) * 1999-11-02 2001-08-21 Applied Materials, Inc. Heater for use in substrate processing
US6377437B1 (en) 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck
JP3565496B2 (en) * 2000-04-13 2004-09-15 イビデン株式会社 Ceramic heater, electrostatic chuck and wafer prober
US6606234B1 (en) 2000-09-05 2003-08-12 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method for forming an electrostatic chuck having porous regions for fluid flow
WO2002070142A1 (en) * 2000-12-06 2002-09-12 Angstron Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
JP4311600B2 (en) * 2001-01-30 2009-08-12 日本碍子株式会社 Bonding structure for electrostatic chuck and manufacturing method thereof
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
EP1254606A1 (en) * 2001-05-04 2002-11-06 Philip Morris Products S.A. Apparatus and method for delaminating parcels of tobacco
KR100397047B1 (en) * 2001-05-08 2003-09-02 삼성전자주식회사 Chiller of electrostatic chuck and chilling method thereof
JP4720019B2 (en) * 2001-05-18 2011-07-13 東京エレクトロン株式会社 Cooling mechanism and processing device
US6577113B2 (en) 2001-06-06 2003-06-10 Tokyo Electron Limited Apparatus and method for measuring substrate biasing during plasma processing of a substrate
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
TWI234417B (en) * 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
JP2003060019A (en) * 2001-08-13 2003-02-28 Hitachi Ltd Wafer stage
DE10156407A1 (en) * 2001-11-16 2003-06-05 Bosch Gmbh Robert Holding device, in particular for fixing a semiconductor wafer in a plasma etching device, and method for supplying or removing heat from a substrate
TW561515B (en) * 2001-11-30 2003-11-11 Tokyo Electron Ltd Processing device, and gas discharge suppressing member
KR20030047341A (en) * 2001-12-10 2003-06-18 삼성전자주식회사 Electrostatic chuck for ion implanter
US6632325B2 (en) * 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US6677167B2 (en) * 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US6646233B2 (en) * 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
DE10216786C5 (en) * 2002-04-15 2009-10-15 Ers Electronic Gmbh Method and apparatus for conditioning semiconductor wafers and / or hybrids
KR100456093B1 (en) * 2002-04-19 2004-11-08 국방과학연구소 Heat-flux gage and manufacturong method thereof
KR100457833B1 (en) * 2002-05-24 2004-11-18 주성엔지니어링(주) Plasma Etching Apparatus
US7156951B1 (en) * 2002-06-21 2007-01-02 Lam Research Corporation Multiple zone gas distribution apparatus for thermal control of semiconductor wafer
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US7033443B2 (en) * 2003-03-28 2006-04-25 Axcelis Technologies, Inc. Gas-cooled clamp for RTP
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US7993460B2 (en) 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
KR100508754B1 (en) * 2003-12-22 2005-08-17 삼성전자주식회사 Temperature controller and etching apparatus having the same
US7731798B2 (en) * 2004-12-01 2010-06-08 Ultratech, Inc. Heated chuck for laser thermal processing
JP4694249B2 (en) * 2005-04-20 2011-06-08 株式会社日立ハイテクノロジーズ Vacuum processing apparatus and sample vacuum processing method
JP5004436B2 (en) * 2005-05-23 2012-08-22 東京エレクトロン株式会社 Electrostatic adsorption electrode and processing device
US20070283891A1 (en) * 2006-03-29 2007-12-13 Nobuyuki Okayama Table for supporting substrate, and vacuum-processing equipment
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
KR100798813B1 (en) * 2006-07-25 2008-01-28 삼성전자주식회사 Electrostatic chuck assembly and method for controlling temperature of electrostatic chuck
US8491752B2 (en) * 2006-12-15 2013-07-23 Tokyo Electron Limited Substrate mounting table and method for manufacturing same, substrate processing apparatus, and fluid supply mechanism
JP4937724B2 (en) * 2006-12-15 2012-05-23 東京エレクトロン株式会社 Substrate mounting table, substrate mounting table manufacturing method, substrate processing apparatus, fluid supply mechanism
JP4450106B1 (en) * 2008-03-11 2010-04-14 東京エレクトロン株式会社 Mounting table structure and processing device
US8900404B2 (en) * 2008-06-10 2014-12-02 Lam Research Corporation Plasma processing systems with mechanisms for controlling temperatures of components
US9543181B2 (en) * 2008-07-30 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Replaceable electrostatic chuck sidewall shield
US10297550B2 (en) 2010-02-05 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3D IC architecture with interposer and interconnect structure for bonding dies
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
WO2012123188A1 (en) 2011-03-17 2012-09-20 Asml Netherlands B.V. Electrostatic clamp, lithographic apparatus, and device manufacturing method
NL2008630A (en) 2011-04-27 2012-10-30 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US9673037B2 (en) * 2011-05-31 2017-06-06 Law Research Corporation Substrate freeze dry apparatus and method
WO2013049589A1 (en) * 2011-09-30 2013-04-04 Applied Materials, Inc. Electrostatic chuck with temperature control
US9494875B2 (en) 2011-10-06 2016-11-15 Asml Netherlands B.V. Chuck, a chuck control system, a lithography apparatus and a method of using a chuck
US10256123B2 (en) 2011-10-27 2019-04-09 Applied Materials, Inc. Component temperature control using a combination of proportional control valves and pulsed valves
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8898928B2 (en) * 2012-10-11 2014-12-02 Lam Research Corporation Delamination drying apparatus and method
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9496157B2 (en) * 2013-11-14 2016-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Ultraviolet curing apparatus having top liner and bottom liner made of low-coefficient of thermal expansion material
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9960060B2 (en) * 2014-10-10 2018-05-01 Varian Semiconductor Equipment Associates, Inc. Platen assembly
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10288361B2 (en) * 2015-03-17 2019-05-14 Hatco Corporation Hot and cold shelf assembly with replaceable heating elements
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
TW202224081A (en) * 2015-08-06 2022-06-16 美商應用材料股份有限公司 Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9805963B2 (en) * 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10784139B2 (en) 2016-12-16 2020-09-22 Applied Materials, Inc. Rotatable electrostatic chuck having backside gas supply
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
CN206432233U (en) * 2017-01-19 2017-08-22 江苏鲁汶仪器有限公司 The bottom electrode slide holder of etching machine
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
EP3642869A1 (en) * 2017-06-23 2020-04-29 Watlow Electric Manufacturing Company High temperature heat plate pedestal
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
SG11202008969PA (en) * 2018-03-23 2020-10-29 Applied Materials Inc Isolated backside helium delivery system
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
JP7152926B2 (en) * 2018-10-05 2022-10-13 日本特殊陶業株式会社 holding device
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7301021B2 (en) * 2020-05-01 2023-06-30 東京エレクトロン株式会社 SUBSTRATE PROCESSING APPARATUS, PLACEMENT STAND, AND TEMPERATURE CONTROL METHOD

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4771730A (en) * 1986-09-12 1988-09-20 Kabushiki Kaisha Tokuda Seisakusho Vacuum processing apparatus wherein temperature can be controlled
US4980566A (en) * 1989-08-02 1990-12-25 The United States Of America As Represented By The Secretary Of Commerce Ultrashort pulse multichannel infrared spectrometer apparatus and method for obtaining ultrafast time resolution spectral data
EP0411916A2 (en) * 1989-08-01 1991-02-06 Canon Kabushiki Kaisha Wafer table and exposure apparatus with the same
US5111032A (en) * 1989-03-13 1992-05-05 Raychem Corporation Method of making an electrical device comprising a conductive polymer
EP0493089A1 (en) * 1990-12-25 1992-07-01 Ngk Insulators, Ltd. Wafer heating apparatus and method for producing the same
US5134436A (en) * 1987-12-10 1992-07-28 Canon Kabushiki Kaisha Exposure control method for adjusting the temperature of a workpiece holding chuck attracting surface based on memorized data
EP0498752A1 (en) * 1991-01-31 1992-08-12 International Business Machines Corporation Electrostatic chuck with diamond coating
US5221403A (en) * 1990-07-20 1993-06-22 Tokyo Electron Limited Support table for plate-like body and processing apparatus using the table
JPH05304116A (en) * 1991-07-17 1993-11-16 Hitachi Ltd Dry etching system
EP0650182A1 (en) * 1993-10-15 1995-04-26 Applied Materials, Inc. Plasma etch reactors and methods of operating thereof
JPH07302785A (en) * 1994-05-09 1995-11-14 Fujitsu Ltd Apparatus for treatment of substrate and control of substrate temperature

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
US5078851A (en) * 1989-07-26 1992-01-07 Kouji Nishihata Low-temperature plasma processor
US5055964A (en) * 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
JPH04196528A (en) * 1990-11-28 1992-07-16 Toshiba Corp Magnetron etching system
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5376213A (en) * 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5413360A (en) * 1992-12-01 1995-05-09 Kyocera Corporation Electrostatic chuck
US5382311A (en) * 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5436790A (en) * 1993-01-15 1995-07-25 Eaton Corporation Wafer sensing and clamping monitor
KR100290748B1 (en) * 1993-01-29 2001-06-01 히가시 데쓰로 Plasma processing apparatus
US5625526A (en) * 1993-06-01 1997-04-29 Tokyo Electron Limited Electrostatic chuck
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
TW262566B (en) * 1993-07-02 1995-11-11 Tokyo Electron Co Ltd
JP2647799B2 (en) * 1994-02-04 1997-08-27 日本碍子株式会社 Ceramic heater and manufacturing method thereof
US5581874A (en) * 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
JPH0813027A (en) * 1994-06-24 1996-01-16 Mitsubishi Electric Corp Induction heating apparatus
US5609720A (en) * 1995-09-29 1997-03-11 Lam Research Corporation Thermal control of semiconductor wafer during reactive ion etching

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4771730A (en) * 1986-09-12 1988-09-20 Kabushiki Kaisha Tokuda Seisakusho Vacuum processing apparatus wherein temperature can be controlled
US5134436A (en) * 1987-12-10 1992-07-28 Canon Kabushiki Kaisha Exposure control method for adjusting the temperature of a workpiece holding chuck attracting surface based on memorized data
US5111032A (en) * 1989-03-13 1992-05-05 Raychem Corporation Method of making an electrical device comprising a conductive polymer
EP0411916A2 (en) * 1989-08-01 1991-02-06 Canon Kabushiki Kaisha Wafer table and exposure apparatus with the same
US4980566A (en) * 1989-08-02 1990-12-25 The United States Of America As Represented By The Secretary Of Commerce Ultrashort pulse multichannel infrared spectrometer apparatus and method for obtaining ultrafast time resolution spectral data
US5221403A (en) * 1990-07-20 1993-06-22 Tokyo Electron Limited Support table for plate-like body and processing apparatus using the table
EP0493089A1 (en) * 1990-12-25 1992-07-01 Ngk Insulators, Ltd. Wafer heating apparatus and method for producing the same
EP0498752A1 (en) * 1991-01-31 1992-08-12 International Business Machines Corporation Electrostatic chuck with diamond coating
JPH05304116A (en) * 1991-07-17 1993-11-16 Hitachi Ltd Dry etching system
EP0650182A1 (en) * 1993-10-15 1995-04-26 Applied Materials, Inc. Plasma etch reactors and methods of operating thereof
JPH07302785A (en) * 1994-05-09 1995-11-14 Fujitsu Ltd Apparatus for treatment of substrate and control of substrate temperature

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 018, no. 102 (E - 1511) 18 February 1994 (1994-02-18) *
PATENT ABSTRACTS OF JAPAN vol. 096, no. 003 29 March 1996 (1996-03-29) *

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1530088B1 (en) * 2003-11-05 2007-08-08 ASML Netherlands B.V. Lithographic apparatus
US7307696B2 (en) 2003-11-05 2007-12-11 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE102004024649A1 (en) * 2004-05-18 2006-06-08 Thallner, Erich, Dipl.-Ing. Adjustment device and device for adjusting a wafer
DE102004024649B4 (en) * 2004-05-18 2007-02-01 Thallner, Erich, Dipl.-Ing. Adjustment device and device for adjusting a wafer
US7432701B2 (en) 2004-05-18 2008-10-07 Erich Thallner Adjusting device and arrangement for adjusting a wafer
WO2016025573A1 (en) * 2014-08-15 2016-02-18 Applied Materials, Inc. Method and apparatus of processing wafers with compressive or tensile stress at elevated temperatures in a plasma enhanced chemical vapor deposition system
US10403535B2 (en) 2014-08-15 2019-09-03 Applied Materials, Inc. Method and apparatus of processing wafers with compressive or tensile stress at elevated temperatures in a plasma enhanced chemical vapor deposition system

Also Published As

Publication number Publication date
KR20000048751A (en) 2000-07-25
EP0938747A1 (en) 1999-09-01
EP0938747B1 (en) 2009-11-18
DE69739660D1 (en) 2009-12-31
AU4507297A (en) 1998-04-24
ATE449419T1 (en) 2009-12-15
JP4149002B2 (en) 2008-09-10
US5835334A (en) 1998-11-10
JP2001502116A (en) 2001-02-13
KR100372281B1 (en) 2003-02-19

Similar Documents

Publication Publication Date Title
US5835334A (en) Variable high temperature chuck for high density plasma chemical vapor deposition
JP7242823B2 (en) Electrostatic chuck assembly for high temperature processing
US5810933A (en) Wafer cooling device
US11527429B2 (en) Substrate support assembly for high temperature processes
US5155652A (en) Temperature cycling ceramic electrostatic chuck
EP1073096B1 (en) Semiconductor workpiece processing apparatus
US8536494B2 (en) Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6490145B1 (en) Substrate support pedestal
JP3982854B2 (en) Electrostatic chuck with flow regulator
JP4256482B2 (en) Apparatus and method for transferring heat from a hot electrostatic chuck to a lower cold body
US5191506A (en) Ceramic electrostatic chuck
US7667944B2 (en) Polyceramic e-chuck
JP5006200B2 (en) Heat transfer system for improving semiconductor processing uniformity.
US7718932B2 (en) Electrostatic chuck having radial temperature control capability
US6847014B1 (en) Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
TWI473199B (en) Electrostatic chuck assembly
JP2008522446A (en) Method and apparatus for controlling spatial temperature distribution
WO2006073947A2 (en) Apparatus for spatial and temporal control of temperature on a substrate
US5901030A (en) Electrostatic chuck employing thermoelectric cooling
US20230377930A1 (en) High-temperature substrate support assembly with failure protection

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AL AM AU AZ BA BB BG BR BY CA CN CU CZ EE GE GH HU ID IL IS JP KG KP KR KZ LC LK LR LT LV MD MG MK MN MX NO NZ PL RO RU SG SI SK SL TJ TM TR TT UA UZ VN YU AM AZ BY KG KZ MD RU TJ TM

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH KE LS MW SD SZ UG ZW AT BE CH DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN ML MR NE SN TD TG

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
ENP Entry into the national phase

Ref country code: JP

Ref document number: 1998 516788

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 1019997002736

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 1997943648

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1997943648

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: CA

WWP Wipo information: published in national office

Ref document number: 1019997002736

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 1019997002736

Country of ref document: KR