WO1998033950A1 - METHOD OF LOW TEMPERATURE PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION OF TiN FILM OVER TITANIUM FOR USE IN VIA LEVEL APPLICATIONS - Google Patents

METHOD OF LOW TEMPERATURE PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION OF TiN FILM OVER TITANIUM FOR USE IN VIA LEVEL APPLICATIONS Download PDF

Info

Publication number
WO1998033950A1
WO1998033950A1 PCT/US1998/000711 US9800711W WO9833950A1 WO 1998033950 A1 WO1998033950 A1 WO 1998033950A1 US 9800711 W US9800711 W US 9800711W WO 9833950 A1 WO9833950 A1 WO 9833950A1
Authority
WO
WIPO (PCT)
Prior art keywords
titanium
plasma
film
chemical vapor
vapor deposition
Prior art date
Application number
PCT/US1998/000711
Other languages
French (fr)
Inventor
Michael S. Ameen
Joseph T. Hillman
Original Assignee
Tokyo Electron Arizona, Inc.
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Arizona, Inc., Tokyo Electron Limited filed Critical Tokyo Electron Arizona, Inc.
Priority to DE19882045T priority Critical patent/DE19882045T1/en
Priority to GB9917373A priority patent/GB2337529B/en
Priority to AU59176/98A priority patent/AU5917698A/en
Priority to JP51980498A priority patent/JP3712421B2/en
Publication of WO1998033950A1 publication Critical patent/WO1998033950A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment

Definitions

  • Chemical vapor deposition is currently used to form titanium/ titanium nitride film stacks that can be used at the via level.
  • the titanium precursor typically titanium tetrachloride or other titanium tetrahalide
  • a plasma can also be used to excite the titanium.
  • the plasma along with for example titanium tetrachloride and hydrogen, are formed into a plasma using RF energy. The plasma is then directed at a substrate and the titanium forms on the substrate.
  • the present invention provides a method of forming titanium/titanium nitride stacks in via-level applications wherein the halide impurity is minimized.
  • the present invention is premised on the realization that the halide impurities can be minimized in the formation of titanium/titanium nitride stacks wherein the titanium film is subjected to a hydrogen/argon plasma after the deposition of titanium by plasma- enhanced chemical vapor deposition of titanium tetrahalide.
  • the hydrogen/argon plasma reacts with and removes residual chlorine species from the aluminum surface.
  • a TiN film is deposited by plasma-enhanced chemical vapor deposition.
  • an ammonia-based plasma can be used to further remove chlorine and form a passivating nitride film that reduces the probability of reaction of chlorine with aluminum during the TiN deposition.
  • Fig. 1 is a side view, partially in cross-section, of a deposition chamber used to practice the method of the present invention.
  • Fig. 2 is an AES Depth Profile of Ti/TiN stacked films formed without a H ⁇ Ar plasma treatment.
  • Fig. 3 is an AES Depth Profile of a Ti film subjected to a H- j /Ar plasma.
  • titanium nitride film is deposited on a titanium film to form a titanium/titanium nitride stack.
  • the titanium film is deposited using plasma- enhanced chemical vapor deposition of titanium tetrahalide, preferably titanium tetrachloride.
  • the film is subjected to an argon hydrogen plasma, and then the titanium nitride is deposited. This can be conducted in a single reaction chamber.
  • one preferred apparatus for use in the present invention is a chemical vapor deposition reactor 20 shown in FIG. 1.
  • Reactor 20, and specifically reaction space 24 within housing 22, may be selectively evacuated to various different internal pressures -- for example, from 0.5 to 100 Torr.
  • the susceptor 26 is coupled to a variable speed motor (not shown) by shaft 30 such that the
  • susceptor 26 and substrate 28 may be rotated at various speeds such as between 0 and 2,000 rpm.
  • Susceptor 26 includes a resistance heating element (not shown) coupled to the susceptor 26 to heat substrate 28.
  • a cylinder assembly 34 Extending downwardly from the top wall 32 of housing 22 is a cylinder assembly 34 which is attached to a gas-dispersing showerhead 36.
  • showerhead 36 is coupled to an RF energy source 38 by an appropriate RF feed line assembly 40 which extends through cover 46 which may, if necessary, include a heat pipe to dissipate unwanted heat.
  • a sealing structure 49 seals the opening around feed line assembly 40.
  • Plasma and reactant gases are introduced into flow passage 44 by concentric rings or halos 50, 52.
  • the concentric rings 50, 52 include a number of holes which evenly dispense the gases around the flow passage 44. Ring 50 is connected to a gas supply through line 56, while ring 52 is connected to a supply by line 58.
  • An insulator ring 62 separates cylinder 34 and showerhead 36. Cylinder 34 is electrically grounded by ground line 61.
  • the insulator ring 62 preferably has an outer diameter approximately the same as the outer diameter of showerhead 36 and a width dimension which ensures complete separation of cylinder 34 and showerhead 36 along the entire attachment interface between the cylinder and showerhead.
  • the insulator ring is preferably made of quartz material approximately 0.75 inches thick.
  • Showerhead/electrode 36 contains a plurality of dispersion holes 64 which disperse the flow of gas over substrate 28.
  • the showerhead 36 includes a stem 68. Stem 68 is formed integrally with the showerhead 36 and forms part of the RF line assembly 40 which connects to showerhead 36.
  • the showerhead 36, including stem 68, is formed of an electrically conductive material, preferably Nickel-200.
  • the RF power source through RF feed line assembly 40, biases the showerhead 36 so that the showerhead functions as an RF electrode.
  • the grounded susceptor 26 forms another parallel electrode.
  • An RF field is created, preferably between showerhead 36 and susceptor
  • the RF field created by the biased showerhead/electrode 36 excites the plasma gases which are dispensed through holes 64 so that a plasma is created below showerhead/electrode 36.
  • the showerhead employed is about 0.25 inches thick, having a diameter of about 17.3 cm and 600 holes.
  • the number of holes is not critical and could easily be varied from 100 holes to 1 ,000 or more holes.
  • the holes are preferably less than 1.5 mm in diameter and are more preferably about 0.75 mm. This prevents the plasma from being generated in the hole, thereby reducing efficiency.
  • the gas flow from injector rings 50 and 52 is allowed to develop within the length of the cylinder 34 as it travels to the showerhead 36. It is desirable for the velocity profile of the incoming plasma gases passing through showerhead 36 to be fully developed
  • the showerhead-to- susceptor spacing may be reduced to approximately 30 to 20 mm or less because the velocity profile develops in cylinder 34. Therefore, the length of cylinder 34 from the injector rings 50 and 52 to showerhead 36 should be 40 to 100 mm. As the gases pass through the showerhead 36, the pressure drop across the showerhead 36 flattens out the velocity profile of the gases. As the gases approach showerhead/electrode 36 and pass therethrough, they are excited into a plasma which contacts surface 29.
  • the showerhead 36 can be from about 10 cm to about 10 millimeters from the susceptor, with 20 mm preferred. It is preferred to have the showerhead as close as possible to the substrate while still permitting the substrate or wafer to be removed, although this is not critical for practicing the present invention.
  • a pumping effect is created by the rotating susceptor 26.
  • the plasma radicals and ions are drawn to the upper surface 29 of substrate 28.
  • the rotation rate can vary from 0 rpm to 1500 rpm. About 100 rpm is preferred. Further, matched flow does not appear to be critical but can be employed.
  • the electrode When employing this apparatus, the electrode is biased -- generally at a frequency from about 13.56 MHz (a frequency which is authorized by the Federal Communication Commission) -- down to about 55 KHz.
  • the power of the electrode is generally set at about 250 watts.
  • the titanium film is deposited by plasma- enhanced chemical vapor deposition, as disclosed in U.S. Patent 5,567,243. According to this method, titanium tetrahalide is combined with a diluent gas and formed into a plasma using RF energy. This is then deposited upon a substrate.
  • the substrate can typically be any semiconductor substrate such as silicon, thermal oxides, patterned wafers including metal layers and in particular aluminum layers.
  • the titanium tetrahalide can be titanium tetrabromide, titanium tetraiodide or titanium tetrachloride. Titanium tetrachloride is preferred due to cost. This will be combined with an inert diluent gas, preferably hydrogen. Other inert diluent gases include helium, argon, neon and xenon. Generally, the molecular ratio of diluent to titanium tetrachloride is from about 1 :1500 to about 5:1500.
  • Adhesion between aluminum and titanium is promoted by minimizing corrosion of the aluminum layer. Corrosion is largely the
  • This additional time is particularly beneficial due to the low thermal energy and reduced thermal motion of the titanium atoms at reduced process temperatures.
  • the flow rate will vary, depending upon the particular reactor. With the present reactor, a flow rate of TiCI 4 of 3 to 7 seem is preferred; and a flow rate of hydrogen of 1000 to 5000 seem is preferred.
  • the RF energy can also be varied, depending upon the particular application.
  • the power of the RF energy can be from about 200 watts to about 1 kilowatts at about 450 KHz to 1 MHz.
  • the reaction chamber also provides for control of the pressure. Generally, the pressure will be from 500 miilitorr up to about 10 torr. Under these conditions, the deposition rate should be about 50 A/minute and therefore the deposition time can vary from about 30 seconds to about 90 seconds, depending upon the desired application.
  • the substrate is held on a susceptor 26 which can be rotated.
  • the rotation rate can be from about 0 rpm up to about 1500 rpm. This facilitates a pumping action which draws the plasma to the surface of the substrate. Also, using the
  • the substrate temperature can be adjusted by adjusting the temperature of the susceptor.
  • the substrate temperature should be about 400 to about 450" C. It is desirable to minimize the temperature in each separate step in order to avoid deformation of the aluminum layer. However, with lower temperatures increased halide formation occurs.
  • the titanium film is subjected to a plasma immediately after deposition.
  • the plasma is formed from a gas selected from hydrogen, argon, mixtures thereof, as well as helium. It is desirable to have at least 1 to 5% hydrogen to react with the halide to form the hydrogen halide or hydrogen chloride compound which is then vented from the reaction chamber.
  • the RF electrode will operate at about 200 to about 700 watts, with the frequency being from about 450 KHz to 1 MHz.
  • the temperature should be kept at from about 400 to about
  • the flow rate should be about 1000 SCCM with the reaction pressure varying from about 500 millitorr to about 10 torr.
  • This plasma treatment is continued for a period of 30 to 90 seconds, with about 60 seconds being preferred.
  • the titanium film can be nitrided using a nitrogen- containing plasma.
  • Two nitriding gases can be used in the present invention. These are ammonia and nitrogen. Ammonia is preferred because of its
  • the plasma is created by simply subjecting the nitriding gas to an RF electrode at elevated temperature and reduced pressure. The titanium film is then contacted with this plasma, thereby forming titanium nitride.
  • the RF electrode will be from 100 watts up to the power at which devices are damaged, i.e., about 5 Kilowatts. Approximately 250 watts is adequate.
  • the frequency of the RF electrode should be from about 55 MHz to about 33 KHz. As the frequency is lowered, the temperature of the treatment can also be reduced. The upper frequency is a function of Federal Communication Commission regulation and equipment availability.
  • the temperature should be kept at 400 to 450° C. As the frequency of the electrode is reduced, the temperature can also be reduced. These temperatures provide for excellent nitridization and reduce thermal degradation of the underlying substrate and titanium film.
  • the time, pressure and flow rates, as well as temperature, can all be varied to increase or decrease the reaction rate of the nitridization.
  • the minimum flow rate of the nitridization gas should not be less than about 10 seem. At flow rates above 5,000 seem there is increased unvented gas without any benefit although flow rates above 10,000 seem will function. But precise flow rate is not critical for practicing the present invention. Therefore, about 1 ,000 seem is preferred.
  • the time can range from 20 seconds up to ten minutes, however 5 minutes is generally acceptable.
  • the reaction pressure must be subatmospheric and generally will vary from about 500 millitorr to about 3 torr. If one desired to decrease the time, the flow rate and temperature could be increased.
  • the nitridization gas preferably ammonia or a combination of nitrogen and hydrogen, is introduced through injectors 50 and 52 and flows through the cylinder 34 and through showerhead 36, which creates the plasma from the gas.
  • the flow rate of the gas into cylinder 34 is generally about 1 ,000 seem and the pressure within the reaction chamber itself is maintained at about 1 to 3 torr (3 is preferred).
  • the heated susceptor 26 is rotated at a rotation rate of about 100 rpm which, in effect, pumps gas laterally away from the titanium surface 29 as the
  • the titanium film 29 will take on a gold luster, indicating the formation of titanium nitride. This nitridization step is optional and may be omitted. However, it does decrease further the halide content of the titanium film, further reducing aluminum corrosion and TiN film adhesion.
  • the titanium film is subjected to a plasma-enhanced chemical vapor deposition of titanium nitride.
  • the film thickness of the titanium nitride should be from about 200 to about 500 A.
  • a plasma of reactant gases is created using apparatus 20 at showerhead 36.
  • the reactant gases include titanium tetrachloride, ammonia and a diluent.
  • diluents such as hydrogen, helium and argon can be employed, nitrogen is preferred.
  • Cylinder 34 is maintained at a pressure from about 0.5 to about 20 torr with about 5 torr being preferred.
  • the substrate is maintained at a temperature of about 400 to about 500° C with about 450° C being preferred.
  • the substrate is generally heated by providing heat from the support 30.
  • the support itself is preferably rotated at about 100 rpm or more simply to provide for more even distribution. However, the substrate need not be rotated at all.
  • the concentration of the gases is controlled by flow rate. Generally, the titanium tetrachloride will be introduced at a flow rate of about 1 to about 40 seem, with about 10 seem being preferred.
  • the partial pressure of the TiCI 4 must be sufficiently low to form TiN. If the TiCI 4 partial pressure becomes too high, a black powder is formed which
  • the partial pressure of TiCI 4 should be less than 0.02 torr, preferably 0.01 torr to 0.001 torr. At the lower pressures (i.e., 0.0001 torr), the reaction rate is significantly reduced and the step coverage can be unacceptable. As the total pressure increases from 5 torr, the partial pressure of TiCI 4 can be increased accordingly.
  • the film on the substrate should be adherent and continuous. Films of this nature are gold in color. The black powder that forms is non-adherent (it can be wiped off readily). Therefore, the upper limits of the partial pressure of TiCI 4 is that partial pressure at which a black powder begins to form on the substrate. This, of course, can vary depending on the total pressure.
  • the molar ratio of ammonia to TiCI 4 will be from 2:1 (ammonia to TiCI 4 ) up to 100:1. At this higher rate, the reaction rate will be very low. Preferably, the ratio will be about 10:1.
  • the ratio of diluent to ammonia will range from about 10:1 up to about 10,000:1.
  • a titanium film was deposited and covered with a titanium nitride film.
  • the titanium was deposited under the following conditions:
  • Fig. 2 shows an AES spectra of the Ti TiN film stack that was deposited without an intermediate argon/hydrogen plasma between the titanium and TiN deposition.
  • the chlorine is accumulated at the interface of the titanium and aluminum films, bound as either TiCI or the thermodynamically favored AI-CI species.
  • This film stack was deposited without using the hydrogen/argon plasma treatment between the titanium and titanium nitride films. With this type of process, the chlorine concentration at the interface is measured to be 5-6 atomic percent by Auger. In this case, the film stack did receive a passivating ammonia plasma, but did not receive the additional argon/hydrogen plasma to remove additional chlorine species.
  • a titanium film was deposited under the conditions set forth in Example 1. This film was subsequently subjected to an argon/hydrogen plasma under the following conditions:
  • Fig. 3 shows a similar AES depth profile (similar to Fig. 2) of chlorine deposited onto aluminum that has been treated with a post- deposition argon/hydrogen plasma.
  • No TiN was deposited after the titanium, so no ammonia plasma nitridization was used for this film stack.
  • the chlorine measured at the interface has been reduced to less than 3 atomic percent - one-half the value of Example 1 , in spite of the fact that there was no ammonia plasma which should also remove chlorine. Accordingly, this demonstrates that subjecting the titanium film to a hydrogen/argon plasma significantly reduces chlorine content at the titanium/aluminum interface. This is very beneficial, particularly given the fact that it operates at approximately the same pressures and temperatures as the plasma-enhanced chemical vapor deposition of
  • titanium thus, it can be conducted in the same module with no transfer steps.
  • the time required is only approximately 30 seconds in duration, and it permits the immediate deposition by plasma-enhanced chemical vapor deposition of TiN.

Abstract

A titanium/titanium nitride film stack can be formed with reduced amounts of impurity by depositing onto a substrate a film of titanium using plasma-enhanced chemical vapor deposition of titanium tetrachloride and hydrogen. This film is then subjected to a hydrogen/argon plasma which significantly reduces the chlorine content of the titanium film. The titanium film can then be subjected to an ammonia plasma which will form a thin layer of titanium nitride which is then coated with a thick layer of titanium nitride using plasma-enhanced chemical vapor deposition of titanium tetrachloride and ammonia. The hydrogen/argon anneal significantly reduces the chlorine content of the titanium film and thus the chlorine content at the titanium substrate interface, particularly when the substrate contains aluminum. This enhances the overall reliability of the formed product.

Description

Method of Low Temperature Plasma Enhanced Chemical Vapor Deposition of TiN Film Over Titanium for Use in Via Level Applications
Background of the Invention
Chemical vapor deposition is currently used to form titanium/ titanium nitride film stacks that can be used at the via level. There are several ways to initially deposit the titanium film, including sputtering and chemical vapor deposition. In chemical vapor deposition, the titanium precursor, typically titanium tetrachloride or other titanium tetrahalide, is energized to form elemental titanium which is then deposited on a substrate. A plasma can also be used to excite the titanium. In this method, the plasma, along with for example titanium tetrachloride and hydrogen, are formed into a plasma using RF energy. The plasma is then directed at a substrate and the titanium forms on the substrate.
One problem associated with the deposition of titanium using chemical vapor deposition or plasma enhanced chemical vapor deposition of a titanium halide is the residual halide atoms on the surface of the titanium. Particularly when the titanium is deposited over aluminum, this halide can react with the aluminum forming aluminum halide which has a high resistance. This is a significant problem in via- level applications. This affects the operability, reliability and durability of the formed product. Summarv of the Invention
Accordingly, it is an object of the present invention to provide a method of forming titanium/titanium nitride stacks in via-level applications wherein the halide impurity is minimized. The present invention, in turn, is premised on the realization that the halide impurities can be minimized in the formation of titanium/titanium nitride stacks wherein the titanium film is subjected to a hydrogen/argon plasma after the deposition of titanium by plasma- enhanced chemical vapor deposition of titanium tetrahalide. The hydrogen/argon plasma reacts with and removes residual chlorine species from the aluminum surface. Subsequent to the hydrogen plasma, a TiN film is deposited by plasma-enhanced chemical vapor deposition. Further, an ammonia-based plasma can be used to further remove chlorine and form a passivating nitride film that reduces the probability of reaction of chlorine with aluminum during the TiN deposition.
The objects and advantages of the present invention will be further appreciated in light of the following detailed description and drawings in which:
Brief Description of the Drawing
Fig. 1 is a side view, partially in cross-section, of a deposition chamber used to practice the method of the present invention. Fig. 2 is an AES Depth Profile of Ti/TiN stacked films formed without a H^Ar plasma treatment.
Fig. 3 is an AES Depth Profile of a Ti film subjected to a H-j/Ar plasma.
Detailed Description
According to the present invention, titanium nitride film is deposited on a titanium film to form a titanium/titanium nitride stack. For use in the present invention, the titanium film is deposited using plasma- enhanced chemical vapor deposition of titanium tetrahalide, preferably titanium tetrachloride. Subsequent to the deposition of the titanium film, the film is subjected to an argon hydrogen plasma, and then the titanium nitride is deposited. This can be conducted in a single reaction chamber.
Although not limited to any particular apparatus, one preferred apparatus for use in the present invention is a chemical vapor deposition reactor 20 shown in FIG. 1. Reactor 20, and specifically reaction space 24 within housing 22, may be selectively evacuated to various different internal pressures -- for example, from 0.5 to 100 Torr. The susceptor 26 is coupled to a variable speed motor (not shown) by shaft 30 such that the
susceptor 26 and substrate 28 may be rotated at various speeds such as between 0 and 2,000 rpm. Susceptor 26 includes a resistance heating element (not shown) coupled to the susceptor 26 to heat substrate 28.
Extending downwardly from the top wall 32 of housing 22 is a cylinder assembly 34 which is attached to a gas-dispersing showerhead 36.
Showerhead 36 is coupled to an RF energy source 38 by an appropriate RF feed line assembly 40 which extends through cover 46 which may, if necessary, include a heat pipe to dissipate unwanted heat. A sealing structure 49 seals the opening around feed line assembly 40. Plasma and reactant gases are introduced into flow passage 44 by concentric rings or halos 50, 52. The concentric rings 50, 52 include a number of holes which evenly dispense the gases around the flow passage 44. Ring 50 is connected to a gas supply through line 56, while ring 52 is connected to a supply by line 58.
An insulator ring 62 separates cylinder 34 and showerhead 36. Cylinder 34 is electrically grounded by ground line 61.
The insulator ring 62 preferably has an outer diameter approximately the same as the outer diameter of showerhead 36 and a width dimension which ensures complete separation of cylinder 34 and showerhead 36 along the entire attachment interface between the cylinder and showerhead. The insulator ring is preferably made of quartz material approximately 0.75 inches thick. Showerhead/electrode 36 contains a plurality of dispersion holes 64 which disperse the flow of gas over substrate 28. The showerhead 36 includes a stem 68. Stem 68 is formed integrally with the showerhead 36 and forms part of the RF line assembly 40 which connects to showerhead 36. The showerhead 36, including stem 68, is formed of an electrically conductive material, preferably Nickel-200.
The RF power source, through RF feed line assembly 40, biases the showerhead 36 so that the showerhead functions as an RF electrode. The grounded susceptor 26 forms another parallel electrode. An RF field is created, preferably between showerhead 36 and susceptor
26. The RF field created by the biased showerhead/electrode 36 excites the plasma gases which are dispensed through holes 64 so that a plasma is created below showerhead/electrode 36.
The showerhead employed is about 0.25 inches thick, having a diameter of about 17.3 cm and 600 holes. The number of holes is not critical and could easily be varied from 100 holes to 1 ,000 or more holes. The holes are preferably less than 1.5 mm in diameter and are more preferably about 0.75 mm. This prevents the plasma from being generated in the hole, thereby reducing efficiency. The gas flow from injector rings 50 and 52 is allowed to develop within the length of the cylinder 34 as it travels to the showerhead 36. It is desirable for the velocity profile of the incoming plasma gases passing through showerhead 36 to be fully developed
before they reach the rotating surface of the substrate 28. Due to the proximity of the showerhead to the surface, that profile must develop in the cylinder 34.
Utilizing cylinder 34 shown in FIG. 1 , the showerhead-to- susceptor spacing may be reduced to approximately 30 to 20 mm or less because the velocity profile develops in cylinder 34. Therefore, the length of cylinder 34 from the injector rings 50 and 52 to showerhead 36 should be 40 to 100 mm. As the gases pass through the showerhead 36, the pressure drop across the showerhead 36 flattens out the velocity profile of the gases. As the gases approach showerhead/electrode 36 and pass therethrough, they are excited into a plasma which contacts surface 29.
Preferably, the showerhead 36 can be from about 10 cm to about 10 millimeters from the susceptor, with 20 mm preferred. It is preferred to have the showerhead as close as possible to the substrate while still permitting the substrate or wafer to be removed, although this is not critical for practicing the present invention.
A pumping effect is created by the rotating susceptor 26.
The plasma radicals and ions are drawn to the upper surface 29 of substrate 28. Generally, the rotation rate can vary from 0 rpm to 1500 rpm. About 100 rpm is preferred. Further, matched flow does not appear to be critical but can be employed.
With a spacing of about 25 mm between the showerhead and the substrate 28, the created plasma is much closer to the substrate
surface 29. With the showerhead 36 acting as an RF electrode, a more uniform plasma is generated, therefore enhancing the uniformity of
radical and ion density at the substrate 28 and thereby improving reaction rate.
When employing this apparatus, the electrode is biased -- generally at a frequency from about 13.56 MHz (a frequency which is authorized by the Federal Communication Commission) -- down to about 55 KHz. The power of the electrode is generally set at about 250 watts. Using reactor 20, the titanium film is deposited by plasma- enhanced chemical vapor deposition, as disclosed in U.S. Patent 5,567,243. According to this method, titanium tetrahalide is combined with a diluent gas and formed into a plasma using RF energy. This is then deposited upon a substrate.
The substrate can typically be any semiconductor substrate such as silicon, thermal oxides, patterned wafers including metal layers and in particular aluminum layers.
The titanium tetrahalide can be titanium tetrabromide, titanium tetraiodide or titanium tetrachloride. Titanium tetrachloride is preferred due to cost. This will be combined with an inert diluent gas, preferably hydrogen. Other inert diluent gases include helium, argon, neon and xenon. Generally, the molecular ratio of diluent to titanium tetrachloride is from about 1 :1500 to about 5:1500.
Adhesion between aluminum and titanium is promoted by minimizing corrosion of the aluminum layer. Corrosion is largely the
result of exposure of the aluminum layer to halide ions released from the titanium tetrahalide during deposition. By reducing the flow rate of titanium tetrahalide, the corrosion of the aluminum layer is reduced and adhesion is promoted. Reduction of the titanium tetrahalide flow rate also reduces deposition rate, allowing dissociated titanium atoms additional time to locate stable sites in the underlying aluminum layer.
This additional time is particularly beneficial due to the low thermal energy and reduced thermal motion of the titanium atoms at reduced process temperatures.
The flow rate will vary, depending upon the particular reactor. With the present reactor, a flow rate of TiCI4 of 3 to 7 seem is preferred; and a flow rate of hydrogen of 1000 to 5000 seem is preferred. The RF energy can also be varied, depending upon the particular application. The power of the RF energy can be from about 200 watts to about 1 kilowatts at about 450 KHz to 1 MHz. The reaction chamber also provides for control of the pressure. Generally, the pressure will be from 500 miilitorr up to about 10 torr. Under these conditions, the deposition rate should be about 50 A/minute and therefore the deposition time can vary from about 30 seconds to about 90 seconds, depending upon the desired application. As shown in the apparatus, the substrate is held on a susceptor 26 which can be rotated. The rotation rate can be from about 0 rpm up to about 1500 rpm. This facilitates a pumping action which draws the plasma to the surface of the substrate. Also, using the
present invention the substrate temperature can be adjusted by adjusting the temperature of the susceptor. Generally, to avoid damaging an underlying aluminum layer, the substrate temperature should be about 400 to about 450" C. It is desirable to minimize the temperature in each separate step in order to avoid deformation of the aluminum layer. However, with lower temperatures increased halide formation occurs.
The titanium film is subjected to a plasma immediately after deposition. Preferably, the plasma is formed from a gas selected from hydrogen, argon, mixtures thereof, as well as helium. It is desirable to have at least 1 to 5% hydrogen to react with the halide to form the hydrogen halide or hydrogen chloride compound which is then vented from the reaction chamber.
During the plasma treatment, the RF electrode will operate at about 200 to about 700 watts, with the frequency being from about 450 KHz to 1 MHz. In order to preserve the underlying titanium film and substrate, the temperature should be kept at from about 400 to about
450° C. Generally, the flow rate should be about 1000 SCCM with the reaction pressure varying from about 500 millitorr to about 10 torr. This plasma treatment is continued for a period of 30 to 90 seconds, with about 60 seconds being preferred. Subsequent to the hydrogen/argon plasma treatment, the titanium film can be nitrided using a nitrogen- containing plasma.
Two nitriding gases can be used in the present invention. These are ammonia and nitrogen. Ammonia is preferred because of its
better reactivity. The plasma is created by simply subjecting the nitriding gas to an RF electrode at elevated temperature and reduced pressure. The titanium film is then contacted with this plasma, thereby forming titanium nitride.
Preferably for use in this nitridization step, the RF electrode will be from 100 watts up to the power at which devices are damaged, i.e., about 5 Kilowatts. Approximately 250 watts is adequate. The frequency of the RF electrode should be from about 55 MHz to about 33 KHz. As the frequency is lowered, the temperature of the treatment can also be reduced. The upper frequency is a function of Federal Communication Commission regulation and equipment availability.
However, as described below, lower frequencies are generally preferred. In order to preserve the underlying titanium film and substrate, the temperature should be kept at 400 to 450° C. As the frequency of the electrode is reduced, the temperature can also be reduced. These temperatures provide for excellent nitridization and reduce thermal degradation of the underlying substrate and titanium film. The time, pressure and flow rates, as well as temperature, can all be varied to increase or decrease the reaction rate of the nitridization. Generally, the minimum flow rate of the nitridization gas should not be less than about 10 seem. At flow rates above 5,000 seem there is increased unvented gas without any benefit although flow rates above 10,000 seem will function. But precise flow rate is not critical for practicing the present invention. Therefore, about 1 ,000 seem is preferred. The time can range from 20 seconds up to ten minutes, however 5 minutes is generally acceptable.
The reaction pressure must be subatmospheric and generally will vary from about 500 millitorr to about 3 torr. If one desired to decrease the time, the flow rate and temperature could be increased.
Likewise, with reduced temperature increased time is preferred. Likewise, when reducing the temperature, the RF frequency can also be reduced. Plasma power can be increased or decreased, likewise, to alter the time or reaction rate. The nitridization gas, preferably ammonia or a combination of nitrogen and hydrogen, is introduced through injectors 50 and 52 and flows through the cylinder 34 and through showerhead 36, which creates the plasma from the gas. The flow rate of the gas into cylinder 34 is generally about 1 ,000 seem and the pressure within the reaction chamber itself is maintained at about 1 to 3 torr (3 is preferred). The heated susceptor 26 is rotated at a rotation rate of about 100 rpm which, in effect, pumps gas laterally away from the titanium surface 29 as the
plasma is forced downwardly toward the titanium surface. This reaction continues for about five minutes. Unreacted ammonia, along with hydrogen, will (as shown by arrows 65) be pulled around baffles 27 and from the reaction chamber 24 through vent 53.
The titanium film 29 will take on a gold luster, indicating the formation of titanium nitride. This nitridization step is optional and may be omitted. However, it does decrease further the halide content of the titanium film, further reducing aluminum corrosion and TiN film adhesion.
Next, the titanium film is subjected to a plasma-enhanced chemical vapor deposition of titanium nitride. The film thickness of the titanium nitride should be from about 200 to about 500 A.
In depositing the titanium nitride film, a plasma of reactant gases is created using apparatus 20 at showerhead 36. The reactant gases include titanium tetrachloride, ammonia and a diluent. Although diluents such as hydrogen, helium and argon can be employed, nitrogen is preferred. These are combined together and introduced into cylinder
34.
Cylinder 34 is maintained at a pressure from about 0.5 to about 20 torr with about 5 torr being preferred. The substrate is maintained at a temperature of about 400 to about 500° C with about 450° C being preferred. The substrate is generally heated by providing heat from the support 30. The support itself is preferably rotated at about 100 rpm or more simply to provide for more even distribution. However, the substrate need not be rotated at all.
The concentration of the gases is controlled by flow rate. Generally, the titanium tetrachloride will be introduced at a flow rate of about 1 to about 40 seem, with about 10 seem being preferred. The partial pressure of the TiCI4 must be sufficiently low to form TiN. If the TiCI4 partial pressure becomes too high, a black powder is formed which
is not TiN. When the total pressure is 5 torr, the partial pressure of TiCI4 should be less than 0.02 torr, preferably 0.01 torr to 0.001 torr. At the lower pressures (i.e., 0.0001 torr), the reaction rate is significantly reduced and the step coverage can be unacceptable. As the total pressure increases from 5 torr, the partial pressure of TiCI4 can be increased accordingly. For TiN to be useful, the film on the substrate should be adherent and continuous. Films of this nature are gold in color. The black powder that forms is non-adherent (it can be wiped off readily). Therefore, the upper limits of the partial pressure of TiCI4 is that partial pressure at which a black powder begins to form on the substrate. This, of course, can vary depending on the total pressure.
Generally, the molar ratio of ammonia to TiCI4 will be from 2:1 (ammonia to TiCI4) up to 100:1. At this higher rate, the reaction rate will be very low. Preferably, the ratio will be about 10:1.
Generally the ratio of diluent to ammonia will range from about 10:1 up to about 10,000:1.
EXAMPLE 1
In order to demonstrate the present invention, a titanium film was deposited and covered with a titanium nitride film. The titanium was deposited under the following conditions:
TiCI 3.5 SCCM
H2 1500 SCCM
Reaction Pressure 5 torr
Substrate
Rotation Rate 100 rpm
Substrate Temp. 400 ° C
Susceptor Temp. 420 ° C
Titanium Thickness 150 A
Reaction Rate 2.5 A / second
Pressure 5 torr
RF Power 250 watts
Frequency 450 KHz
This was then subjected to an ammonia/plasma anneal under the following conditions:
Ammonia flow rate 450 SCCM
Susceptor Temperature 420 0 C
Substrate Temperature 400 0 C
Pressure 5 torr
Reaction time 60 seconds
RF Power 500 watts
Frequency 450 KHz
Then a TiN film was deposited under the following reaction conditions:
TiCI Flow Rate 10 SCCM
NH3 Flow Rate 100 SCCM
H2 Flow Rate 0
Reaction Pressure 5 torr
Rotation Rate 100 rpm
Substrate Temp. 400 C
Susceptor Temp. 420 β c
Reaction Time 180 seconds
Reaction Rate 35 A / second
RF Power 300 watts
Frequency 450 KHz
Fig. 2 shows an AES spectra of the Ti TiN film stack that was deposited without an intermediate argon/hydrogen plasma between the titanium and TiN deposition. The chlorine is accumulated at the interface of the titanium and aluminum films, bound as either TiCI or the thermodynamically favored AI-CI species. This film stack was deposited without using the hydrogen/argon plasma treatment between the titanium and titanium nitride films. With this type of process, the chlorine concentration at the interface is measured to be 5-6 atomic percent by Auger. In this case, the film stack did receive a passivating ammonia plasma, but did not receive the additional argon/hydrogen plasma to remove additional chlorine species. EXAMPLE 2
A titanium film was deposited under the conditions set forth in Example 1. This film was subsequently subjected to an argon/hydrogen plasma under the following conditions:
Argon 300 SCCM
H2 1500 SCCM
Reaction Pressure 5 torr
Substrate
Rotation Rate 100 rpm
Substrate Temp. 400 0 C
Susceptor Temp. 420 0 C
Reaction Time 60 seconds
RF Power 250 watts
Frequency 450 KHz
Fig. 3 shows a similar AES depth profile (similar to Fig. 2) of chlorine deposited onto aluminum that has been treated with a post- deposition argon/hydrogen plasma. No TiN was deposited after the titanium, so no ammonia plasma nitridization was used for this film stack. In this case, the chlorine measured at the interface has been reduced to less than 3 atomic percent - one-half the value of Example 1 , in spite of the fact that there was no ammonia plasma which should also remove chlorine. Accordingly, this demonstrates that subjecting the titanium film to a hydrogen/argon plasma significantly reduces chlorine content at the titanium/aluminum interface. This is very beneficial, particularly given the fact that it operates at approximately the same pressures and temperatures as the plasma-enhanced chemical vapor deposition of
titanium. Thus, it can be conducted in the same module with no transfer steps. The time required is only approximately 30 seconds in duration, and it permits the immediate deposition by plasma-enhanced chemical vapor deposition of TiN.
This has been a description of the present invention, aiong with the preferred method of practicing the present invention currently known. However, the invention itself should only be defined by the appended claims, wherein we claim:

Claims

1. A method of forming a TN film over a titanium film comprising subjecting said titanium film to a hydrogen plasma for a time effective to remove residual halide on said titanium surface; depositing by plasma-enhanced chemical vapor deposition a layer of titanium nitride onto said titanium film.
2. The method claimed in claim 1 wherein said titanium film is formed by plasma-enhanced chemical vapor deposition of titanium halide onto a substrate.
3. The method claimed in claim 2 wherein said titanium film is subjected to said hydrogen plasma for a period of 30 to 90 seconds.
4. The method claimed in claim 3 wherein said plasma comprises 95 to 99% hydrogen.
5. The method claimed in claim 4 wherein said diluent is selected from the group consisting of argon and helium.
6. The method claimed in claim 3 wherein said titanium film is subjected to said plasma at a temperature of from about 400 to about 450┬░ C.
7. The method claimed in claim 6 wherein said substrate comprises aluminum.
8. A method of forming a titanium/titanium nitride film stack over a substrate comprising at least in part aluminum, comprising:
(a) depositing a titanium layer over said substrate by plasma-enhanced chemical vapor deposition of titanium tetrachloride;
(b) subjecting said titanium film to a hydrogen/argon plasma for a time of 30 to about 90 seconds at a temperature of about 400 to about 450┬░ C;
(c) depositing a film of titanium nitride over said titanium film by plasma-enhanced chemical vapor deposition of titanium tetrachloride in a nitrogen-containing gas at a temperature of less than about 450" C.
9. The method claimed in claim 8 further comprising subjecting said titanium film to an ammonia plasma.
10. The method claimed in claim 9 further comprising subjecting said titanium nitride film to an ammonia plasma.
11. A method of reducing chlorine content of a titanium film formed by chemical vapor deposition using titanium tetrachloride comprising subjecting said titanium film to a H2 plasma.
PCT/US1998/000711 1997-01-31 1998-01-14 METHOD OF LOW TEMPERATURE PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION OF TiN FILM OVER TITANIUM FOR USE IN VIA LEVEL APPLICATIONS WO1998033950A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
DE19882045T DE19882045T1 (en) 1997-01-31 1998-01-14 Low temperature plasma enhanced chemical vapor deposition process of a TiN film over titanium for use in connection contact level applications
GB9917373A GB2337529B (en) 1997-01-31 1998-01-14 Method of low temperature plasma enhanced chemical vapor deposition of TiN film over titanium for use in via level applications
AU59176/98A AU5917698A (en) 1997-01-31 1998-01-14 Method of low temperature plasma enhanced chemical vapor deposition of tin ilm over titanium for use in via level applications
JP51980498A JP3712421B2 (en) 1997-01-31 1998-01-14 Low temperature plasma enhanced chemical vapor deposition of TiN film on titanium for use in via level applications

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/791,955 US5989652A (en) 1997-01-31 1997-01-31 Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
US08/791,955 1997-01-31

Publications (1)

Publication Number Publication Date
WO1998033950A1 true WO1998033950A1 (en) 1998-08-06

Family

ID=25155352

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1998/000711 WO1998033950A1 (en) 1997-01-31 1998-01-14 METHOD OF LOW TEMPERATURE PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION OF TiN FILM OVER TITANIUM FOR USE IN VIA LEVEL APPLICATIONS

Country Status (8)

Country Link
US (1) US5989652A (en)
JP (1) JP3712421B2 (en)
KR (1) KR100421574B1 (en)
AU (1) AU5917698A (en)
DE (1) DE19882045T1 (en)
GB (1) GB2337529B (en)
TW (1) TW482828B (en)
WO (1) WO1998033950A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1029943A1 (en) * 1999-02-17 2000-08-23 Nihon Shinku Gijutsu Kabushiki Kaisha Process for producing barrier film
US6436820B1 (en) 2000-02-03 2002-08-20 Applied Materials, Inc Method for the CVD deposition of a low residual halogen content multi-layered titanium nitride film having a combined thickness greater than 1000 Å

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0164149B1 (en) * 1995-03-28 1999-02-01 김주용 Method of improving ticn layer
KR100229439B1 (en) * 1997-01-15 1999-11-01 정명식 Process for the preparation of diffusion barrier for semiconductor device
US6432479B2 (en) * 1997-12-02 2002-08-13 Applied Materials, Inc. Method for in-situ, post deposition surface passivation of a chemical vapor deposited film
JPH11217672A (en) * 1998-01-30 1999-08-10 Sony Corp Chemical vapor growth method of nitrided metallic film and production of electronic device using this
US7515264B2 (en) * 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
US20020041974A1 (en) * 1999-07-30 2002-04-11 Welty Richard P. Coated article
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6436819B1 (en) 2000-02-01 2002-08-20 Applied Materials, Inc. Nitrogen treatment of a metal nitride/metal stack
US20020094387A1 (en) * 2000-02-24 2002-07-18 Bhan Mohan Krishnan Method for improving chemical vapor deposition of titanium
US6455421B1 (en) * 2000-07-31 2002-09-24 Applied Materials, Inc. Plasma treatment of tantalum nitride compound films formed by chemical vapor deposition
US6481447B1 (en) * 2000-09-27 2002-11-19 Lam Research Corporation Fluid delivery ring and methods for making and implementing the same
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
JP4698251B2 (en) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド Movable or flexible shower head mounting
KR100683110B1 (en) * 2005-06-13 2007-02-15 삼성전자주식회사 Method of generating plasma and method of forming a layer using the same
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
JP5020230B2 (en) * 2006-02-24 2012-09-05 東京エレクトロン株式会社 Ti-based film forming method and storage medium
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
JP2008311457A (en) * 2007-06-15 2008-12-25 Renesas Technology Corp Manufacturing method of semiconductor device
JP4636133B2 (en) 2008-07-22 2011-02-23 東京エレクトロン株式会社 Method and apparatus for modifying titanium nitride film
JP2010177382A (en) * 2009-01-28 2010-08-12 Tokyo Electron Ltd Film formation method, and plasma film formation apparatus
DE102009000821B4 (en) * 2009-02-12 2013-05-02 Surcoatec Ag A method for applying a coating to workpieces and / or materials comprising at least one easily oxidizable non-ferrous metal and workpiece and / or material produced by the method
JP5787488B2 (en) * 2009-05-28 2015-09-30 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
US8951829B2 (en) * 2011-04-01 2015-02-10 Micron Technology, Inc. Resistive switching in memory cells
JP5808623B2 (en) * 2011-09-07 2015-11-10 株式会社アルバック Formation method of barrier metal layer
KR102492326B1 (en) 2022-07-14 2023-01-26 김성남 Portable odor emission self-defense device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0536664A1 (en) * 1991-10-07 1993-04-14 Sumitomo Metal Industries, Ltd. A method for forming a thin film
WO1995033865A1 (en) * 1994-06-03 1995-12-14 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
WO1996039548A1 (en) * 1995-06-05 1996-12-12 Materials Research Corporation Process for plasma enhanced anneal of titanium nitride

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3807008A (en) * 1969-05-02 1974-04-30 Texas Instruments Inc Chemical vapor deposition coatings on titanium
US4006073A (en) * 1975-04-03 1977-02-01 The United States Of America As Represented By The United States Energy Research And Development Administration Thin film deposition by electric and magnetic crossed-field diode sputtering
US4524718A (en) * 1982-11-22 1985-06-25 Gordon Roy G Reactor for continuous coating of glass
JPS59123226A (en) * 1982-12-28 1984-07-17 Fujitsu Ltd Device for manufacturing semiconductor device
US4579609A (en) * 1984-06-08 1986-04-01 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition utilizing a surface cleaning step immediately before deposition
JPS63229814A (en) * 1987-03-19 1988-09-26 Nec Corp Manufacture of semiconductor integrated circuit
JP2776826B2 (en) * 1988-04-15 1998-07-16 株式会社日立製作所 Semiconductor device and manufacturing method thereof
US4871421A (en) * 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
JPH02310918A (en) * 1989-05-25 1990-12-26 Fujitsu Ltd Manufacture of semiconductor device
JPH03135018A (en) * 1989-10-20 1991-06-10 Hitachi Ltd Method and apparatus for manufacture of semiconductor device
US5139825A (en) * 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
JPH088243B2 (en) * 1989-12-13 1996-01-29 三菱電機株式会社 Surface cleaning device and method thereof
IL96561A0 (en) * 1989-12-28 1991-09-16 Minnesota Mining & Mfg Amorphous silicon sensor
US5264297A (en) * 1990-03-09 1993-11-23 Kennametal Inc. Physical vapor deposition of titanium nitride on a nonconductive substrate
US4977106A (en) * 1990-05-01 1990-12-11 Texas Instruments Incorporated Tin chemical vapor deposition using TiCl4 and SiH4
JPH04100221A (en) * 1990-08-18 1992-04-02 Fujitsu Ltd Manufacture of semiconductor device
KR100228259B1 (en) * 1990-10-24 1999-11-01 고지마 마따오 Method for forming a thin film and semiconductor devices
US5173327A (en) * 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
US5279857A (en) * 1991-08-16 1994-01-18 Materials Research Corporation Process for forming low resistivity titanium nitride films
US5308655A (en) * 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
JPH0567596A (en) * 1991-09-05 1993-03-19 Matsushita Electron Corp Post processing of dry etching
US5536193A (en) * 1991-11-07 1996-07-16 Microelectronics And Computer Technology Corporation Method of making wide band gap field emitter
US5434110A (en) * 1992-06-15 1995-07-18 Materials Research Corporation Methods of chemical vapor deposition (CVD) of tungsten films on patterned wafer substrates
US5342652A (en) * 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
WO1994004716A1 (en) * 1992-08-14 1994-03-03 Hughes Aircraft Company Surface preparation and deposition method for titanium nitride onto carbonaceous materials
US5416045A (en) * 1993-02-18 1995-05-16 Micron Technology, Inc. Method for chemical vapor depositing a titanium nitride layer on a semiconductor wafer and method of annealing tin films
US5391281A (en) * 1993-04-09 1995-02-21 Materials Research Corp. Plasma shaping plug for control of sputter etching
US5246881A (en) * 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
US5378501A (en) * 1993-10-05 1995-01-03 Foster; Robert F. Method for chemical vapor deposition of titanium nitride films at low temperatures
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5556521A (en) * 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
US5591672A (en) * 1995-10-27 1997-01-07 Vanguard International Semiconductor Corporation Annealing of titanium - titanium nitride in contact hole

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0536664A1 (en) * 1991-10-07 1993-04-14 Sumitomo Metal Industries, Ltd. A method for forming a thin film
WO1995033865A1 (en) * 1994-06-03 1995-12-14 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
WO1996039548A1 (en) * 1995-06-05 1996-12-12 Materials Research Corporation Process for plasma enhanced anneal of titanium nitride

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1029943A1 (en) * 1999-02-17 2000-08-23 Nihon Shinku Gijutsu Kabushiki Kaisha Process for producing barrier film
US6743718B1 (en) 1999-02-17 2004-06-01 Nihon Shinku Gijutsu Kabushiki Kaisha Process for producing barrier film and barrier film thus produced
KR100773280B1 (en) * 1999-02-17 2007-11-05 가부시키가이샤 알박 Barrier film and method of manufacturing the same
US6436820B1 (en) 2000-02-03 2002-08-20 Applied Materials, Inc Method for the CVD deposition of a low residual halogen content multi-layered titanium nitride film having a combined thickness greater than 1000 Å

Also Published As

Publication number Publication date
JP2001508497A (en) 2001-06-26
GB2337529B (en) 2001-09-26
KR20000070666A (en) 2000-11-25
KR100421574B1 (en) 2004-03-19
TW482828B (en) 2002-04-11
DE19882045T1 (en) 1999-12-23
US5989652A (en) 1999-11-23
AU5917698A (en) 1998-08-25
GB2337529A (en) 1999-11-24
JP3712421B2 (en) 2005-11-02
GB9917373D0 (en) 1999-09-22

Similar Documents

Publication Publication Date Title
US5989652A (en) Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
US5593511A (en) Method of nitridization of titanium thin films
KR100428521B1 (en) Method for single chamber processing of PECVD-Ti and CVD-TiN films in IC manufacturing
US6093645A (en) Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
US5975912A (en) Low temperature plasma-enhanced formation of integrated circuits
US5926737A (en) Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
JP3730865B2 (en) Method for passivating a CVD chamber
US9932670B2 (en) Method of decontamination of process chamber after in-situ chamber clean
JP4824671B2 (en) Method and system for performing atomic layer deposition
US8647722B2 (en) Method of forming insulation film using plasma treatment cycles
US7484513B2 (en) Method of forming titanium film by CVD
EP1672093B1 (en) Film-forming apparatus and film-forming method
KR100355321B1 (en) Film forming method and apparatus
US20020114886A1 (en) Method of tisin deposition using a chemical vapor deposition process
KR100606398B1 (en) Film formation method for semiconductor processing
US5827408A (en) Method and apparatus for improving the conformality of sputter deposited films
US7207339B2 (en) Method for cleaning a plasma enhanced CVD chamber
EP1154036A1 (en) Gas reactions to eliminate contaminates in a CVD chamber
JP3186872B2 (en) Film forming method by pulse plasma CVD
JPH09320963A (en) Adjusting method after cleaning of cvd chamber
JP3224469B2 (en) Thin film formation method and apparatus
EP1154037A1 (en) Methods for improving chemical vapor deposition processing
EP1154038A1 (en) Method of conditioning a chamber for chemical vapor deposition
GB2412382A (en) Method of processing a workpiece

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GE GH GM GW HU ID IL IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT UA UG UZ VN YU ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW SD SZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN ML MR NE SN TD TG

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
ENP Entry into the national phase

Ref document number: 9917373

Country of ref document: GB

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 1019997006915

Country of ref document: KR

ENP Entry into the national phase

Ref document number: 1998 519804

Country of ref document: JP

Kind code of ref document: A

RET De translation (de og part 6b)

Ref document number: 19882045

Country of ref document: DE

Date of ref document: 19991223

WWE Wipo information: entry into national phase

Ref document number: 19882045

Country of ref document: DE

122 Ep: pct application non-entry in european phase
WWP Wipo information: published in national office

Ref document number: 1019997006915

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 1019997006915

Country of ref document: KR

REG Reference to national code

Ref country code: DE

Ref legal event code: 8607